From 6dc0d4f8f5cd30e9f0f933bd6191349816c959ff Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Fri, 29 Sep 2023 10:54:17 -0500 Subject: [PATCH 01/79] Implement Walker clipping method --- shared/lib_pv_io_manager.cpp | 2 ++ shared/lib_pv_io_manager.h | 2 ++ shared/lib_shared_inverter.cpp | 48 ++++++++++++++++++++++++++++ shared/lib_shared_inverter.h | 1 + ssc/cmod_pvsamv1.cpp | 57 +++++++++++++++++++++++++++++++++- 5 files changed, 109 insertions(+), 1 deletion(-) diff --git a/shared/lib_pv_io_manager.cpp b/shared/lib_pv_io_manager.cpp index dcc4146de..e9a778870 100644 --- a/shared/lib_pv_io_manager.cpp +++ b/shared/lib_pv_io_manager.cpp @@ -943,6 +943,8 @@ void PVSystem_IO::AllocateOutputs(compute_module* cm) p_subhourlyClippingLoss = cm->allocate("subhourly_clipping_loss", numberOfLifetimeRecords); p_subhourlyClippingLossFactor = cm->allocate("subhourly_clipping_loss_factor", numberOfLifetimeRecords); + p_DistributionClippingLoss = cm->allocate("distribution_clipping_loss", numberOfLifetimeRecords); + if (Simulation->useLifetimeOutput) { p_dcDegradationFactor = cm->allocate("dc_degrade_factor", numberOfYears); diff --git a/shared/lib_pv_io_manager.h b/shared/lib_pv_io_manager.h index c745f48ad..56dbe9f33 100644 --- a/shared/lib_pv_io_manager.h +++ b/shared/lib_pv_io_manager.h @@ -422,6 +422,8 @@ struct PVSystem_IO ssc_number_t *p_subhourlyClippingLoss; ssc_number_t* p_subhourlyClippingLossFactor; ssc_number_t* p_ClippingPotential; + + ssc_number_t* p_DistributionClippingLoss; }; /** diff --git a/shared/lib_shared_inverter.cpp b/shared/lib_shared_inverter.cpp index ac44a8adc..b973555b2 100644 --- a/shared/lib_shared_inverter.cpp +++ b/shared/lib_shared_inverter.cpp @@ -353,6 +353,54 @@ void SharedInverter::calculateACPower(const double powerDC_kW_in, const double D } } +void SharedInverter::calculateACPower(const double powerDC_kW_in, const double powerDC_kW_in_max, const double powerDC_kW_in_min, const double DCStringVoltage, double tempC) +{ + double P_par, P_lr; + double P_par_clipping, P_lr_clipping; + double efficiencyAC_clipping, powerClipLoss_kW_clipping, powerConsumptionLoss_kW_clipping, powerNightLoss_kW_clipping = 0; + bool negativePower = powerDC_kW_in < 0 ? true : false; + + + dcWiringLoss_ond_kW = 0.0; + acWiringLoss_ond_kW = 0.0; + + // Power quantities go in and come out in units of W + double powerDC_Watts = powerDC_kW_in * util::kilowatt_to_watt; + double powerAC_Watts = 0.0; + double powerAC_Watts_clipping = 0.0; + Tdry_C = tempC; + StringV = DCStringVoltage; + double tempLoss = 0.0; + double power_ratio = 1.0; + if (m_tempEnabled) { + calculateTempDerate(DCStringVoltage, tempC, powerDC_Watts, power_ratio, tempLoss); + } + + m_sandiaInverter->acpower(std::abs(powerDC_Watts) / m_numInvertersClipping, DCStringVoltage, &powerAC_Watts_clipping, &P_par_clipping, &P_lr_clipping, &efficiencyAC_clipping, &powerClipLoss_kW_clipping, &powerConsumptionLoss_kW_clipping, &powerNightLoss_kW_clipping); + + + if (m_inverterType == SANDIA_INVERTER || m_inverterType == DATASHEET_INVERTER || m_inverterType == COEFFICIENT_GENERATOR) + m_sandiaInverter->acpower(std::abs(powerDC_Watts) / m_numInverters, DCStringVoltage, &powerAC_Watts, &P_par, &P_lr, &efficiencyAC, &powerClipLoss_kW, &powerConsumptionLoss_kW, &powerNightLoss_kW); + else if (m_inverterType == PARTLOAD_INVERTER) + m_partloadInverter->acpower(std::abs(powerDC_Watts) / m_numInverters, &powerAC_Watts, &P_lr, &P_par, &efficiencyAC, &powerClipLoss_kW, &powerNightLoss_kW); + else if (m_inverterType == OND_INVERTER) + m_ondInverter->acpower(std::abs(powerDC_Watts) / m_numInverters, DCStringVoltage, tempC, &powerAC_Watts, &P_par, &P_lr, &efficiencyAC, &powerClipLoss_kW, &powerConsumptionLoss_kW, &powerNightLoss_kW, &dcWiringLoss_ond_kW, &acWiringLoss_ond_kW); + else if (m_inverterType == NONE) { + powerClipLoss_kW = 0.; + powerConsumptionLoss_kW = 0.; + powerNightLoss_kW = 0.; + efficiencyAC = NONE_INVERTER_EFF; + powerAC_Watts = powerDC_Watts * efficiencyAC; + } + + + + m_subhourlyClippingEnabled = true; + powerAC_kW_clipping = powerAC_Watts_clipping * m_numInvertersClipping * util::watt_to_kilowatt; + return; + +} + /* This function takes input inverter DC power (kW) per MPPT input for a SINGLE multi-mppt inverter, DC voltage (V) per input, and ambient temperature (deg C), and calculates output for the total number of inverters in the system */ void SharedInverter::calculateACPower(const std::vector powerDC_kW_in, const std::vector DCStringVoltage, double tempC) { diff --git a/shared/lib_shared_inverter.h b/shared/lib_shared_inverter.h index 66238e896..1c80f2ed9 100644 --- a/shared/lib_shared_inverter.h +++ b/shared/lib_shared_inverter.h @@ -73,6 +73,7 @@ class SharedInverter void calculateACPower(const double powerDC_kW, const double DCStringVoltage, double tempC); void calculateACPower(const double powerDC_kW, const double DCStringVoltage, double tempC, bool clippingEnabled); + void calculateACPower(const double powerDC_kW, const double powerDC_kW_in_max, const double powerDC_kW_in_min, const double DCStringVoltage, double tempC); /// Given the combined PV plus battery DC power (kW), voltage and ambient T, compute the AC power (kW) for a single inverter with multiple MPPT inputs void calculateACPower(const std::vector powerDC_kW, const std::vector DCStringVoltage, double tempC); diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index 09f23ecd4..a2f8c5adb 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -584,6 +584,7 @@ static var_info _cm_vtab_pvsamv1[] = { // PV subhourly clipping inputs { SSC_INPUT, SSC_NUMBER, "enable_subhourly_clipping", "Enable subhourly clipping", "0/1", "", "PV Losses", "?=0", "INTEGER,MIN=0,MAX=1", "" }, { SSC_INPUT, SSC_MATRIX, "subhourly_clipping_matrix", "PV Subhourly clipping correction matrix", "", "", "PV Losses", "", "", "" }, + { SSC_INPUT, SSC_NUMBER, "enable_subinterval_distribution", "Enable subinterval distribution of PV power output", "0/1", "", "PV Losses", "?=0", "INTEGER,MIN=0,MAX=1", "" }, // outputs @@ -811,6 +812,7 @@ static var_info _cm_vtab_pvsamv1[] = { { SSC_OUTPUT, SSC_ARRAY, "ac_gross", "Inverter AC output power", "kW", "", "Time Series (Array)", "*", "", "" }, { SSC_OUTPUT, SSC_ARRAY, "clipping_potential", "Clipping potential", "", "", "Time Series (Inverter)", "", "", "" }, { SSC_OUTPUT, SSC_ARRAY, "subhourly_clipping_loss", "Subhourly clipping correction loss", "kW", "", "Time Series (Inverter)", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "distribution_clipping_loss", "Subinterval distribution clipping correction loss", "kW", "", "Time Series (Inverter)", "", "", "" }, // transformer model outputs { SSC_OUTPUT, SSC_ARRAY, "xfmr_nll_ts", "Transformer no load loss", "kW", "", "Time Series (Transformer)", "", "", "" }, @@ -957,6 +959,8 @@ static var_info _cm_vtab_pvsamv1[] = { { SSC_OUTPUT, SSC_NUMBER, "annual_dc_lifetime_loss_percent", "Lifetime daily DC loss- year 1", "%", "", "Loss", "", "", "" }, { SSC_OUTPUT, SSC_NUMBER, "annual_dc_battery_loss_percent", "DC connected battery loss- year 1", "%", "", "Loss", "", "", "" }, { SSC_OUTPUT, SSC_NUMBER, "annual_dc_inv_tdc_loss_percent", "DC inverter thermal derate loss", "%", "", "Loss", "", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "annual_distribution_clipping_loss_percent", "Subinterval distribution clipping correction loss percent", "%", "", "Loss", "", "", "" }, + //annual_dc_net { SSC_OUTPUT, SSC_NUMBER, "annual_ac_inv_clip_loss_percent", "AC inverter power clipping loss", "%", "", "Loss", "", "", "" }, { SSC_OUTPUT, SSC_NUMBER, "annual_ac_inv_pso_loss_percent", "AC inverter power consumption loss", "%", "", "Loss", "", "", "" }, @@ -991,6 +995,7 @@ static var_info _cm_vtab_pvsamv1[] = { */ { SSC_OUTPUT, SSC_NUMBER, "annual_ac_wiring_loss", "AC wiring loss", "kWh", "", "Annual (Year 1)", "", "", "" }, { SSC_OUTPUT, SSC_NUMBER, "annual_subhourly_clipping_loss", "Subhourly clipping correction loss", "kWh", "", "Annual (Year 1)", "", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "annual_distribution_clipping_loss", "Subinterval distribution clipping correction loss", "kWh", "", "Annual (Year 1)", "", "", "" }, { SSC_OUTPUT, SSC_NUMBER, "annual_transmission_loss", "Transmission loss", "kWh", "", "Annual (Year 1)", "", "", "" }, @@ -2537,6 +2542,7 @@ void cm_pvsamv1::exec() double annual_dc_loss_ond = 0, annual_ac_loss_ond = 0; // (TR) double annual_subhourly_clipping_loss = 0; double nominal_annual_clipping_output = 0; + double annual_distribution_clipping_loss = 0; util::matrix_t sub_clipping_matrix(21, 21); if (as_boolean("enable_subhourly_clipping")) { if (is_assigned("subhourly_clipping_matrix")) { @@ -2613,7 +2619,9 @@ void cm_pvsamv1::exec() double dcPower_kW = PVSystem->p_systemDCPower[idx]; double dcPower_kW_csky = PVSystem->p_systemDCPowerCS[idx]; - + double dcPower_kW_max = dcPower_kW_csky; + double dcPower_kW_min = dcPower_kW_max * 0.045 / 1.5; //AM? + double dcPower_kW_avg = dcPower_kW; // Battery replacement if (en_batt && (batt_topology == ChargeController::DC_CONNECTED)) { @@ -2649,6 +2657,47 @@ void cm_pvsamv1::exec() } } + if (as_boolean("enable_subinterval_distribution")) { + if (dcPower_kW > 0) { + double dcPower_kW_max = dcPower_kW_csky; + double dcPower_kW_min = dcPower_kW_max * 0.045 / 1.5; //AM? + double dcPower_kW_avg = dcPower_kW; + double CF = (dcPower_kW_avg - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min); + double n = CF / (1 - CF); + int inverter_count = as_integer("inverter_count"); + //sharedInverter->calculateACPower(dcPower_kW_csky, dcVoltagePerMppt[0], Irradiance->weatherRecord.tdry, as_boolean("enable_subhourly_clipping")); + double inv_dc_max = sharedInverter->getInverterDCMaxPower(0.0) / 1000.0 * inverter_count; + double T = 1.0; + double log_test = 1 - (inv_dc_max - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min); + double t_lm = 0.0; + if (log_test > 0.0) { + t_lm = T * exp(std::log(1 - (inv_dc_max - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min)) / n); //fraction of hours + } + double E_clipped = dcPower_kW_max * t_lm - ((dcPower_kW_max - dcPower_kW_min) * pow(t_lm, n + 1) / ((n + 1) * pow(T, n))) - inv_dc_max * t_lm; + double E_remaining = (inv_dc_max - dcPower_kW_max) * T + ((dcPower_kW_max - dcPower_kW_min) * pow(T, n + 1) / ((n + 1) * pow(T, n))) - (inv_dc_max - dcPower_kW_max) * t_lm - + ((dcPower_kW_max - dcPower_kW_min) * pow(t_lm, n + 1) / ((n + 1) * pow(T, n))); + double subinterval_clipping_loss = E_clipped; + if (E_clipped > 0) { + for (size_t m = 0; m < PVSystem->Inverter->nMpptInputs; m++) + { + dcPowerNetPerMppt_kW[m] -= E_clipped * dcPowerNetPerMppt_kW[m] / dcPower_kW; + } + dcPower_kW -= E_clipped; + PVSystem->p_DistributionClippingLoss[idx] = E_clipped; + if (iyear == 0) { + annual_distribution_clipping_loss += E_clipped; + } + } + else { + PVSystem->p_DistributionClippingLoss[idx] = 0.0; + } + } + else { + PVSystem->p_DistributionClippingLoss[idx] = 0.0; + } + + } + //run AC power calculation if (en_batt && (batt_topology == ChargeController::DC_CONNECTED)) // DC-connected battery { @@ -2750,6 +2799,7 @@ void cm_pvsamv1::exec() //annual_subhourly_clipping_loss += ac_subhourlyclipping_loss; } + ac_wiringloss = std::abs(acpwr_gross) * PVSystem->acLossPercent * 0.01; //ac_subhourlyclipping_loss = std::abs(acpwr_gross) * annual_subhourly_clipping_loss; @@ -3204,6 +3254,7 @@ void cm_pvsamv1::exec() assign("annual_ac_wiring_loss", var_data((ssc_number_t)annual_ac_wiring_loss)); assign("annual_subhourly_clipping_loss", var_data((ssc_number_t)annual_subhourly_clipping_loss)); + assign("annual_distribution_clipping_loss", var_data((ssc_number_t)annual_distribution_clipping_loss)); assign("annual_transmission_loss", var_data((ssc_number_t)annual_transmission_loss)); @@ -3313,6 +3364,10 @@ void cm_pvsamv1::exec() if (annual_dc_gross > 0) percent = 100 * annual_dc_lifetime_loss / annual_dc_gross; assign("annual_dc_lifetime_loss_percent", var_data((ssc_number_t)percent)); + percent = 0.; + if (annual_dc_gross > 0) percent = 100 * annual_distribution_clipping_loss / annual_dc_gross; + assign("annual_distribution_clipping_loss_percent", var_data((ssc_number_t)percent)); + //annual_dc_net percent = 0.; From 7c5084cfa3cea3f8878d7030631f6a9e0000fc7e Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Mon, 2 Oct 2023 12:15:41 -0500 Subject: [PATCH 02/79] Expose clear sky calcs to walker method calculations --- shared/lib_irradproc.cpp | 4 +-- shared/lib_pv_io_manager.cpp | 2 +- shared/lib_shared_inverter.cpp | 50 +--------------------------------- ssc/cmod_pvsamv1.cpp | 42 ++++++++++++++++------------ 4 files changed, 27 insertions(+), 71 deletions(-) diff --git a/shared/lib_irradproc.cpp b/shared/lib_irradproc.cpp index 35db1295a..4046507c2 100644 --- a/shared/lib_irradproc.cpp +++ b/shared/lib_irradproc.cpp @@ -2159,9 +2159,7 @@ int irrad::calc() { } //clearsky - if (enableSubhourlyClipping) { - ineichen(clearskyIrradiance, RTOD * sunAnglesRadians[1], 1.5, 1.0, elevation); - } + ineichen(clearskyIrradiance, RTOD * sunAnglesRadians[1], 1.5, 1.0, elevation); planeOfArrayIrradianceFront[0] = planeOfArrayIrradianceFront[1] = planeOfArrayIrradianceFront[2] = 0; diff --git a/shared/lib_pv_io_manager.cpp b/shared/lib_pv_io_manager.cpp index e9a778870..534b5927a 100644 --- a/shared/lib_pv_io_manager.cpp +++ b/shared/lib_pv_io_manager.cpp @@ -941,7 +941,7 @@ void PVSystem_IO::AllocateOutputs(compute_module* cm) p_systemDCPowerCS = cm->allocate("dc_net_clearsky", numberOfLifetimeRecords); p_subhourlyClippingLoss = cm->allocate("subhourly_clipping_loss", numberOfLifetimeRecords); - p_subhourlyClippingLossFactor = cm->allocate("subhourly_clipping_loss_factor", numberOfLifetimeRecords); + //p_subhourlyClippingLossFactor = cm->allocate("subhourly_clipping_loss_factor", numberOfLifetimeRecords); p_DistributionClippingLoss = cm->allocate("distribution_clipping_loss", numberOfLifetimeRecords); diff --git a/shared/lib_shared_inverter.cpp b/shared/lib_shared_inverter.cpp index b973555b2..099064de4 100644 --- a/shared/lib_shared_inverter.cpp +++ b/shared/lib_shared_inverter.cpp @@ -229,7 +229,7 @@ void SharedInverter::calculateTempDerate(double V, double tempC, double& p_dc_ra double SharedInverter::getInverterDCMaxPower(double p_dc_rated) { - double inv_dc_max_power; + double inv_dc_max_power = p_dc_rated * util::kilowatt_to_watt; if (m_inverterType == SANDIA_INVERTER || m_inverterType == DATASHEET_INVERTER || m_inverterType == COEFFICIENT_GENERATOR) //m_sandiaInverter->acpower(std::fabs(powerDC_Watts) / m_numInverters, DCStringVoltage, &powerAC_Watts, &P_par, &P_lr, &efficiencyAC, &powerClipLoss_kW, &powerConsumptionLoss_kW, &powerNightLoss_kW); inv_dc_max_power = m_sandiaInverter->Pdco; @@ -353,54 +353,6 @@ void SharedInverter::calculateACPower(const double powerDC_kW_in, const double D } } -void SharedInverter::calculateACPower(const double powerDC_kW_in, const double powerDC_kW_in_max, const double powerDC_kW_in_min, const double DCStringVoltage, double tempC) -{ - double P_par, P_lr; - double P_par_clipping, P_lr_clipping; - double efficiencyAC_clipping, powerClipLoss_kW_clipping, powerConsumptionLoss_kW_clipping, powerNightLoss_kW_clipping = 0; - bool negativePower = powerDC_kW_in < 0 ? true : false; - - - dcWiringLoss_ond_kW = 0.0; - acWiringLoss_ond_kW = 0.0; - - // Power quantities go in and come out in units of W - double powerDC_Watts = powerDC_kW_in * util::kilowatt_to_watt; - double powerAC_Watts = 0.0; - double powerAC_Watts_clipping = 0.0; - Tdry_C = tempC; - StringV = DCStringVoltage; - double tempLoss = 0.0; - double power_ratio = 1.0; - if (m_tempEnabled) { - calculateTempDerate(DCStringVoltage, tempC, powerDC_Watts, power_ratio, tempLoss); - } - - m_sandiaInverter->acpower(std::abs(powerDC_Watts) / m_numInvertersClipping, DCStringVoltage, &powerAC_Watts_clipping, &P_par_clipping, &P_lr_clipping, &efficiencyAC_clipping, &powerClipLoss_kW_clipping, &powerConsumptionLoss_kW_clipping, &powerNightLoss_kW_clipping); - - - if (m_inverterType == SANDIA_INVERTER || m_inverterType == DATASHEET_INVERTER || m_inverterType == COEFFICIENT_GENERATOR) - m_sandiaInverter->acpower(std::abs(powerDC_Watts) / m_numInverters, DCStringVoltage, &powerAC_Watts, &P_par, &P_lr, &efficiencyAC, &powerClipLoss_kW, &powerConsumptionLoss_kW, &powerNightLoss_kW); - else if (m_inverterType == PARTLOAD_INVERTER) - m_partloadInverter->acpower(std::abs(powerDC_Watts) / m_numInverters, &powerAC_Watts, &P_lr, &P_par, &efficiencyAC, &powerClipLoss_kW, &powerNightLoss_kW); - else if (m_inverterType == OND_INVERTER) - m_ondInverter->acpower(std::abs(powerDC_Watts) / m_numInverters, DCStringVoltage, tempC, &powerAC_Watts, &P_par, &P_lr, &efficiencyAC, &powerClipLoss_kW, &powerConsumptionLoss_kW, &powerNightLoss_kW, &dcWiringLoss_ond_kW, &acWiringLoss_ond_kW); - else if (m_inverterType == NONE) { - powerClipLoss_kW = 0.; - powerConsumptionLoss_kW = 0.; - powerNightLoss_kW = 0.; - efficiencyAC = NONE_INVERTER_EFF; - powerAC_Watts = powerDC_Watts * efficiencyAC; - } - - - - m_subhourlyClippingEnabled = true; - powerAC_kW_clipping = powerAC_Watts_clipping * m_numInvertersClipping * util::watt_to_kilowatt; - return; - -} - /* This function takes input inverter DC power (kW) per MPPT input for a SINGLE multi-mppt inverter, DC voltage (V) per input, and ambient temperature (deg C), and calculates output for the total number of inverters in the system */ void SharedInverter::calculateACPower(const std::vector powerDC_kW_in, const std::vector DCStringVoltage, double tempC) { diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index a2f8c5adb..7070741d3 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -1508,7 +1508,7 @@ void cm_pvsamv1::exec() Irradiance->dtHour, Subarrays[nn]->tiltDegrees, Subarrays[nn]->azimuthDegrees, Subarrays[nn]->trackerRotationLimitDegrees, 0.0, Subarrays[nn]->groundCoverageRatio, Subarrays[nn]->slopeTilt, Subarrays[nn]->slopeAzm, Subarrays[nn]->monthlyTiltDegrees, Irradiance->userSpecifiedMonthlyAlbedo, Subarrays[nn]->poa.poaAll.get(), - Irradiance->useSpatialAlbedos, &Irradiance->userSpecifiedMonthlySpatialAlbedos, as_boolean("enable_subhourly_clipping")); + Irradiance->useSpatialAlbedos, &Irradiance->userSpecifiedMonthlySpatialAlbedos, (as_boolean("enable_subhourly_clipping") || as_boolean("enable_subinterval_distribution"))); int code = irr.calc(); @@ -2553,11 +2553,11 @@ void cm_pvsamv1::exec() } for (size_t inrec = 0; inrec < nrec; inrec++) { idx = inrec; - double dcPower_kW_csky = PVSystem->p_systemDCPowerCS[idx]; + double dcPower_kWcsky = PVSystem->p_systemDCPowerCS[idx]; //Calculate DNI clearness index (time step basis) double dni_clearness_index = PVSystem->p_DNIIndex[0][idx]; //Calculate Clipping Potential ((P_dc,dryclean - P_ac,0) / P_ac,0) (time step basis) - sharedInverter->calculateACPower(dcPower_kW_csky, dcVoltagePerMppt[0], Irradiance->weatherRecord.tdry, as_boolean("enable_subhourly_clipping")); //DC batteries not allowed with multiple MPPT, so can just use MPPT 1's voltage + sharedInverter->calculateACPower(dcPower_kWcsky, dcVoltagePerMppt[0], Irradiance->weatherRecord.tdry, as_boolean("enable_subhourly_clipping")); //DC batteries not allowed with multiple MPPT, so can just use MPPT 1's voltage nominal_annual_clipping_output += sharedInverter->powerAC_kW_clipping; /* double clip_pot = (dcPower_kW_csky - sharedInverter->powerAC_kW_clipping) / sharedInverter->powerAC_kW_clipping; @@ -2619,9 +2619,7 @@ void cm_pvsamv1::exec() double dcPower_kW = PVSystem->p_systemDCPower[idx]; double dcPower_kW_csky = PVSystem->p_systemDCPowerCS[idx]; - double dcPower_kW_max = dcPower_kW_csky; - double dcPower_kW_min = dcPower_kW_max * 0.045 / 1.5; //AM? - double dcPower_kW_avg = dcPower_kW; + // Battery replacement if (en_batt && (batt_topology == ChargeController::DC_CONNECTED)) { @@ -2657,43 +2655,51 @@ void cm_pvsamv1::exec() } } - if (as_boolean("enable_subinterval_distribution")) { - if (dcPower_kW > 0) { + if (as_integer("enable_subinterval_distribution")==1) { + if (dcPower_kW > 0.0) { double dcPower_kW_max = dcPower_kW_csky; + log(util::format("dcPower max is %lg", dcPower_kW_max), SSC_NOTICE); double dcPower_kW_min = dcPower_kW_max * 0.045 / 1.5; //AM? + log(util::format("dcPower min is %lg", dcPower_kW_min), SSC_NOTICE); double dcPower_kW_avg = dcPower_kW; double CF = (dcPower_kW_avg - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min); double n = CF / (1 - CF); - int inverter_count = as_integer("inverter_count"); + log(util::format("n is %lg", n), SSC_NOTICE); + //int inverter_count = as_integer("inverter_count"); + int inverter_count = 99; //sharedInverter->calculateACPower(dcPower_kW_csky, dcVoltagePerMppt[0], Irradiance->weatherRecord.tdry, as_boolean("enable_subhourly_clipping")); - double inv_dc_max = sharedInverter->getInverterDCMaxPower(0.0) / 1000.0 * inverter_count; + double inv_dc_max = sharedInverter->getInverterDCMaxPower(nameplate_kw) / 1000.0 * inverter_count; + //log(util::format("Inverter DC Max is %lg kW", inv_dc_max), SSC_NOTICE); double T = 1.0; - double log_test = 1 - (inv_dc_max - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min); - double t_lm = 0.0; - if (log_test > 0.0) { - t_lm = T * exp(std::log(1 - (inv_dc_max - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min)) / n); //fraction of hours + double log_test = 1.0 - (inv_dc_max - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min); + log(util::format("log_test is %lg", log_test), SSC_NOTICE); + ssc_number_t t_lm = 0.0; + if (log_test > 0.0 && n > 0.0) { + t_lm = T * std::exp(std::log(1.0 - (inv_dc_max - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min)) / n); //fraction of hours } + log(util::format("t_lm is %lg", t_lm), SSC_NOTICE); double E_clipped = dcPower_kW_max * t_lm - ((dcPower_kW_max - dcPower_kW_min) * pow(t_lm, n + 1) / ((n + 1) * pow(T, n))) - inv_dc_max * t_lm; + log(util::format("E_clipped is %lg kW", E_clipped), SSC_NOTICE); double E_remaining = (inv_dc_max - dcPower_kW_max) * T + ((dcPower_kW_max - dcPower_kW_min) * pow(T, n + 1) / ((n + 1) * pow(T, n))) - (inv_dc_max - dcPower_kW_max) * t_lm - ((dcPower_kW_max - dcPower_kW_min) * pow(t_lm, n + 1) / ((n + 1) * pow(T, n))); double subinterval_clipping_loss = E_clipped; - if (E_clipped > 0) { + if (E_clipped > 0.0) { for (size_t m = 0; m < PVSystem->Inverter->nMpptInputs; m++) { dcPowerNetPerMppt_kW[m] -= E_clipped * dcPowerNetPerMppt_kW[m] / dcPower_kW; } dcPower_kW -= E_clipped; - PVSystem->p_DistributionClippingLoss[idx] = E_clipped; + PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)E_clipped; if (iyear == 0) { annual_distribution_clipping_loss += E_clipped; } } else { - PVSystem->p_DistributionClippingLoss[idx] = 0.0; + PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)1; } } else { - PVSystem->p_DistributionClippingLoss[idx] = 0.0; + PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)2; } } From 4bdc53dbb6805ffc25fb17294443386864ddf6bb Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Mon, 9 Oct 2023 16:10:16 -0500 Subject: [PATCH 03/79] correct atmospheric thickness calculation --- ssc/cmod_pvsamv1.cpp | 2 ++ 1 file changed, 2 insertions(+) diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index da9acaeb6..6e316593c 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -2653,6 +2653,8 @@ void cm_pvsamv1::exec() if (dcPower_kW > 0.0) { double dcPower_kW_max = dcPower_kW_csky; log(util::format("dcPower max is %lg", dcPower_kW_max), SSC_NOTICE); + double AM = 1.0 / asin(Irradiance->p_sunAltitudeAngle[idx] * M_PI / 180); + if (AM > 38.0) AM = 38.0; double dcPower_kW_min = dcPower_kW_max * 0.045 / 1.5; //AM? log(util::format("dcPower min is %lg", dcPower_kW_min), SSC_NOTICE); double dcPower_kW_avg = dcPower_kW; From 56aa8223631bc18d43e8d4b44ada78039f5eaaca Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Fri, 13 Oct 2023 11:55:03 -0500 Subject: [PATCH 04/79] Updated atmospheric thickness in calculations, fix inverter_count, add checks for divide by zero error --- ssc/cmod_pvsamv1.cpp | 17 ++++++++++------- 1 file changed, 10 insertions(+), 7 deletions(-) diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index 6e316593c..dc4e718b0 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -2653,21 +2653,24 @@ void cm_pvsamv1::exec() if (dcPower_kW > 0.0) { double dcPower_kW_max = dcPower_kW_csky; log(util::format("dcPower max is %lg", dcPower_kW_max), SSC_NOTICE); - double AM = 1.0 / asin(Irradiance->p_sunAltitudeAngle[idx] * M_PI / 180); + double alt_angle = Irradiance->p_sunAltitudeAngle[idx] * M_PI / 180; + if (Irradiance->p_sunAltitudeAngle[idx] < 0.001) alt_angle = 0.001 * M_PI / 180; //For negative alt angle + double AM = 1.0 / sin(alt_angle); if (AM > 38.0) AM = 38.0; - double dcPower_kW_min = dcPower_kW_max * 0.045 / 1.5; //AM? + double dcPower_kW_min = dcPower_kW_max * 0.045 / AM; //AM? log(util::format("dcPower min is %lg", dcPower_kW_min), SSC_NOTICE); double dcPower_kW_avg = dcPower_kW; - double CF = (dcPower_kW_avg - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min); + double CF = (dcPower_kW_max - dcPower_kW_min) > 0.0 ? (dcPower_kW_avg - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min) : 0.0; + if (CF == 1.0) CF = 0.999999; double n = CF / (1 - CF); log(util::format("n is %lg", n), SSC_NOTICE); - //int inverter_count = as_integer("inverter_count"); - int inverter_count = 99; + int inverter_count = as_integer("inverter_count"); + //int inverter_count = 99; //sharedInverter->calculateACPower(dcPower_kW_csky, dcVoltagePerMppt[0], Irradiance->weatherRecord.tdry, as_boolean("enable_subhourly_clipping")); double inv_dc_max = sharedInverter->getInverterDCMaxPower(nameplate_kw) / 1000.0 * inverter_count; //log(util::format("Inverter DC Max is %lg kW", inv_dc_max), SSC_NOTICE); double T = 1.0; - double log_test = 1.0 - (inv_dc_max - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min); + double log_test = (dcPower_kW_max - dcPower_kW_min) > 0.0 ? (1.0 - (inv_dc_max - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min)) : 0.0; log(util::format("log_test is %lg", log_test), SSC_NOTICE); ssc_number_t t_lm = 0.0; if (log_test > 0.0 && n > 0.0) { @@ -2679,7 +2682,7 @@ void cm_pvsamv1::exec() double E_remaining = (inv_dc_max - dcPower_kW_max) * T + ((dcPower_kW_max - dcPower_kW_min) * pow(T, n + 1) / ((n + 1) * pow(T, n))) - (inv_dc_max - dcPower_kW_max) * t_lm - ((dcPower_kW_max - dcPower_kW_min) * pow(t_lm, n + 1) / ((n + 1) * pow(T, n))); double subinterval_clipping_loss = E_clipped; - if (E_clipped > 0.0) { + if (E_clipped > 0.0 && E_clipped < 1.0e38) { for (size_t m = 0; m < PVSystem->Inverter->nMpptInputs; m++) { dcPowerNetPerMppt_kW[m] -= E_clipped * dcPowerNetPerMppt_kW[m] / dcPower_kW; From 1a097292776e95caac39c45ddd4cb684f8a72d22 Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Wed, 18 Oct 2023 16:23:26 -0500 Subject: [PATCH 05/79] Add basic unit test --- test/ssc_test/cmod_pvsamv1_test.cpp | 13 +++++++++++++ 1 file changed, 13 insertions(+) diff --git a/test/ssc_test/cmod_pvsamv1_test.cpp b/test/ssc_test/cmod_pvsamv1_test.cpp index 3cd1d3746..1d449e7f6 100644 --- a/test/ssc_test/cmod_pvsamv1_test.cpp +++ b/test/ssc_test/cmod_pvsamv1_test.cpp @@ -1109,6 +1109,19 @@ TEST_F(CMPvsamv1PowerIntegration_cmod_pvsamv1, SubhourlyClippingCorrectionModel) EXPECT_NEAR(subhourly_clipping_loss_percent, 0.74, m_error_tolerance_lo); } +TEST_F(CMPvsamv1PowerIntegration_cmod_pvsamv1, DistributionClippingMethod) { + std::map pairs; + + //Run with model enabled + pairs["enable_subinterval_distribution"] = 1; + int pvsam_errors = modify_ssc_data_and_run_module(data, "pvsamv1", pairs); + EXPECT_FALSE(pvsam_errors); + //check answers for subhourly clipping annual loss + ssc_number_t distribution_clipping_loss; + ssc_data_get_number(data, "annual_distribution_clipping_loss", &distribution_clipping_loss); + EXPECT_NEAR(distribution_clipping_loss, 327.222952, m_error_tolerance_lo); +} + /// Test PVSAMv1 with all defaults and no-financial model- look at MPPT input 1 voltage at night TEST_F(CMPvsamv1PowerIntegration_cmod_pvsamv1, InverterNighttime) { From cab33c4495e0dc3c87da04907e93fdf5df4221ff Mon Sep 17 00:00:00 2001 From: Darice Date: Thu, 16 Nov 2023 11:01:57 -0700 Subject: [PATCH 06/79] update for pysam hybrids --- ssc/cmod_battery.cpp | 2 +- ssc/cmod_fuelcell.cpp | 2 +- ssc/cmod_generic_system.cpp | 2 +- ssc/cmod_hybrid.cpp | 70 ++++++++++++++++++++----------------- ssc/cmod_pvsamv1.cpp | 2 +- ssc/cmod_pvwattsv8.cpp | 2 +- ssc/cmod_windpower.cpp | 2 +- ssc/common.cpp | 15 +++++++- ssc/common.h | 3 +- 9 files changed, 59 insertions(+), 41 deletions(-) diff --git a/ssc/cmod_battery.cpp b/ssc/cmod_battery.cpp index e2e334d3e..b766a2bf9 100644 --- a/ssc/cmod_battery.cpp +++ b/ssc/cmod_battery.cpp @@ -2248,7 +2248,7 @@ class cm_battery : public compute_module add_var_info(vtab_resilience_outputs); add_var_info(vtab_utility_rate_common); add_var_info(vtab_grid_curtailment); - add_var_info(vtab_hybrid_tech_om); + add_var_info(vtab_hybrid_tech_om_outputs); } diff --git a/ssc/cmod_fuelcell.cpp b/ssc/cmod_fuelcell.cpp index 1d3efa37b..f2765217f 100644 --- a/ssc/cmod_fuelcell.cpp +++ b/ssc/cmod_fuelcell.cpp @@ -119,7 +119,7 @@ cm_fuelcell::cm_fuelcell() add_var_info(vtab_fuelcell_input); add_var_info(vtab_fuelcell_output); add_var_info(vtab_technology_outputs); - add_var_info(vtab_hybrid_tech_om); + add_var_info(vtab_hybrid_tech_om_outputs); } // Have to add this since compute module isn't actually fully constructed until compute is called with diff --git a/ssc/cmod_generic_system.cpp b/ssc/cmod_generic_system.cpp index a2ab67279..c3d827a42 100644 --- a/ssc/cmod_generic_system.cpp +++ b/ssc/cmod_generic_system.cpp @@ -81,7 +81,7 @@ class cm_generic_system : public compute_module // performance adjustment factors add_var_info(vtab_adjustment_factors); add_var_info(vtab_technology_outputs); - add_var_info(vtab_hybrid_tech_om); + add_var_info(vtab_hybrid_tech_om_outputs); } void exec( ) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index ec1880f4a..ad7fe2623 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -32,7 +32,7 @@ OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. #include "core.h" - +#include "common.h" static var_info _cm_vtab_hybrid[] = { @@ -79,8 +79,13 @@ class cm_hybrid : public compute_module batteries.push_back(computemodulename); else if (computemodulename == "fuelcell") fuelcells.push_back(computemodulename); - else + else { financials.push_back(computemodulename); + computemodulename = "hybrid"; + } + var_data* compute_module_inputs = input_table->table.lookup(computemodulename); + if (compute_module_inputs->type != SSC_TABLE) + throw exec_error("hybrid", "No input input_table found for " + computemodulename); } // Hybrid system precheck @@ -94,11 +99,15 @@ class cm_hybrid : public compute_module // run all generators and collect outputs and compute outputs size_t maximumTimeStepsPerHour = 1, currentTimeStepsPerHour; double hybridSystemCapacity = 0, hybridTotalInstalledCost = 0; - ssc_number_t inflation_rate; - int len, analysisPeriod = 0; + int len = 0; std::vector genTimestepsPerHour; bool ts_adj = false; // keep track of whether time step is adjusted for log messages + // get financial inputs common to all technologies + var_data* financial_compute_modules = input_table->table.lookup("Hybrid"); + int analysisPeriod = (int)financial_compute_modules->table.lookup("analysis_period")->num; + ssc_number_t inflation_rate = financial_compute_modules->table.lookup("inflation_rate")->num; + for (size_t igen = 0; igen < generators.size(); igen++) { percent = 100.0f * ((float)igen / (float)(generators.size() + fuelcells.size() + batteries.size() + financials.size())); @@ -106,22 +115,30 @@ class cm_hybrid : public compute_module std::string& compute_module = generators[igen]; var_data* compute_module_inputs = input_table->table.lookup(compute_module); - if (compute_module_inputs->type != SSC_TABLE) - throw exec_error("hybrid", "No input input_table found for " + compute_module); - - ssc_number_t system_capacity = compute_module_inputs->table.lookup("system_capacity")->num; - - hybridSystemCapacity += system_capacity; - hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; - analysisPeriod = (int)compute_module_inputs->table.lookup("analysis_period")->num; - ssc_module_t module = ssc_module_create(compute_module.c_str()); + // run verify + class compute_module* cmod = static_cast(module); + cmod->add_var_info(vtab_hybrid_tech_om_inputs); var_table& input = compute_module_inputs->table; ssc_data_set_number(static_cast(&input), "en_batt", 0); + if (!ssc_module_exec(module, static_cast(&input))){ + std::string str = std::string(compute_module) + " execution error.\n"; + int idx = 0; + while ( const char *msg = ssc_module_log( module, idx++, nullptr, nullptr ) ) + { + str += "\t"; + str += std::string(msg); + str += "\n\n"; + } + ssc_module_free(module); + throw std::runtime_error(str); + } - ssc_module_exec(module, static_cast(&input)); + ssc_number_t system_capacity = compute_module_inputs->table.lookup("system_capacity")->num; + hybridSystemCapacity += system_capacity; + hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; ssc_data_t compute_module_outputs = ssc_data_create(); @@ -132,14 +149,17 @@ class cm_hybrid : public compute_module auto var_name = ssc_info_name(p_inf); auto var_value = input.lookup(var_name); ssc_data_set_var(compute_module_outputs, var_name, var_value); - } + } } + bool system_use_lifetime_output = false; + if (compute_module_inputs->table.lookup("system_use_lifetime_output")) + system_use_lifetime_output = compute_module_inputs->table.lookup("system_use_lifetime_output")->num; // get minimum timestep from gen vector ssc_number_t* curGen = ssc_data_get_array(compute_module_outputs, "gen", &len); currentTimeStepsPerHour = len / 8760; log(util::format("Simulation time step is %d minutes for %s.", 60 / int(maximumTimeStepsPerHour), compute_module.c_str()), SSC_NOTICE); - if (compute_module_inputs->table.lookup("system_use_lifetime_output")->num > 0) // below - assuming single year only + if (system_use_lifetime_output > 0) // below - assuming single year only currentTimeStepsPerHour /= analysisPeriod; if (currentTimeStepsPerHour > maximumTimeStepsPerHour) { @@ -152,7 +172,6 @@ class cm_hybrid : public compute_module ssc_number_t* pOMProduction = ((var_table*)compute_module_outputs)->allocate("cf_om_production", analysisPeriod + 1); ssc_number_t* pOMCapacity = ((var_table*)compute_module_outputs)->allocate("cf_om_capacity", analysisPeriod + 1); ssc_number_t* pOMFixed = ((var_table*)compute_module_outputs)->allocate("cf_om_fixed", analysisPeriod + 1); - inflation_rate = compute_module_inputs->table.lookup("inflation_rate")->num * 0.01; escal_or_annual(input, pOMFixed, analysisPeriod, "om_fixed", inflation_rate, 1.0, false, input.as_double("om_fixed_escal") * 0.01); // $ escal_or_annual(input, pOMProduction, analysisPeriod, "om_production", inflation_rate, 0.001, false, input.as_double("om_production_escal") * 0.01); // $/kWh after conversion @@ -165,7 +184,7 @@ class cm_hybrid : public compute_module ssc_number_t* pEnergyNet = ((var_table*)compute_module_outputs)->allocate("cf_energy_net", analysisPeriod + 1); ssc_number_t* pDegradation = ((var_table*)compute_module_outputs)->allocate("cf_degradation", analysisPeriod + 1); - if (compute_module_inputs->table.lookup("system_use_lifetime_output")->num > 0) { // e.g. pvsamv1 + if (system_use_lifetime_output > 0) { // e.g. pvsamv1 size_t timestepsPerYear = len / analysisPeriod; for (int i = 0; i < analysisPeriod; i++) { pDegradation[i + 1] = 1.0; @@ -276,13 +295,10 @@ class cm_hybrid : public compute_module std::string& compute_module = fuelcells[0]; var_data* compute_module_inputs = input_table->table.lookup(compute_module); - if (compute_module_inputs->type != SSC_TABLE) - throw exec_error("hybrid", "No input input_table found for ." + compute_module); ssc_number_t system_capacity = compute_module_inputs->table.lookup("fuelcell_power_nameplate")->num; hybridSystemCapacity += system_capacity; hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; - analysisPeriod = (int)compute_module_inputs->table.lookup("analysis_period")->num; ssc_module_t module = ssc_module_create(compute_module.c_str()); @@ -294,8 +310,6 @@ class cm_hybrid : public compute_module // merge in hybrid vartable for configurations where battery and fuel cell dispatch are combined and not in the technology bin std::string hybridVarTable("Hybrid"); var_data* hybrid_inputs = input_table->table.lookup(hybridVarTable); - if (compute_module_inputs->type != SSC_TABLE) - throw exec_error("hybrid", "No input input_table found for ." + hybridVarTable); var_table& hybridinput = hybrid_inputs->table; input.merge(hybridinput, false); ssc_module_exec(module, static_cast(&input)); @@ -320,7 +334,6 @@ class cm_hybrid : public compute_module ssc_number_t* pOMCapacity = ((var_table*)compute_module_outputs)->allocate("cf_om_capacity", analysisPeriod + 1); ssc_number_t* pOMFixed = ((var_table*)compute_module_outputs)->allocate("cf_om_fixed", analysisPeriod + 1); ssc_number_t* pFuelCellReplacement = ((var_table*)compute_module_outputs)->allocate("cf_fuelcell_replacement_cost_schedule", analysisPeriod + 1); - inflation_rate = compute_module_inputs->table.lookup("inflation_rate")->num * 0.01; // can retrieve from "Hybrid" vartable directly escal_or_annual(input, pOMFixed, analysisPeriod, "om_fuelcell_fixed_cost", inflation_rate, 1.0, false, input.as_double("om_fixed_escal") * 0.01); // $ escal_or_annual(input, pOMProduction, analysisPeriod, "om_fuelcell_variable_cost", inflation_rate, 0.001, false, input.as_double("om_production_escal") * 0.01); // $/kW escal_or_annual(input, pOMCapacity, analysisPeriod, "om_fuelcell_capacity_cost", inflation_rate, system_capacity, false, input.as_double("om_capacity_escal") * 0.01); // $ @@ -394,12 +407,9 @@ class cm_hybrid : public compute_module std::string& compute_module = batteries[0]; var_data* compute_module_inputs = input_table->table.lookup(compute_module); - if (compute_module_inputs->type != SSC_TABLE) - throw exec_error("hybrid", "No input input_table found for ." + compute_module); hybridSystemCapacity += compute_module_inputs->table.lookup("system_capacity")->num; // TODO: check capacity definitions for batteries and hybrid systems hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; - analysisPeriod = (int)compute_module_inputs->table.lookup("analysis_period")->num; ssc_module_t module = ssc_module_create(compute_module.c_str()); @@ -412,8 +422,6 @@ class cm_hybrid : public compute_module // merge in hybrid vartable for configurations where battery and fuel cell dispatch are combined and not in the technology bin std::string hybridVarTable("Hybrid"); var_data* hybrid_inputs = input_table->table.lookup(hybridVarTable); - if (compute_module_inputs->type != SSC_TABLE) - throw exec_error("hybrid", "No input input_table found for ." + hybridVarTable); var_table& hybridinput = hybrid_inputs->table; input.merge(hybridinput, false); ssc_data_set_number(static_cast(&input), "en_batt", 1); @@ -438,7 +446,6 @@ class cm_hybrid : public compute_module ssc_number_t* pOMProduction = ((var_table*)compute_module_outputs)->allocate("cf_om_production", analysisPeriod + 1); ssc_number_t* pOMCapacity = ((var_table*)compute_module_outputs)->allocate("cf_om_capacity", analysisPeriod + 1); ssc_number_t* pOMFixed = ((var_table*)compute_module_outputs)->allocate("cf_om_fixed", analysisPeriod + 1); - inflation_rate = compute_module_inputs->table.lookup("inflation_rate")->num * 0.01; // can retrieve from "Hybrid" vartable directly escal_or_annual(input, pOMFixed, analysisPeriod, "om_batt_fixed_cost", inflation_rate, 1.0, false, input.as_double("om_fixed_escal") * 0.01); escal_or_annual(input, pOMProduction, analysisPeriod, "om_batt_variable_cost", inflation_rate, 0.001, false, input.as_double("om_production_escal") * 0.01); std::vector battery_discharged(analysisPeriod, 0); @@ -583,9 +590,6 @@ class cm_hybrid : public compute_module // battery outputs passed in if present std::string hybridVarTable("Hybrid"); var_data* compute_module_inputs = input_table->table.lookup(hybridVarTable); - if (compute_module_inputs->type != SSC_TABLE) - throw exec_error("hybrid", "No input input_table found for ." + hybridVarTable); - var_table& input = compute_module_inputs->table; // if (use_batt_output) diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index 5e0ac22f3..cbc6351a3 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -1093,7 +1093,7 @@ cm_pvsamv1::cm_pvsamv1() add_var_info(vtab_resilience_outputs); add_var_info(vtab_utility_rate_common); // Required by battery add_var_info(vtab_grid_curtailment); // Required by battery - add_var_info(vtab_hybrid_tech_om); + add_var_info(vtab_hybrid_tech_om_outputs); } diff --git a/ssc/cmod_pvwattsv8.cpp b/ssc/cmod_pvwattsv8.cpp index 110901c6b..0f348d99f 100644 --- a/ssc/cmod_pvwattsv8.cpp +++ b/ssc/cmod_pvwattsv8.cpp @@ -310,7 +310,7 @@ class cm_pvwattsv8 : public compute_module add_var_info(_cm_vtab_pvwattsv8); add_var_info(vtab_adjustment_factors); add_var_info(vtab_technology_outputs); - add_var_info(vtab_hybrid_tech_om); + add_var_info(vtab_hybrid_tech_om_outputs); ld.add("poa_nominal", true); diff --git a/ssc/cmod_windpower.cpp b/ssc/cmod_windpower.cpp index edd3dffa7..7f87bb4c8 100644 --- a/ssc/cmod_windpower.cpp +++ b/ssc/cmod_windpower.cpp @@ -226,7 +226,7 @@ cm_windpower::cm_windpower(){ add_var_info(vtab_technology_outputs); // wind PRUF add_var_info(vtab_p50p90); - add_var_info(vtab_hybrid_tech_om); + add_var_info(vtab_hybrid_tech_om_outputs); } diff --git a/ssc/common.cpp b/ssc/common.cpp index 42b78204f..55fd5ed3c 100644 --- a/ssc/common.cpp +++ b/ssc/common.cpp @@ -961,8 +961,21 @@ void calculate_resilience_outputs(compute_module *cm, std::unique_ptrassign("avg_critical_load", resilience->get_avg_crit_load_kwh()); } +// for financial inputs required for each technology in cmod_hybrid +var_info vtab_hybrid_tech_om_inputs[] = { + /* VARTYPE DATATYPE NAME LABEL UNITS META GROUP REQUIRED_IF CONSTRAINTS UI_HINTS*/ + { SSC_INPUT, SSC_NUMBER, "total_installed_cost", "Total installed cost", "$", "", "HybridTech", "*", "", "" }, + { SSC_INPUT, SSC_ARRAY, "om_fixed", "Fixed O&M annual amount", "$/year", "", "HybridTech", "*", "", "" }, + { SSC_INPUT, SSC_NUMBER, "om_fixed_escal", "Fixed O&M escalation", "%/year", "", "HybridTech", "*", "", "" }, + { SSC_INPUT, SSC_ARRAY, "om_production", "Production-based O&M amount", "$/MWh", "", "HybridTech", "*", "", "" }, + { SSC_INPUT, SSC_NUMBER, "om_production_escal", "Production-based O&M escalation", "%/year", "", "HybridTech", "*", "", "" }, + { SSC_INPUT, SSC_ARRAY, "om_capacity", "Capacity-based O&M amount", "$/kWcap", "", "HybridTech", "*", "", "" }, + { SSC_INPUT, SSC_NUMBER, "om_capacity_escal", "Capacity-based O&M escalation", "%/year", "", "HybridTech", "*", "", "" }, + { SSC_INPUT, SSC_ARRAY, "degradation", "Annual degradation", "%", "", "HybridTech", "*", "", "" }, +var_info_invalid }; + // for o and m cost outputs calculated in cmod_hybrid -var_info vtab_hybrid_tech_om[] = { +var_info vtab_hybrid_tech_om_outputs[] = { /* VARTYPE DATATYPE NAME LABEL UNITS META GROUP REQUIRED_IF CONSTRAINTS UI_HINTS*/ // { SSC_INPUT, SSC_NUMBER, "is_hybrid", "hybrid configuration", "0/1", "0=singletech,1=hybrid", "HybridTech", "?=0", "", "" }, { SSC_OUTPUT, SSC_ARRAY, "cf_om_production", "production O&M costs", "$", "", "HybridTech", "", "", "" }, diff --git a/ssc/common.h b/ssc/common.h index bb7d9cbf4..642c9573e 100644 --- a/ssc/common.h +++ b/ssc/common.h @@ -67,7 +67,8 @@ extern var_info vtab_resilience_outputs[]; extern var_info vtab_utility_rate_common[]; // hybrids -extern var_info vtab_hybrid_tech_om[]; +extern var_info vtab_hybrid_tech_om_inputs[]; +extern var_info vtab_hybrid_tech_om_outputs[]; extern var_info vtab_hybrid_fin_om[]; bool calculate_p50p90(compute_module *cm); From 11bcf67fcdab615f12f65eb68bbf50e1f36b676b Mon Sep 17 00:00:00 2001 From: Darice Date: Thu, 16 Nov 2023 16:29:49 -0700 Subject: [PATCH 07/79] fix inflation rate --- ssc/cmod_hybrid.cpp | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index ad7fe2623..02034969d 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -106,7 +106,7 @@ class cm_hybrid : public compute_module // get financial inputs common to all technologies var_data* financial_compute_modules = input_table->table.lookup("Hybrid"); int analysisPeriod = (int)financial_compute_modules->table.lookup("analysis_period")->num; - ssc_number_t inflation_rate = financial_compute_modules->table.lookup("inflation_rate")->num; + ssc_number_t inflation_rate = financial_compute_modules->table.lookup("inflation_rate")->num * 0.01; for (size_t igen = 0; igen < generators.size(); igen++) { @@ -177,6 +177,8 @@ class cm_hybrid : public compute_module escal_or_annual(input, pOMProduction, analysisPeriod, "om_production", inflation_rate, 0.001, false, input.as_double("om_production_escal") * 0.01); // $/kWh after conversion escal_or_annual(input, pOMCapacity, analysisPeriod, "om_capacity", inflation_rate, system_capacity, false, input.as_double("om_capacity_escal") * 0.01); // $ after multiplying by system capacity + printf("om capacity: %d, %f, %f, %f \n", analysisPeriod, inflation_rate, system_capacity, input.as_double("om_capacity_escal") * 0.01); + // production - multiply by yearly gen (initially assume single year) - use degradation - specific to each generator // pvwattsv8 - "degradation" applied in financial model - assuming single year analysis like standalone pvwatts/single owner configuration // wind - "degradation" applied in financial model - assumes system availability already applied to "gen" output From 200a973a09dd4b7cac5c9e2f054ac75daf0c8441 Mon Sep 17 00:00:00 2001 From: dguittet Date: Mon, 4 Dec 2023 16:01:29 -0700 Subject: [PATCH 08/79] fix to work on windows --- ssc/sscapi.cpp | 12 ++++++++++++ ssc/sscapi.h | 3 +++ test/CMakeLists.txt | 2 +- 3 files changed, 16 insertions(+), 1 deletion(-) diff --git a/ssc/sscapi.cpp b/ssc/sscapi.cpp index cb2a38ecf..8bd96e42b 100644 --- a/ssc/sscapi.cpp +++ b/ssc/sscapi.cpp @@ -265,6 +265,9 @@ static module_entry_info *module_table[] = { &cm_entry_hybrid, 0 }; +extern var_info vtab_hybrid_tech_om_inputs[]; + + SSCEXPORT ssc_module_t ssc_module_create( const char *name ) { std::string lname = util::lower_case( name ); @@ -1298,6 +1301,15 @@ SSCEXPORT ssc_bool_t ssc_module_add_var_info(ssc_module_t p_mod, ssc_info_t v) return 1; } +SSCEXPORT ssc_bool_t ssc_module_hybridize(ssc_module_t p_mod) +{ + compute_module* cmod = static_cast(p_mod); + if (!p_mod) + return 0; + + cmod->add_var_info(vtab_hybrid_tech_om_inputs); + return 1; +} SSCEXPORT const char *ssc_module_log( ssc_module_t p_mod, int index, int *item_type, float *time ) { diff --git a/ssc/sscapi.h b/ssc/sscapi.h index 08fd304c1..6abb5c8c0 100644 --- a/ssc/sscapi.h +++ b/ssc/sscapi.h @@ -438,6 +438,9 @@ SSCEXPORT ssc_bool_t ssc_module_exec_with_handler( /** Add a var info vartable to a compute module. */ SSCEXPORT ssc_bool_t ssc_module_add_var_info(ssc_module_t, ssc_info_t); +/** Adds the input variables required for a technology module to be used in a cmod_hybrid simulation. */ +SSCEXPORT ssc_bool_t ssc_module_hybridize(ssc_module_t p_mod); + /** Retrive notices, warnings, and error messages from the simulation. Returns a NULL-terminated ASCII C string with the message text, or NULL if the index passed in was invalid. */ SSCEXPORT const char *ssc_module_log( ssc_module_t p_mod, int index, int *item_type, float *time ); diff --git a/test/CMakeLists.txt b/test/CMakeLists.txt index 1b758c947..366357199 100644 --- a/test/CMakeLists.txt +++ b/test/CMakeLists.txt @@ -77,7 +77,7 @@ endif() if (CMAKE_BUILD_TYPE STREQUAL "Debug" OR MSVC) find_library( GTESTD_LIB - NAMES libgtest.a gtest.lib libgtest.so + NAMES libgtestd.a gtestd.lib libgtesdt.so PATHS $ENV{GTEST_DIR} ${GTDIR}/build/lib ${GTDIR}/build/lib/Debug) target_link_libraries(Test debug ${GTESTD_LIB}) endif() From a68f4138fac5e26dd008eac1235958d7cd178af8 Mon Sep 17 00:00:00 2001 From: Darice Date: Tue, 5 Dec 2023 10:56:34 -0700 Subject: [PATCH 09/79] update cmod_hybrid --- ssc/cmod_battery.cpp | 14 +- ssc/cmod_hybrid.cpp | 81 +- ssc/common.cpp | 22 +- ... FuelCell Battery Hybrid_Single Owner.json | 2798 +++++++++++++++++ test/ssc_test/cmod_hybrid_test.cpp | 67 + 5 files changed, 2936 insertions(+), 46 deletions(-) create mode 100644 test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json diff --git a/ssc/cmod_battery.cpp b/ssc/cmod_battery.cpp index 627366bc6..e9f5ea767 100644 --- a/ssc/cmod_battery.cpp +++ b/ssc/cmod_battery.cpp @@ -204,16 +204,16 @@ var_info vtab_battery_inputs[] = { { SSC_INPUT, SSC_NUMBER, "batt_cycle_cost_choice", "Use SAM cost model for degradaton penalty or input custom via batt_cycle_cost", "0/1", "0=UseCostModel,1=InputCost", "BatteryDispatch", "?=0", "", "" }, { SSC_INPUT, SSC_ARRAY, "batt_cycle_cost", "Input battery cycle degradaton penalty per year", "$/cycle-kWh","length 1 or analysis_period, length 1 will be extended using inflation", "BatteryDispatch", "batt_cycle_cost_choice=1", "", "" }, - { SSC_INPUT, SSC_NUMBER, "inflation_rate", "Inflation rate", "%", "", "Lifetime", "?=0", "MIN=-99", "" }, - { SSC_INPUT, SSC_ARRAY, "load_escalation", "Annual load escalation", "%/year", "", "Load", "?=0", "", "" }, - { SSC_INPUT, SSC_ARRAY, "om_batt_replacement_cost" , "Replacement cost 1" , "$/kWh" , "" , "System Costs" , "?=0.0" , "" , "" }, - { SSC_INPUT, SSC_NUMBER, "om_replacement_cost_escal" , "Replacement cost escalation" , "%/year" , "" , "System Costs" , "?=0.0" , "" , "" }, + { SSC_INPUT, SSC_NUMBER, "inflation_rate", "Inflation rate", "%", "", "Lifetime", "?=0", "MIN=-99", "" }, + { SSC_INPUT, SSC_ARRAY, "load_escalation", "Annual load escalation", "%/year", "", "Load", "?=0", "", "" }, + { SSC_INPUT, SSC_ARRAY, "om_batt_replacement_cost", "Replacement cost 1", "$/kWh", "", "System Costs" , "?=0.0" , "" , "" }, + { SSC_INPUT, SSC_NUMBER, "om_replacement_cost_escal", "Replacement cost escalation", "%/year", "", "System Costs" , "?=0.0" , "" , "" }, - { SSC_INPUT,SSC_ARRAY , "om_batt_variable_cost" , "Battery production-based System Costs amount" , "$/MWh" , "" , "System Costs" , "?=0.0" , "" , "" }, - { SSC_INPUT, SSC_NUMBER, "om_production_escal", "Production-based O&M escalation", "%/year", "", "System Costs", "?=0.0", "", "" }, + { SSC_INPUT, SSC_ARRAY, "om_batt_variable_cost", "Battery production-based System Costs amount", "$/MWh", "", "System Costs" , "?=0.0" , "" , "" }, + { SSC_INPUT, SSC_NUMBER, "om_production_escal", "Production-based O&M escalation", "%/year", "", "System Costs", "?=0.0", "", "" }, // Powerflow calculation inputs - { SSC_INPUT, SSC_ARRAY, "fuelcell_power", "Electricity from fuel cell AC", "kW", "", "FuelCell", "", "", "" }, + { SSC_INPUT, SSC_ARRAY, "fuelcell_power", "Electricity from fuel cell AC", "kW", "", "FuelCell", "", "", "" }, var_info_invalid diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index 02034969d..c1c4508c4 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -51,6 +51,20 @@ class cm_hybrid : public compute_module { add_var_info(_cm_vtab_hybrid); } + void ssc_module_exec_with_error(ssc_module_t module, var_table& input, std::string compute_module) { + if (!ssc_module_exec(module, static_cast(&input))){ + std::string str = std::string(compute_module) + " execution error.\n"; + int idx = 0; + while ( const char *msg = ssc_module_log( module, idx++, nullptr, nullptr ) ) + { + str += "\t"; + str += std::string(msg); + str += "\n\n"; + } + ssc_module_free(module); + throw std::runtime_error(str); + } + } void exec() { float percent = 0; @@ -103,10 +117,21 @@ class cm_hybrid : public compute_module std::vector genTimestepsPerHour; bool ts_adj = false; // keep track of whether time step is adjusted for log messages - // get financial inputs common to all technologies + // get financial inputs common to all technologies and copy into each tech's input tables var_data* financial_compute_modules = input_table->table.lookup("Hybrid"); int analysisPeriod = (int)financial_compute_modules->table.lookup("analysis_period")->num; ssc_number_t inflation_rate = financial_compute_modules->table.lookup("inflation_rate")->num * 0.01; + ssc_number_t sales_tax_rate = financial_compute_modules->table.lookup("sales_tax_rate")->num * 0.01; + + for (size_t i = 0; i < vec_cms.size(); i++) { + std::string computemodulename = vec_cms[i].str; + var_data* compute_module_inputs = input_table->table.lookup(computemodulename); + if (compute_module_inputs) { + compute_module_inputs->table.assign("analysis_period", analysisPeriod); + compute_module_inputs->table.assign("inflation_rate", inflation_rate * 1e2); + compute_module_inputs->table.assign("sales_tax_rate", sales_tax_rate * 1e2); + } + } for (size_t igen = 0; igen < generators.size(); igen++) { @@ -116,25 +141,12 @@ class cm_hybrid : public compute_module std::string& compute_module = generators[igen]; var_data* compute_module_inputs = input_table->table.lookup(compute_module); ssc_module_t module = ssc_module_create(compute_module.c_str()); - // run verify - class compute_module* cmod = static_cast(module); - cmod->add_var_info(vtab_hybrid_tech_om_inputs); + ssc_module_hybridize(module); var_table& input = compute_module_inputs->table; ssc_data_set_number(static_cast(&input), "en_batt", 0); - if (!ssc_module_exec(module, static_cast(&input))){ - std::string str = std::string(compute_module) + " execution error.\n"; - int idx = 0; - while ( const char *msg = ssc_module_log( module, idx++, nullptr, nullptr ) ) - { - str += "\t"; - str += std::string(msg); - str += "\n\n"; - } - ssc_module_free(module); - throw std::runtime_error(str); - } + ssc_module_exec_with_error(module, input, compute_module); ssc_number_t system_capacity = compute_module_inputs->table.lookup("system_capacity")->num; hybridSystemCapacity += system_capacity; @@ -199,7 +211,10 @@ class cm_hybrid : public compute_module else { size_t count_degrad = 0; ssc_number_t* degrad = 0; - degrad = input.as_array("degradation", &count_degrad); + if (compute_module == "generic_system") + degrad = input.as_array("generic_degradation", &count_degrad); + else + degrad = input.as_array("degradation", &count_degrad); if (count_degrad == 1) { for (int i = 1; i <= analysisPeriod; i++) pDegradation[i] = pow((1.0 - degrad[0] / 100.0), i - 1); @@ -294,16 +309,18 @@ class cm_hybrid : public compute_module percent = 100.0f * ((float)(generators.size() + fuelcells.size()) / (float)(generators.size() + fuelcells.size() + batteries.size() + financials.size())); update("", percent); - std::string& compute_module = fuelcells[0]; var_data* compute_module_inputs = input_table->table.lookup(compute_module); - ssc_number_t system_capacity = compute_module_inputs->table.lookup("fuelcell_power_nameplate")->num; + ssc_module_t module = ssc_module_create(compute_module.c_str()); + class compute_module* cmod = static_cast(module); + cmod->add_var_info(vtab_hybrid_tech_om_inputs); + + ssc_number_t system_capacity = compute_module_inputs->table.lookup("fuelcell_unit_max_power")->num; + system_capacity *= compute_module_inputs->table.lookup("fuelcell_number_of_units")->num; hybridSystemCapacity += system_capacity; hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; - ssc_module_t module = ssc_module_create(compute_module.c_str()); - var_table& input = compute_module_inputs->table; ssc_data_set_array(static_cast(&input), "gen", pGen, (int)genLength); ssc_data_set_number(static_cast(&input), "system_use_lifetime_output", 1); // for fuelcell_annual_energy_discharged @@ -314,7 +331,7 @@ class cm_hybrid : public compute_module var_data* hybrid_inputs = input_table->table.lookup(hybridVarTable); var_table& hybridinput = hybrid_inputs->table; input.merge(hybridinput, false); - ssc_module_exec(module, static_cast(&input)); + ssc_module_exec_with_error(module, input, compute_module); } ssc_data_t compute_module_outputs = ssc_data_create(); @@ -410,9 +427,18 @@ class cm_hybrid : public compute_module std::string& compute_module = batteries[0]; var_data* compute_module_inputs = input_table->table.lookup(compute_module); - hybridSystemCapacity += compute_module_inputs->table.lookup("system_capacity")->num; // TODO: check capacity definitions for batteries and hybrid systems + hybridSystemCapacity += compute_module_inputs->table.lookup("batt_computed_bank_capacity")->num; // TODO: check capacity definitions for batteries and hybrid systems hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; + // copy over required dispatch variables from hybrid + compute_module_inputs->table.assign("dispatch_sched_weekday", *financial_compute_modules->table.lookup("dispatch_sched_weekday")); + compute_module_inputs->table.assign("dispatch_sched_weekend", *financial_compute_modules->table.lookup("dispatch_sched_weekend")); + compute_module_inputs->table.assign("dispatch_tod_factors", *financial_compute_modules->table.lookup("dispatch_tod_factors")); + compute_module_inputs->table.assign("grid_interconnection_limit_kwac", *financial_compute_modules->table.lookup("grid_interconnection_limit_kwac")); + compute_module_inputs->table.assign("ppa_escalation", *financial_compute_modules->table.lookup("ppa_escalation")); + compute_module_inputs->table.assign("ppa_multiplier_model", *financial_compute_modules->table.lookup("ppa_multiplier_model")); + compute_module_inputs->table.assign("ppa_price_input", *financial_compute_modules->table.lookup("ppa_price_input")); + ssc_module_t module = ssc_module_create(compute_module.c_str()); var_table& input = compute_module_inputs->table; @@ -428,7 +454,7 @@ class cm_hybrid : public compute_module input.merge(hybridinput, false); ssc_data_set_number(static_cast(&input), "en_batt", 1); - ssc_module_exec(module, static_cast(&input)); + ssc_module_exec_with_error(module, input, compute_module); } ssc_data_t compute_module_outputs = ssc_data_create(); @@ -534,7 +560,6 @@ class cm_hybrid : public compute_module pBattGen = ((var_table*)outputs)->lookup(batteries[0])->table.as_array("gen", &battGenLen); } - ssc_number_t* pHybridOMSum = ((var_table*)outputs)->allocate("cf_hybrid_om_sum", analysisPeriod + 1); // add to top level "output" - assumes analysis period the same for all generators for (int i = 0; i <= analysisPeriod; i++) @@ -584,9 +609,6 @@ class cm_hybrid : public compute_module } } - - - if (financials.size() > 0) { // run remaining compute modules with necessary inputs // note that single vartable is used to run multiple compute modules // battery outputs passed in if present @@ -627,8 +649,7 @@ class cm_hybrid : public compute_module std::string compute_module = financials[i]; ssc_module_t module = ssc_module_create(compute_module.c_str()); - ssc_module_exec(module, static_cast(&input)); - + ssc_module_exec_with_error(module, input, compute_module); int pidx = 0; while (const ssc_info_t p_inf = ssc_module_var_info(module, pidx++)) { diff --git a/ssc/common.cpp b/ssc/common.cpp index 6363dec4d..36f7dd891 100644 --- a/ssc/common.cpp +++ b/ssc/common.cpp @@ -963,15 +963,19 @@ void calculate_resilience_outputs(compute_module *cm, std::unique_ptr Date: Tue, 5 Dec 2023 11:05:13 -0700 Subject: [PATCH 10/79] remove print statement --- ssc/cmod_hybrid.cpp | 2 -- 1 file changed, 2 deletions(-) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index c1c4508c4..a181cac4d 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -189,8 +189,6 @@ class cm_hybrid : public compute_module escal_or_annual(input, pOMProduction, analysisPeriod, "om_production", inflation_rate, 0.001, false, input.as_double("om_production_escal") * 0.01); // $/kWh after conversion escal_or_annual(input, pOMCapacity, analysisPeriod, "om_capacity", inflation_rate, system_capacity, false, input.as_double("om_capacity_escal") * 0.01); // $ after multiplying by system capacity - printf("om capacity: %d, %f, %f, %f \n", analysisPeriod, inflation_rate, system_capacity, input.as_double("om_capacity_escal") * 0.01); - // production - multiply by yearly gen (initially assume single year) - use degradation - specific to each generator // pvwattsv8 - "degradation" applied in financial model - assuming single year analysis like standalone pvwatts/single owner configuration // wind - "degradation" applied in financial model - assumes system availability already applied to "gen" output From 5daf0d31c1aeff7c026a18c2ea8bf3539e53fd21 Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Wed, 6 Dec 2023 16:19:41 -0600 Subject: [PATCH 11/79] Update checks for when to do clipping --- ssc/cmod_pvsamv1.cpp | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index 6de5dbf14..4d78b4df6 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -2709,7 +2709,7 @@ void cm_pvsamv1::exec() double inv_dc_max = sharedInverter->getInverterDCMaxPower(nameplate_kw) / 1000.0 * inverter_count; //log(util::format("Inverter DC Max is %lg kW", inv_dc_max), SSC_NOTICE); double T = 1.0; - double log_test = (dcPower_kW_max - dcPower_kW_min) > 0.0 ? (1.0 - (inv_dc_max - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min)) : 0.0; + double log_test = (dcPower_kW_max - inv_dc_max) > 0.0 ? (1.0 - (inv_dc_max - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min)) : 0.0; log(util::format("log_test is %lg", log_test), SSC_NOTICE); ssc_number_t t_lm = 0.0; if (log_test > 0.0 && n > 0.0) { @@ -2733,11 +2733,11 @@ void cm_pvsamv1::exec() } } else { - PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)1; + PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)0; } } else { - PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)2; + PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)0; } } From 6de6421b0ad22995ccc9b2e8ca9ce740470a7f77 Mon Sep 17 00:00:00 2001 From: Darice Date: Thu, 7 Dec 2023 13:01:41 -0700 Subject: [PATCH 12/79] fix test/CMakeLists.txt for Debug --- test/CMakeLists.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/test/CMakeLists.txt b/test/CMakeLists.txt index 366357199..7a854f87a 100644 --- a/test/CMakeLists.txt +++ b/test/CMakeLists.txt @@ -77,7 +77,7 @@ endif() if (CMAKE_BUILD_TYPE STREQUAL "Debug" OR MSVC) find_library( GTESTD_LIB - NAMES libgtestd.a gtestd.lib libgtesdt.so + NAMES libgtestd.a gtest.lib libgtestd.so PATHS $ENV{GTEST_DIR} ${GTDIR}/build/lib ${GTDIR}/build/lib/Debug) target_link_libraries(Test debug ${GTESTD_LIB}) endif() From e90d91800bd17eca6b2501831f2a84ad4ee65a01 Mon Sep 17 00:00:00 2001 From: Brian Mirletz Date: Tue, 12 Dec 2023 10:44:37 -0700 Subject: [PATCH 13/79] Add check for crit load unmet to self consumption metrics --- ssc/cmod_battery.cpp | 12 +++++++++++- 1 file changed, 11 insertions(+), 1 deletion(-) diff --git a/ssc/cmod_battery.cpp b/ssc/cmod_battery.cpp index 8a8aef3d9..d65fff4fe 100644 --- a/ssc/cmod_battery.cpp +++ b/ssc/cmod_battery.cpp @@ -2105,13 +2105,23 @@ void battstor::calculate_monthly_and_annual_outputs(compute_module& cm) if (batt_vars->batt_dispatch == dispatch_t::SELF_CONSUMPTION) { + std::vector crit_load_unmet; + if (cm.is_assigned("crit_load_unmet")) { + crit_load_unmet = cm.as_vector_double("crit_load_unmet"); + } + //calculate all outputs for number of timesteps the load is met by the system, using grid_to_load == 0 as a qualification //better to parse the grid_to_load timeseries once here for all outputs, than to create a new timeseries variable for whether load is met by system outTimestepsLoadMetBySystemYear1 = 0.0; outTimestepsLoadMetBySystemLifetime = 0.0; for (size_t i = 0; i < total_steps; i++) { - if (outGridToLoad[i] == 0.0) + double crit_load_unmet_i = 0.0; + if (i < crit_load_unmet.size()) { + crit_load_unmet_i = crit_load_unmet[i]; + } + + if (outGridToLoad[i] == 0.0 && crit_load_unmet_i == 0.0) { outTimestepsLoadMetBySystemLifetime++; if (i < step_per_year) outTimestepsLoadMetBySystemYear1++; From d7cdb555c3944c73fbca103a10e95629fc4e9c7e Mon Sep 17 00:00:00 2001 From: Brian Mirletz Date: Tue, 12 Dec 2023 11:07:25 -0700 Subject: [PATCH 14/79] Correct size versus iprofile index for manual dispatch fuel cell and grid discharge arrays --- shared/lib_battery_dispatch_manual.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/shared/lib_battery_dispatch_manual.cpp b/shared/lib_battery_dispatch_manual.cpp index 1c203815d..d8e15fff2 100644 --- a/shared/lib_battery_dispatch_manual.cpp +++ b/shared/lib_battery_dispatch_manual.cpp @@ -116,11 +116,11 @@ void dispatch_manual_t::prepareDispatch(size_t hour_of_year, size_t ) m_batteryPower->canGridCharge = _gridcharge_array[iprofile - 1]; m_batteryPower->canClipCharge = _can_clip_charge; - if (iprofile < _fuelcellcharge_array.size()) { + if (iprofile <= _fuelcellcharge_array.size()) { m_batteryPower->canFuelCellCharge = _fuelcellcharge_array[iprofile - 1]; } - if (iprofile < _discharge_grid_array.size()) { + if (iprofile <= _discharge_grid_array.size()) { m_batteryPower->canDischargeToGrid = _discharge_grid_array[iprofile - 1]; } From 6f87cf33a0be59b260484a4ae8fd97bacdc2bed1 Mon Sep 17 00:00:00 2001 From: Darice Date: Mon, 18 Dec 2023 08:07:38 -0700 Subject: [PATCH 15/79] adjustment factors default to 0 --- ssc/common.cpp | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/ssc/common.cpp b/ssc/common.cpp index 36f7dd891..d6e6dae8d 100644 --- a/ssc/common.cpp +++ b/ssc/common.cpp @@ -515,7 +515,7 @@ var_info_invalid var_info vtab_adjustment_factors[] = { { SSC_INPUT,SSC_NUMBER , "adjust_constant" , "Constant loss adjustment" , "%", -"'adjust' and 'constant' separated by _ instead of : after SAM 2022.12.21", "Adjustment Factors" , "*" , "MAX=100" , ""}, +"'adjust' and 'constant' separated by _ instead of : after SAM 2022.12.21", "Adjustment Factors" , "?=0" , "MAX=100" , ""}, { SSC_INPUT, SSC_NUMBER, "adjust_en_timeindex" , "Enable lifetime adjustment factors", "0/1", "'adjust' and 'en_timeindex' separated by _ instead of : after SAM 2022.12.21", "Adjustment Factors", "?=0", "BOOLEAN", "" }, { SSC_INPUT, SSC_NUMBER, "adjust_en_periods" , "Enable period-based adjustment factors", "0/1", @@ -534,7 +534,7 @@ var_info_invalid }; var_info vtab_dc_adjustment_factors[] = { { SSC_INPUT,SSC_NUMBER , "dc_adjust_constant" , "DC Constant loss adjustment" , "%", -"'dc_adjust' and 'constant' separated by _ instead of : after SAM 2022.12.21" , "Adjustment Factors" , "*" , "MAX=100" , ""}, +"'dc_adjust' and 'constant' separated by _ instead of : after SAM 2022.12.21" , "Adjustment Factors" , "?=0" , "MAX=100" , ""}, { SSC_INPUT, SSC_NUMBER, "dc_adjust_en_timeindex" , "Enable lifetime adjustment factors", "0/1", "", "Adjustment Factors", "?=0", "BOOLEAN", "" }, { SSC_INPUT, SSC_NUMBER, "dc_adjust_en_periods" , "Enable period-based adjustment factors", "0/1", "", "Adjustment Factors", "?=0", "BOOLEAN", "" }, /* removed from UI but still used in scripts @@ -549,7 +549,7 @@ var_info_invalid }; var_info vtab_sf_adjustment_factors[] = { { SSC_INPUT,SSC_NUMBER , "sf_adjust_constant" , "SF Constant loss adjustment" , "%", -"'sf_adjust' and 'constant' separated by _ instead of : after SAM 2022.12.21" , "Adjustment Factors" , "*" , "MAX=100" , ""}, +"'sf_adjust' and 'constant' separated by _ instead of : after SAM 2022.12.21" , "Adjustment Factors" , "?=0" , "MAX=100" , ""}, { SSC_INPUT, SSC_NUMBER, "sf_adjust_en_timeindex" , "Enable lifetime adjustment factors", "0/1", "", "Adjustment Factors", "?=0", "BOOLEAN", "" }, { SSC_INPUT, SSC_NUMBER, "sf_adjust_en_periods" , "Enable period-based adjustment factors", "0/1", "", "Adjustment Factors", "?=0", "BOOLEAN", "" }, /* removed from UI but still used in scripts From 81596ea5483bca8be27484549fbf49fbde77562b Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Fri, 22 Dec 2023 10:40:27 -0600 Subject: [PATCH 16/79] Refactor walker method, need to test --- ssc/cmod_pvsamv1.cpp | 109 ++++++++++++++++++++++--------------------- 1 file changed, 56 insertions(+), 53 deletions(-) diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index 4d78b4df6..fcf32d986 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -1134,6 +1134,7 @@ void cm_pvsamv1::exec() double ref_area_m2 = Subarrays[0]->Module->referenceArea; double module_watts_stc = Subarrays[0]->Module->moduleWattsSTC; SharedInverter* sharedInverter = PVSystem->m_sharedInverter.get(); + SharedInverter* sharedInverter_clipping = PVSystem->m_sharedInverter.get(); @@ -2688,59 +2689,6 @@ void cm_pvsamv1::exec() } } - if (as_integer("enable_subinterval_distribution")==1) { - if (dcPower_kW > 0.0) { - double dcPower_kW_max = dcPower_kW_csky; - log(util::format("dcPower max is %lg", dcPower_kW_max), SSC_NOTICE); - double alt_angle = Irradiance->p_sunAltitudeAngle[idx] * M_PI / 180; - if (Irradiance->p_sunAltitudeAngle[idx] < 0.001) alt_angle = 0.001 * M_PI / 180; //For negative alt angle - double AM = 1.0 / sin(alt_angle); - if (AM > 38.0) AM = 38.0; - double dcPower_kW_min = dcPower_kW_max * 0.045 / AM; //AM? - log(util::format("dcPower min is %lg", dcPower_kW_min), SSC_NOTICE); - double dcPower_kW_avg = dcPower_kW; - double CF = (dcPower_kW_max - dcPower_kW_min) > 0.0 ? (dcPower_kW_avg - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min) : 0.0; - if (CF == 1.0) CF = 0.999999; - double n = CF / (1 - CF); - log(util::format("n is %lg", n), SSC_NOTICE); - int inverter_count = as_integer("inverter_count"); - //int inverter_count = 99; - //sharedInverter->calculateACPower(dcPower_kW_csky, dcVoltagePerMppt[0], Irradiance->weatherRecord.tdry, as_boolean("enable_subhourly_clipping")); - double inv_dc_max = sharedInverter->getInverterDCMaxPower(nameplate_kw) / 1000.0 * inverter_count; - //log(util::format("Inverter DC Max is %lg kW", inv_dc_max), SSC_NOTICE); - double T = 1.0; - double log_test = (dcPower_kW_max - inv_dc_max) > 0.0 ? (1.0 - (inv_dc_max - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min)) : 0.0; - log(util::format("log_test is %lg", log_test), SSC_NOTICE); - ssc_number_t t_lm = 0.0; - if (log_test > 0.0 && n > 0.0) { - t_lm = T * std::exp(std::log(1.0 - (inv_dc_max - dcPower_kW_min) / (dcPower_kW_max - dcPower_kW_min)) / n); //fraction of hours - } - log(util::format("t_lm is %lg", t_lm), SSC_NOTICE); - double E_clipped = dcPower_kW_max * t_lm - ((dcPower_kW_max - dcPower_kW_min) * pow(t_lm, n + 1) / ((n + 1) * pow(T, n))) - inv_dc_max * t_lm; - log(util::format("E_clipped is %lg kW", E_clipped), SSC_NOTICE); - double E_remaining = (inv_dc_max - dcPower_kW_max) * T + ((dcPower_kW_max - dcPower_kW_min) * pow(T, n + 1) / ((n + 1) * pow(T, n))) - (inv_dc_max - dcPower_kW_max) * t_lm - - ((dcPower_kW_max - dcPower_kW_min) * pow(t_lm, n + 1) / ((n + 1) * pow(T, n))); - double subinterval_clipping_loss = E_clipped; - if (E_clipped > 0.0 && E_clipped < 1.0e38) { - for (size_t m = 0; m < PVSystem->Inverter->nMpptInputs; m++) - { - dcPowerNetPerMppt_kW[m] -= E_clipped * dcPowerNetPerMppt_kW[m] / dcPower_kW; - } - dcPower_kW -= E_clipped; - PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)E_clipped; - if (iyear == 0) { - annual_distribution_clipping_loss += E_clipped; - } - } - else { - PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)0; - } - } - else { - PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)0; - } - - } //run AC power calculation if (en_batt && (batt_topology == ChargeController::DC_CONNECTED)) // DC-connected battery @@ -2844,6 +2792,61 @@ void cm_pvsamv1::exec() ac_subhourlyclipping_loss = 0.0; } } + if (as_integer("enable_subinterval_distribution") == 1) { + if (dcPower_kW > 0.0) { + double acPower_kW_max = dcPower_kW_csky; + sharedInverter_clipping->calculateACPower(dcPower_kW_csky, dcVoltagePerMppt[0], Irradiance->weatherRecord.tdry); + acPower_kW_max = sharedInverter_clipping->powerAC_kW + sharedInverter_clipping->powerClipLoss_kW; + log(util::format("dcPower max is %lg", acPower_kW_max), SSC_NOTICE); + double alt_angle = Irradiance->p_sunAltitudeAngle[idx] * M_PI / 180; + if (Irradiance->p_sunAltitudeAngle[idx] < 0.001) alt_angle = 0.001 * M_PI / 180; //For negative alt angle + double AM = 1.0 / sin(alt_angle); + if (AM > 38.0) AM = 38.0; + double acPower_kW_min = acPower_kW_max * 0.045 / AM; //AM? + log(util::format("dcPower min is %lg", acPower_kW_min), SSC_NOTICE); + double acPower_kW_avg = sharedInverter->powerAC_kW + sharedInverter_clipping->powerClipLoss_kW; + double CF = (acPower_kW_max - acPower_kW_min) > 0.0 ? (acPower_kW_avg - acPower_kW_min) / (acPower_kW_max - acPower_kW_min) : 0.0; + if (CF == 1.0) CF = 0.999999; + double n = CF / (1 - CF); + log(util::format("n is %lg", n), SSC_NOTICE); + int inverter_count = as_integer("inverter_count"); + //int inverter_count = 99; + //sharedInverter->calculateACPower(dcPower_kW_csky, dcVoltagePerMppt[0], Irradiance->weatherRecord.tdry, as_boolean("enable_subhourly_clipping")); + double inv_ac_max = sharedInverter->getACNameplateCapacitykW(); + //log(util::format("Inverter DC Max is %lg kW", inv_dc_max), SSC_NOTICE); + double T = 1.0; + double log_test = (acPower_kW_max - inv_ac_max) > 0.0 ? (1.0 - (inv_ac_max - acPower_kW_min) / (acPower_kW_max - acPower_kW_min)) : 0.0; + log(util::format("log_test is %lg", log_test), SSC_NOTICE); + ssc_number_t t_lm = 0.0; + if (log_test > 0.0 && n > 0.0) { + t_lm = T * std::exp(std::log(1.0 - (inv_ac_max - acPower_kW_min) / (acPower_kW_max - acPower_kW_min)) / n); //fraction of hours + } + log(util::format("t_lm is %lg", t_lm), SSC_NOTICE); + double E_clipped = acPower_kW_max * t_lm - ((acPower_kW_max - acPower_kW_min) * pow(t_lm, n + 1) / ((n + 1) * pow(T, n))) - inv_ac_max * t_lm; + log(util::format("E_clipped is %lg kW", E_clipped), SSC_NOTICE); + double E_remaining = (inv_ac_max - acPower_kW_max) * T + ((acPower_kW_max - acPower_kW_min) * pow(T, n + 1) / ((n + 1) * pow(T, n))) - (inv_ac_max - acPower_kW_max) * t_lm - + ((acPower_kW_max - acPower_kW_min) * pow(t_lm, n + 1) / ((n + 1) * pow(T, n))); + double subinterval_clipping_loss = E_clipped; + if (E_clipped > 0.0 && E_clipped < 1.0e38) { + for (size_t m = 0; m < PVSystem->Inverter->nMpptInputs; m++) + { + dcPowerNetPerMppt_kW[m] -= E_clipped * dcPowerNetPerMppt_kW[m] / dcPower_kW; + } + dcPower_kW -= E_clipped; + PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)E_clipped; + if (iyear == 0) { + annual_distribution_clipping_loss += E_clipped; + } + } + else { + PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)0; + } + } + else { + PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)0; + } + + } From 2fe622d8833453d411fcd6a1e6a71b62acd90d1c Mon Sep 17 00:00:00 2001 From: Janine Keith Date: Tue, 2 Jan 2024 13:36:21 -0700 Subject: [PATCH 17/79] update git attributes file to correctly calculate language breakdown of project with linguist (#1119) --- .gitattributes | 12 ++++++++---- 1 file changed, 8 insertions(+), 4 deletions(-) diff --git a/.gitattributes b/.gitattributes index b8451bf9e..2790a981c 100644 --- a/.gitattributes +++ b/.gitattributes @@ -1,11 +1,15 @@ -doc/* linguist-documentation=true +doc/** linguist-documentation=true tcsdata/docs/* linguist-documentation=true + *.c linguist-vendored -lpsolve/* linguist-vendored=true -nlopt/* linguist-vendored=true -examples/* linguist-vendored=true +lpsolve/** linguist-vendored=true +nlopt/** linguist-vendored=true +samples/** linguist-vendored=true +test/input_docs/** linguist-vendored=true +splinter/** linguist-vendored=true +rapidjson/** linguist-vendored=true build_*/* linguist_generated=true From 351ee4575b71230fd959d7d48dad886326619e9b Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Fri, 12 Jan 2024 13:10:08 -0600 Subject: [PATCH 18/79] Update walker method, results matching example excel case --- shared/lib_pv_io_manager.cpp | 1 + shared/lib_pv_io_manager.h | 1 + ssc/cmod_pvsamv1.cpp | 37 ++++++++++++++++++++++++++++-------- 3 files changed, 31 insertions(+), 8 deletions(-) diff --git a/shared/lib_pv_io_manager.cpp b/shared/lib_pv_io_manager.cpp index b92879ef9..01f786c11 100644 --- a/shared/lib_pv_io_manager.cpp +++ b/shared/lib_pv_io_manager.cpp @@ -973,6 +973,7 @@ void PVSystem_IO::AllocateOutputs(compute_module* cm) p_dcLifetimeLoss = cm->allocate("dc_lifetime_loss", numberOfWeatherFileRecords); p_systemDCPower = cm->allocate("dc_net", numberOfLifetimeRecords); p_systemACPower = cm->allocate("gen", numberOfLifetimeRecords); + p_systemACPowerMax = cm->allocate("ac_csky_max", numberOfLifetimeRecords); p_systemDCPowerCS = cm->allocate("dc_net_clearsky", numberOfLifetimeRecords); p_subhourlyClippingLoss = cm->allocate("subhourly_clipping_loss", numberOfLifetimeRecords); diff --git a/shared/lib_pv_io_manager.h b/shared/lib_pv_io_manager.h index d1d3447d4..b9de264db 100644 --- a/shared/lib_pv_io_manager.h +++ b/shared/lib_pv_io_manager.h @@ -419,6 +419,7 @@ struct PVSystem_IO ssc_number_t *p_systemDCPower; // kWdc ssc_number_t* p_systemDCPowerCS; // kWdc ssc_number_t *p_systemACPower; // kWac + ssc_number_t* p_systemACPowerMax; //kWac ssc_number_t *p_subhourlyClippingLoss; ssc_number_t* p_subhourlyClippingLossFactor; diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index fcf32d986..21ab16e48 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -2628,6 +2628,14 @@ void cm_pvsamv1::exec() } assign("nominal_annual_clipping_output", nominal_annual_clipping_output); } + if (as_boolean("enable_subinterval_distribution")) { + for (size_t inrec = 0; inrec < nrec; inrec++) { + idx = inrec; + double dcPower_kW_max = PVSystem->p_systemDCPowerCS[idx]; + sharedInverter->calculateACPower(dcPower_kW_max, PVSystem->p_mpptVoltage[0][idx], Irradiance->weatherRecord.tdry); + PVSystem->p_systemACPowerMax[idx] = sharedInverter->powerAC_kW + sharedInverter->powerClipLoss_kW; + } + } for (size_t iyear = 0; iyear < nyears; iyear++) { @@ -2668,6 +2676,7 @@ void cm_pvsamv1::exec() double acpwr_gross = 0, ac_wiringloss = 0, transmissionloss = 0; double ac_subhourlyclipping_loss = 0; + double ac_subinterval_clipping_loss = 0; cur_load = p_load_full[idx]; //set DC voltages for use in AC power calculation @@ -2795,16 +2804,19 @@ void cm_pvsamv1::exec() if (as_integer("enable_subinterval_distribution") == 1) { if (dcPower_kW > 0.0) { double acPower_kW_max = dcPower_kW_csky; - sharedInverter_clipping->calculateACPower(dcPower_kW_csky, dcVoltagePerMppt[0], Irradiance->weatherRecord.tdry); - acPower_kW_max = sharedInverter_clipping->powerAC_kW + sharedInverter_clipping->powerClipLoss_kW; + double acPower_kW_avg = sharedInverter->powerAC_kW + sharedInverter->powerClipLoss_kW; + acpwr_gross += sharedInverter->powerClipLoss_kW; //add clipping loss back in + sharedInverter->powerClipLoss_kW = 0; //set standard clipping to zero; + //sharedInverter_clipping->calculateACPower(dcPower_kW_csky, dcVoltagePerMppt[0], Irradiance->weatherRecord.tdry); + acPower_kW_max = std::max(PVSystem->p_systemACPowerMax[idx], acPower_kW_avg); log(util::format("dcPower max is %lg", acPower_kW_max), SSC_NOTICE); double alt_angle = Irradiance->p_sunAltitudeAngle[idx] * M_PI / 180; if (Irradiance->p_sunAltitudeAngle[idx] < 0.001) alt_angle = 0.001 * M_PI / 180; //For negative alt angle double AM = 1.0 / sin(alt_angle); if (AM > 38.0) AM = 38.0; - double acPower_kW_min = acPower_kW_max * 0.045 / AM; //AM? + double acPower_kW_min = std::min(acPower_kW_max * 0.045 / AM, acPower_kW_avg); //AM? log(util::format("dcPower min is %lg", acPower_kW_min), SSC_NOTICE); - double acPower_kW_avg = sharedInverter->powerAC_kW + sharedInverter_clipping->powerClipLoss_kW; + //double acPower_kW_avg = sharedInverter->powerAC_kW + sharedInverter->powerClipLoss_kW; double CF = (acPower_kW_max - acPower_kW_min) > 0.0 ? (acPower_kW_avg - acPower_kW_min) / (acPower_kW_max - acPower_kW_min) : 0.0; if (CF == 1.0) CF = 0.999999; double n = CF / (1 - CF); @@ -2826,7 +2838,13 @@ void cm_pvsamv1::exec() log(util::format("E_clipped is %lg kW", E_clipped), SSC_NOTICE); double E_remaining = (inv_ac_max - acPower_kW_max) * T + ((acPower_kW_max - acPower_kW_min) * pow(T, n + 1) / ((n + 1) * pow(T, n))) - (inv_ac_max - acPower_kW_max) * t_lm - ((acPower_kW_max - acPower_kW_min) * pow(t_lm, n + 1) / ((n + 1) * pow(T, n))); - double subinterval_clipping_loss = E_clipped; + if (E_clipped > 0.0 && E_clipped < 1.0e38) { + ac_subinterval_clipping_loss = E_clipped; + } + else { + ac_subinterval_clipping_loss = 0.0; + } + /* if (E_clipped > 0.0 && E_clipped < 1.0e38) { for (size_t m = 0; m < PVSystem->Inverter->nMpptInputs; m++) { @@ -2841,11 +2859,12 @@ void cm_pvsamv1::exec() else { PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)0; } + */ } else { - PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)0; + ac_subinterval_clipping_loss = (ssc_number_t)0; } - + } @@ -2863,6 +2882,7 @@ void cm_pvsamv1::exec() annual_ac_wiring_loss += ac_wiringloss * ts_hour; annual_subhourly_clipping_loss += ac_subhourlyclipping_loss; + annual_distribution_clipping_loss += ac_subinterval_clipping_loss; } if (iyear == 0 || save_full_lifetime_variables == 1) @@ -2874,6 +2894,7 @@ void cm_pvsamv1::exec() PVSystem->p_inverterThermalLoss[idx] = (ssc_number_t)(sharedInverter->powerTempLoss_kW); PVSystem->p_acWiringLoss[idx] = (ssc_number_t)(ac_wiringloss); PVSystem->p_subhourlyClippingLoss[idx] = (ssc_number_t)(ac_subhourlyclipping_loss); + PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)(ac_subinterval_clipping_loss); if (offline) { PVSystem->p_inverterNightTimeLoss[idx] = 0.0; @@ -2891,7 +2912,7 @@ void cm_pvsamv1::exec() PVSystem->p_systemDCPower[idx] = (ssc_number_t)(sharedInverter->powerDC_kW); //ac losses should always be subtracted, this means you can't just multiply by the derate because at nighttime it will add power - PVSystem->p_systemACPower[idx] = (ssc_number_t)(acpwr_gross - ac_wiringloss - ac_subhourlyclipping_loss); + PVSystem->p_systemACPower[idx] = (ssc_number_t)(acpwr_gross - ac_wiringloss - ac_subhourlyclipping_loss - ac_subinterval_clipping_loss); // AC connected batteries will set this laster if (en_batt && (batt_topology == ChargeController::DC_CONNECTED)) { batt->outGenWithoutBattery[idx] -= std::abs(batt->outGenWithoutBattery[idx]) * PVSystem->acLossPercent * 0.01;; From d4dfcee83d6cf94d076c602e23f8fc52f8303835 Mon Sep 17 00:00:00 2001 From: tyneises Date: Mon, 15 Jan 2024 10:58:00 -0600 Subject: [PATCH 19/79] Fix trough dispatch (#1121) * define outputs required downstream * move new outputs to design point section of cmod * add sim_type required if to financial model inputs * Add check to ensure trough_loop_control and nSCA agree * Add check to IPH to ensure trough_loop_control and nSCA agree * Remove nSCA as an input for CSP and IPH models. Add as design output. --------- Co-authored-by: Taylor Brown <60201147+taylorbrown75@users.noreply.github.com> --- ssc/cmod_trough_physical.cpp | 67 ++++++++++++++++++++------------ ssc/cmod_trough_physical_iph.cpp | 17 ++++---- 2 files changed, 52 insertions(+), 32 deletions(-) diff --git a/ssc/cmod_trough_physical.cpp b/ssc/cmod_trough_physical.cpp index e967ebe64..8e54af48c 100644 --- a/ssc/cmod_trough_physical.cpp +++ b/ssc/cmod_trough_physical.cpp @@ -70,7 +70,6 @@ static var_info _cm_vtab_trough_physical[] = { //{ SSC_INPUT, SSC_NUMBER, "track_mode", "Tracking mode", "none", "", "weather", "*", "", "" }, // Solar Field, Trough - { SSC_INPUT, SSC_NUMBER, "nSCA", "Number of SCAs in a loop", "none", "", "solar_field", "*", "", "" }, { SSC_INPUT, SSC_NUMBER, "nHCEt", "Number of HCE types", "none", "", "solar_field", "*", "", "" }, { SSC_INPUT, SSC_NUMBER, "nColt", "Number of collector types", "none", "constant=4", "solar_field", "*", "", "" }, { SSC_INPUT, SSC_NUMBER, "nHCEVar", "Number of HCE variants per type", "none", "", "solar_field", "*", "", "" }, @@ -239,19 +238,19 @@ static var_info _cm_vtab_trough_physical[] = { { SSC_INPUT, SSC_NUMBER, "csp_financial_model", "", "1-8", "", "Financial Model", "?=1", "INTEGER,MIN=0", "" }, { SSC_INPUT, SSC_NUMBER, "ppa_multiplier_model", "PPA multiplier model 0: dispatch factors dispatch_factorX, 1: hourly multipliers dispatch_factors_ts", "0/1", "0=diurnal,1=timestep", "tou", "?=0", /*need a default so this var works in required_if*/ "INTEGER,MIN=0", "SIMULATION_PARAMETER" }, - { SSC_INPUT, SSC_ARRAY, "dispatch_factors_ts", "Dispatch payment factor array", "", "", "tou", "ppa_multiplier_model=1&csp_financial_model<5&is_dispatch=1","", "SIMULATION_PARAMETER" }, - { SSC_INPUT, SSC_NUMBER, "ppa_soln_mode", "PPA solution mode (0=Specify IRR target, 1=Specify PPA price)", "", "", "Financial Solution Mode","ppa_multiplier_model=0&csp_financial_model<5&is_dispatch=1","", "SIMULATION_PARAMETER" }, + { SSC_INPUT, SSC_ARRAY, "dispatch_factors_ts", "Dispatch payment factor array", "", "", "tou", "sim_type=1&ppa_multiplier_model=1&csp_financial_model<5&is_dispatch=1","", "SIMULATION_PARAMETER" }, + { SSC_INPUT, SSC_NUMBER, "ppa_soln_mode", "PPA solution mode (0=Specify IRR target, 1=Specify PPA price)", "", "", "Financial Solution Mode","sim_type=1&ppa_multiplier_model=0&csp_financial_model<5&is_dispatch=1","", "SIMULATION_PARAMETER" }, { SSC_INPUT, SSC_NUMBER, "en_electricity_rates", "Enable electricity rates for grid purchase", "0/1", "", "Electricity Rates", "?=0", "", "SIMULATION_PARAMETER" }, - { SSC_INPUT, SSC_MATRIX, "dispatch_sched_weekday", "12x24 PPA pricing Weekday schedule", "", "", "tou", "ppa_multiplier_model=0&csp_financial_model<5&is_dispatch=1","", "SIMULATION_PARAMETER" }, - { SSC_INPUT, SSC_MATRIX, "dispatch_sched_weekend", "12x24 PPA pricing Weekend schedule", "", "", "tou", "ppa_multiplier_model=0&csp_financial_model<5&is_dispatch=1","", "SIMULATION_PARAMETER" }, + { SSC_INPUT, SSC_MATRIX, "dispatch_sched_weekday", "12x24 PPA pricing Weekday schedule", "", "", "tou", "sim_type=1&ppa_multiplier_model=0&csp_financial_model<5&is_dispatch=1","", "SIMULATION_PARAMETER" }, + { SSC_INPUT, SSC_MATRIX, "dispatch_sched_weekend", "12x24 PPA pricing Weekend schedule", "", "", "tou", "sim_type=1&ppa_multiplier_model=0&csp_financial_model<5&is_dispatch=1","", "SIMULATION_PARAMETER" }, { SSC_INPUT, SSC_ARRAY, "dispatch_tod_factors", "TOD factors for periods 1 through 9", "", - "We added this array input after SAM 2022.12.21 to replace the functionality of former single value inputs dispatch_factor1 through dispatch_factor9", "Time of Delivery Factors","ppa_multiplier_model=0&csp_financial_model<5&is_dispatch=1","", "SIMULATION_PARAMETER" }, + "We added this array input after SAM 2022.12.21 to replace the functionality of former single value inputs dispatch_factor1 through dispatch_factor9", "Time of Delivery Factors","sim_type=1&ppa_multiplier_model=0&csp_financial_model<5&is_dispatch=1","", "SIMULATION_PARAMETER" }, { SSC_INPUT, SSC_NUMBER, "is_dispatch_series", "Use time-series dispatch factors", "", "", "tou", "?=1", "", "" }, { SSC_INPUT, SSC_ARRAY, "dispatch_series", "Time series dispatch factors", "", "", "tou", "", "", "" }, { SSC_INPUT, SSC_ARRAY, "timestep_load_fractions", "Turbine load fraction for each timestep, alternative to block dispatch", "", "", "tou", "?", "", "SIMULATION_PARAMETER" }, - { SSC_INPUT, SSC_ARRAY, "ppa_price_input", "PPA prices - yearly", "$/kWh", "", "Revenue", "ppa_multiplier_model=0&csp_financial_model<5&is_dispatch=1","", "SIMULATION_PARAMETER" }, - { SSC_INPUT, SSC_MATRIX, "mp_energy_market_revenue", "Energy market revenue input", "", "Lifetime x 2[Cleared Capacity(MW),Price($/MWh)]", "Revenue", "csp_financial_model=6&is_dispatch=1", "", "SIMULATION_PARAMETER" }, + { SSC_INPUT, SSC_ARRAY, "ppa_price_input", "PPA prices - yearly", "$/kWh", "", "Revenue", "sim_type=1&ppa_multiplier_model=0&csp_financial_model<5&is_dispatch=1","", "SIMULATION_PARAMETER" }, + { SSC_INPUT, SSC_MATRIX, "mp_energy_market_revenue", "Energy market revenue input", "", "Lifetime x 2[Cleared Capacity(MW),Price($/MWh)]", "Revenue", "sim_type=1&csp_financial_model=6&is_dispatch=1", "", "SIMULATION_PARAMETER" }, // System { SSC_INPUT, SSC_NUMBER, "pb_fixed_par", "Fraction of rated gross power constantly consumed", "MWe/MWcap", "", "system", "*", "", "" }, @@ -260,7 +259,6 @@ static var_info _cm_vtab_trough_physical[] = { { SSC_INPUT, SSC_NUMBER, "gross_net_conversion_factor", "Estimated gross to net conversion factor", "", "", "system", "*", "", "" }, { SSC_INPUT, SSC_NUMBER, "water_usage_per_wash", "Water usage per wash", "L/m2_aper", "", "system", "*", "", "" }, { SSC_INPUT, SSC_NUMBER, "washing_frequency", "Mirror washing frequency", "-/year", "", "system", "*", "", "" }, - //{ SSC_INPUT, SSC_NUMBER, "system_capacity", "Nameplate capacity", "kW", "", "system", "*", "", "" }, // Newly added { SSC_INPUT, SSC_NUMBER, "calc_design_pipe_vals", "Calculate temps and pressures at design conditions for runners and headers", "none", "", "solar_field", "*", "", "" }, @@ -353,6 +351,12 @@ static var_info _cm_vtab_trough_physical[] = { // OUTPUTS // ************************************************************************************************* + // System capacity required by downstream financial model + { SSC_OUTPUT, SSC_NUMBER, "system_capacity", "System capacity", "kWe", "", "System Costs", "*", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "cp_system_nameplate", "System capacity for capacity payments", "MWe", "", "System Costs", "*", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "cp_battery_nameplate", "Battery nameplate", "MWe", "", "System Costs", "*", "", "" }, + + // Design Point Outputs { SSC_OUTPUT, SSC_NUMBER, "q_dot_cycle_des", "Cycle thermal power at design", "MWt", "", "Power Cycle", "*", "", "" }, { SSC_OUTPUT, SSC_NUMBER, "nameplate", "Nameplate capacity", "MWe", "", "System Design Calc","*", "", "" }, @@ -360,11 +364,12 @@ static var_info _cm_vtab_trough_physical[] = { // Solar Field - { SSC_OUTPUT, SSC_NUMBER, "field_htf_min_temp", "Minimum field htf temp", "C", "", "Power Cycle", "*", "", "" }, - { SSC_OUTPUT, SSC_NUMBER, "field_htf_max_temp", "Maximum field htf temp", "C", "", "Power Cycle", "*", "", "" }, - { SSC_OUTPUT, SSC_NUMBER, "field_htf_cp_avg_des", "Field average htf cp at design", "kJ/kgK", "", "Solar Field", "*", "", "" }, - { SSC_OUTPUT, SSC_NUMBER, "single_loop_aperture", "Single loop aperture", "m2", "", "Solar Field", "*", "", "" }, - { SSC_OUTPUT, SSC_NUMBER, "min_inner_diameter", "Minimum absorber inner diameter in loop", "m", "", "Solar Field", "*", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "nSCA", "Number of SCAs in a loop", "none", "", "solar_field", "*", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "field_htf_min_temp", "Minimum field htf temp", "C", "", "Power Cycle", "*", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "field_htf_max_temp", "Maximum field htf temp", "C", "", "Power Cycle", "*", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "field_htf_cp_avg_des", "Field average htf cp at design", "kJ/kgK", "", "Solar Field", "*", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "single_loop_aperture", "Single loop aperture", "m2", "", "Solar Field", "*", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "min_inner_diameter", "Minimum absorber inner diameter in loop", "m", "", "Solar Field", "*", "", "" }, { SSC_OUTPUT, SSC_ARRAY, "csp_dtr_hce_design_heat_losses", "Heat loss at design", "W/m", "", "Solar Field", "*", "", "" }, { SSC_OUTPUT, SSC_NUMBER, "csp_dtr_loop_hce_heat_loss", "Loop Heat Loss from HCE at Design", "W/m", "", "Solar Field", "*", "", "" }, { SSC_OUTPUT, SSC_ARRAY, "csp_dtr_sca_calc_sca_effs", "SCA optical efficiencies at design", "", "", "Solar Field", "*", "", "" }, @@ -663,7 +668,8 @@ class cm_trough_physical : public compute_module } void exec( ) - { + { + // Common Parameters bool is_dispatch = as_boolean("is_dispatch"); int sim_type = as_integer("sim_type"); @@ -790,10 +796,19 @@ class cm_trough_physical : public compute_module { // Collect Inputs { + c_trough.m_use_solar_mult_or_aperture_area = as_integer("use_solar_mult_or_aperture_area"); // Use specified solar mult (0) or total aperture (1) c_trough.m_specified_solar_mult = as_double("specified_solar_multiple"); // User specified solar mult c_trough.m_specified_total_aperture = as_double("specified_total_aperture"); //[m2] User specified total aperture - c_trough.m_nSCA = as_integer("nSCA"); //[-] Number of SCA's in a loop + + // ADDED Trough Inputs (TMB 10/06/2023) for design point calculations + std::vector trough_loop_vec = as_vector_double("trough_loop_control"); + c_trough.m_trough_loop_control.assign(&trough_loop_vec[0], trough_loop_vec.size()); + + int actual_nSCA = trough_loop_vec[0]; + + + c_trough.m_nSCA = actual_nSCA; //[-] Number of SCA's in a loop c_trough.m_nHCEt = as_integer("nHCEt"); //[-] Number of HCE types c_trough.m_nColt = as_integer("nColt"); //[-] Number of collector types c_trough.m_nHCEVar = as_integer("nHCEVar"); //[-] Number of HCE variants per t @@ -1011,11 +1026,6 @@ class cm_trough_physical : public compute_module c_trough.m_sf_hdr_diams = as_matrix("sf_hdr_diams"); //[m] Imported header diameters, used if custom_sf_pipe_sizes is true c_trough.m_sf_hdr_wallthicks = as_matrix("sf_hdr_wallthicks"); //[m] Imported header wall thicknesses, used if custom_sf_pipe_sizes is true c_trough.m_sf_hdr_lengths = as_matrix("sf_hdr_lengths"); //[m] Imported header lengths, used if custom_sf_pipe_sizes is true - - - // ADDED Trough Inputs (TMB 10/06/2023) for design point calculations - std::vector trough_loop_vec = as_vector_double("trough_loop_control"); - c_trough.m_trough_loop_control.assign(&trough_loop_vec[0], trough_loop_vec.size()); } // Calculate solar multiple (needed for other component constructors) @@ -1057,7 +1067,6 @@ class cm_trough_physical : public compute_module } } - // ******************************** // ******************************** @@ -1620,6 +1629,7 @@ class cm_trough_physical : public compute_module // Solar Field { + assign("nSCA", c_trough.m_nSCA); assign("field_htf_min_temp", c_trough.m_htfProps.min_temp() - 273.15); // [C] assign("field_htf_max_temp", c_trough.m_htfProps.max_temp() - 273.15); // [C] assign("field_htf_cp_avg_des", c_trough.m_field_htf_cp_avg_des); // [kJ/kg-K] @@ -1748,7 +1758,7 @@ class cm_trough_physical : public compute_module util::matrix_t csp_dtr_sca_calc_end_losses(1, 1, std::numeric_limits::quiet_NaN()); { - int nSCA = as_integer("nSCA"); + int nSCA = c_trough.m_nSCA; size_t n = Ave_Focal_Length.size(); @@ -1848,6 +1858,12 @@ class cm_trough_physical : public compute_module } } + double system_capacity = as_double("P_ref") * as_double("gross_net_conversion_factor") * 1.E3; //[kWe] + double nameplate = system_capacity; //[kWe] + assign("system_capacity", system_capacity); + assign("cp_system_nameplate", system_capacity * 1.E-3); //[MWe] + assign("cp_battery_nameplate", 0.0); //[MWe] + // Calculate Costs and assign outputs if (true) { @@ -2160,11 +2176,12 @@ class cm_trough_physical : public compute_module ssc_number_t convfactor = (pg != 0) ? 100 * ae / pg : (ssc_number_t)0.0; assign("conversion_factor", convfactor); + + double kWh_per_kW = 0.0; - double system_capacity = as_double("P_ref") * as_double("gross_net_conversion_factor") *1.E3; //[kWe] - double nameplate = system_capacity; //[kWe] if (nameplate > 0.0) kWh_per_kW = ae / nameplate; + assign("capacity_factor", (ssc_number_t)(kWh_per_kW / ((double)n_steps_fixed / (double)steps_per_hour)*100.)); assign("kwh_per_kw", (ssc_number_t)kWh_per_kW); diff --git a/ssc/cmod_trough_physical_iph.cpp b/ssc/cmod_trough_physical_iph.cpp index 9130657f9..f527fb80a 100644 --- a/ssc/cmod_trough_physical_iph.cpp +++ b/ssc/cmod_trough_physical_iph.cpp @@ -73,7 +73,6 @@ static var_info _cm_vtab_trough_physical_iph[] = { { SSC_INPUT, SSC_NUMBER, "q_pb_design", "Design heat input to power block", "MWt", "", "System_Design", "*", "", "" }, - { SSC_INPUT, SSC_NUMBER, "nSCA", "Number of SCAs in a loop", "none", "", "solar_field", "*", "", "" }, { SSC_INPUT, SSC_NUMBER, "nHCEt", "Number of HCE types", "none", "", "solar_field", "*", "", "" }, { SSC_INPUT, SSC_NUMBER, "nColt", "Number of collector types", "none", "constant=4", "solar_field", "*", "", "" }, { SSC_INPUT, SSC_NUMBER, "nHCEVar", "Number of HCE variants per type", "none", "", "solar_field", "*", "", "" }, @@ -343,6 +342,7 @@ static var_info _cm_vtab_trough_physical_iph[] = { { SSC_OUTPUT, SSC_NUMBER, "cp_battery_nameplate", "Battery nameplate", "MWt", "", "System Design", "*", "", "" }, // Solar Field + { SSC_OUTPUT, SSC_NUMBER, "nSCA", "Number of SCAs in a loop", "none", "", "solar_field", "*", "", "" }, { SSC_OUTPUT, SSC_NUMBER, "field_htf_min_temp", "Minimum field htf temp", "C", "", "Power Cycle", "*", "", "" }, { SSC_OUTPUT, SSC_NUMBER, "field_htf_max_temp", "Maximum field htf temp", "C", "", "Power Cycle", "*", "", "" }, { SSC_OUTPUT, SSC_NUMBER, "field_htf_cp_avg_des", "Field average htf cp at design", "kJ/kgK", "", "Solar Field", "*", "", "" }, @@ -737,10 +737,16 @@ class cm_trough_physical_iph : public compute_module { // Collect Inputs { + // ADDED Trough Inputs (TMB 10/06/2023) for design point calculations + std::vector trough_loop_vec = as_vector_double("trough_loop_control"); + c_trough.m_trough_loop_control.assign(&trough_loop_vec[0], trough_loop_vec.size()); + + int actual_nSCA = trough_loop_vec[0]; + c_trough.m_use_solar_mult_or_aperture_area = as_number("use_solar_mult_or_aperture_area"); // Use specified solar mult (0) or total aperture (1) c_trough.m_specified_solar_mult = as_number("specified_solar_multiple"); // User specified solar mult c_trough.m_specified_total_aperture = as_number("specified_total_aperture"); //[m2] User specified total aperture - c_trough.m_nSCA = as_integer("nSCA"); //[-] Number of SCA's in a loop + c_trough.m_nSCA = actual_nSCA; //[-] Number of SCA's in a loop c_trough.m_nHCEt = as_integer("nHCEt"); //[-] Number of HCE types c_trough.m_nColt = as_integer("nColt"); //[-] Number of collector types c_trough.m_nHCEVar = as_integer("nHCEVar"); //[-] Number of HCE variants per t @@ -959,10 +965,6 @@ class cm_trough_physical_iph : public compute_module c_trough.m_sf_hdr_wallthicks = as_matrix("sf_hdr_wallthicks"); //[m] Imported header wall thicknesses, used if custom_sf_pipe_sizes is true c_trough.m_sf_hdr_lengths = as_matrix("sf_hdr_lengths"); //[m] Imported header lengths, used if custom_sf_pipe_sizes is true - - // ADDED Trough Inputs (TMB 10/06/2023) for design point calculations - std::vector trough_loop_vec = as_vector_double("trough_loop_control"); - c_trough.m_trough_loop_control.assign(&trough_loop_vec[0], trough_loop_vec.size()); } // Calculate solar multiple (needed for other component constructors) @@ -1460,6 +1462,7 @@ class cm_trough_physical_iph : public compute_module // Solar Field { + assign("nSCA", c_trough.m_nSCA); assign("field_htf_min_temp", c_trough.m_htfProps.min_temp() - 273.15); // [C] assign("field_htf_max_temp", c_trough.m_htfProps.max_temp() - 273.15); // [C] assign("field_htf_cp_avg_des", c_trough.m_field_htf_cp_avg_des); // [kJ/kg-K] @@ -1586,7 +1589,7 @@ class cm_trough_physical_iph : public compute_module util::matrix_t csp_dtr_sca_calc_end_losses(1, 1, std::numeric_limits::quiet_NaN()); { - int nSCA = as_number("nSCA"); + int nSCA = c_trough.m_nSCA; size_t n = Ave_Focal_Length.size(); From b52eb796c717ca5d6683ab8b19a84dfd7cfe58fa Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Fri, 19 Jan 2024 09:19:56 -0600 Subject: [PATCH 20/79] Restore turbidity for Allen method, need to program switch --- shared/lib_irradproc.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/shared/lib_irradproc.cpp b/shared/lib_irradproc.cpp index fe7901b4d..dc5fd7923 100644 --- a/shared/lib_irradproc.cpp +++ b/shared/lib_irradproc.cpp @@ -2193,6 +2193,7 @@ int irrad::calc() { } //clearsky + //Walker boulder 3.44 ineichen(clearskyIrradiance, RTOD * sunAnglesRadians[1], month, day, pressure * 100.0, 1.0, elevation, 0, true); From 587128e18f48779b62f8cd464b72ce6290eee25a Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Fri, 19 Jan 2024 13:57:58 -0600 Subject: [PATCH 21/79] Remove overwriting of global variables in back surface irradiance calcs for loss diagram metrics --- shared/lib_irradproc.cpp | 29 +++++++++++++++-------------- shared/lib_irradproc.h | 6 ++++++ 2 files changed, 21 insertions(+), 14 deletions(-) diff --git a/shared/lib_irradproc.cpp b/shared/lib_irradproc.cpp index a2422bf6e..ce76f6e63 100644 --- a/shared/lib_irradproc.cpp +++ b/shared/lib_irradproc.cpp @@ -2382,6 +2382,7 @@ int irrad::calc_rear_side(double transmissionFactor, double groundClearanceHeigh getBackSurfaceIrradiances(pvBackShadeFraction, rowToRow, verticalHeight, clearanceGround, distanceBetweenRows, horizontalLength, rearGroundGHI, frontGroundGHI, frontReflected, rearIrradiancePerCellrow, rearAverageIrradiance); + getBackSurfaceIrradiancesCS(pvBackShadeFraction, rowToRow, verticalHeight, clearanceGround, distanceBetweenRows, horizontalLength, rearGroundGHI, frontGroundGHI, frontReflected, rearIrradiancePerCellrowCS, rearAverageIrradianceCS); @@ -3069,16 +3070,16 @@ void irrad::getBackSurfaceIrradiancesCS(double pvBackShadeFraction, double rowTo // Calculate diffuse isotropic irradiance for a horizontal surface perez(0, clearskyIrradiance[1], clearskyIrradiance[2], albedo, solarZenithRadians, 0, solarZenithRadians, - planeOfArrayIrradianceRear, diffuseIrradianceRear); - double isotropicSkyDiffuse = diffuseIrradianceRear[0]; + planeOfArrayIrradianceRearCS, diffuseIrradianceRearCS); + double isotropicSkyDiffuse = diffuseIrradianceRearCS[0]; // Calculate components for a 90 degree tilt to get horizon brightening double surfaceAnglesRadians90[5] = { 0, 0, 0, 0, 0 }; incidence(0, 90.0, 180.0, 45.0, solarZenithRadians, solarAzimuthRadians, this->enableBacktrack, this->groundCoverageRatio, this->slopeTilt, this->slopeAzm, this->forceToStow, this->stowAngleDegrees, this->useCustomRotAngles, this->customRotAngle, surfaceAnglesRadians90); perez(0, clearskyIrradiance[1], clearskyIrradiance[2], albedo, surfaceAnglesRadians90[0], - surfaceAnglesRadians90[1], solarZenithRadians, planeOfArrayIrradianceRear, diffuseIrradianceRear); - double horizonDiffuse = diffuseIrradianceRear[2]; + surfaceAnglesRadians90[1], solarZenithRadians, planeOfArrayIrradianceRearCS, diffuseIrradianceRear); + double horizonDiffuse = diffuseIrradianceRearCS[2]; // Calculate x,y coordinates of bottom and top edges of PV row in back of desired PV row so that portions of sky and ground viewed by the // PV cell may be determined. Origin of x-y axis is the ground point below the lower front edge of the desired PV row. The row in back of @@ -3092,13 +3093,13 @@ void irrad::getBackSurfaceIrradiancesCS(double pvBackShadeFraction, double rowTo // Calculate diffuse and direct component irradiances for each cell row (assuming 6 rows) std::vector rearDirectDiffuse; // the direct and sky diffuse irradiance incident on the rear for each cell row, before losses (shading, soiling, etc.) - poaRearDirectDiffuse = 0.; // the average direct and sky diffuse irradiance incident on the rear, before losses (shading, soiling, etc.) + poaRearDirectDiffuseCS = 0.; // the average direct and sky diffuse irradiance incident on the rear, before losses (shading, soiling, etc.) std::vector rearRowReflections; // the reflected irradiance from the rear row on the rear of each cell row - poaRearRowReflections = 0.; // the average reflected irradiance from the rear row on the rear + poaRearRowReflectionsCS = 0.; // the average reflected irradiance from the rear row on the rear std::vector rearGroundReflected; // the ground reflected irradiance onto the rear of each cell row, considering view factor - poaRearGroundReflected = 0.; // the average ground reflected irradiance onto the rear, considering view factor + poaRearGroundReflectedCS = 0.; // the average ground reflected irradiance onto the rear, considering view factor std::vector rearSelfShaded; // the direct and circumsolar shaded from being incident on the rear, for each cell - poaRearSelfShaded = 0.; // the average direct and circumsolar shaded from being incident on the rear + poaRearSelfShadedCS = 0.; // the average direct and circumsolar shaded from being incident on the rear size_t cellRows = poaRearIrradRes; for (size_t i = 0; i != cellRows; i++) { // Calculate diffuse irradiances and reflected amounts for each cell row over its field of view of 180 degrees, @@ -3277,9 +3278,9 @@ void irrad::getBackSurfaceIrradiancesCS(double pvBackShadeFraction, double rowTo solarAzimuthRadians, this->enableBacktrack, this->groundCoverageRatio, this->slopeTilt, this->slopeAzm, this->forceToStow, this->stowAngleDegrees, this->useCustomRotAngles, this->customRotAngle, surfaceAnglesRadians); perez(0, clearskyIrradiance[1], clearskyIrradiance[2], albedo, surfaceAnglesRadians[0], - surfaceAnglesRadians[1], solarZenithRadians, planeOfArrayIrradianceRear, diffuseIrradianceRear); + surfaceAnglesRadians[1], solarZenithRadians, planeOfArrayIrradianceRearCS, diffuseIrradianceRearCS); - double rear_direct_circumsolar = planeOfArrayIrradianceRear[0] + diffuseIrradianceRear[1]; + double rear_direct_circumsolar = planeOfArrayIrradianceRearCS[0] + diffuseIrradianceRearCS[1]; rearDirectDiffuse[i] += rear_direct_circumsolar; double cellShade = pvBackShadeFraction * cellRows - i; @@ -3301,10 +3302,10 @@ void irrad::getBackSurfaceIrradiancesCS(double pvBackShadeFraction, double rowTo } rearAverageIrradiance += rearIrradiance[i] / cellRows; - poaRearDirectDiffuse += rearDirectDiffuse[i] / cellRows; - poaRearRowReflections += rearRowReflections[i] / cellRows; - poaRearSelfShaded += rearSelfShaded[i] / cellRows; - poaRearGroundReflected += rearGroundReflected[i] / cellRows; + poaRearDirectDiffuseCS += rearDirectDiffuse[i] / cellRows; + poaRearRowReflectionsCS += rearRowReflections[i] / cellRows; + poaRearSelfShadedCS += rearSelfShaded[i] / cellRows; + poaRearGroundReflectedCS += rearGroundReflected[i] / cellRows; double xy = 1.; } diff --git a/shared/lib_irradproc.h b/shared/lib_irradproc.h index d0ccd12fa..9c6c6f24c 100644 --- a/shared/lib_irradproc.h +++ b/shared/lib_irradproc.h @@ -1024,6 +1024,10 @@ class irrad double poaRearRowReflections; ///< Rear row reflected irradiance on rear (W/m2) double poaRearGroundReflected; ///< Ground reflected irradiance onto the rear (W/m2) double poaRearSelfShaded; ///< Irradiance shaded from being incident on the rear (W/m2) + double poaRearDirectDiffuseCS; ///< Direct and sky diffuse irradiance on rear (W/m2) + double poaRearRowReflectionsCS; ///< Rear row reflected irradiance on rear (W/m2) + double poaRearGroundReflectedCS; ///< Ground reflected irradiance onto the rear (W/m2) + double poaRearSelfShadedCS; ///< Irradiance shaded from being incident on the rear (W/m2) // Outputs double sunAnglesRadians[9]; ///< Sun angles in radians calculated from solarpos() @@ -1031,9 +1035,11 @@ class irrad double planeOfArrayIrradianceFront[3]; ///< Front-side plane-of-array irradiance for beam, sky diffuse, ground diffuse (W/m2) double planeOfArrayIrradianceFrontCS[3]; ///< Front-side plane-of-array clearsky irradiance for beam, sky diffuse, ground diffuse (W/m2) double planeOfArrayIrradianceRear[3]; ///< Rear-side plane-of-array irradiance for beam, sky diffuse, ground diffuse (W/m2) + double planeOfArrayIrradianceRearCS[3]; double diffuseIrradianceFront[3]; ///< Front-side diffuse irradiance for isotropic, circumsolar, and horizon (W/m2) double diffuseIrradianceFrontCS[3]; ///< Front-side diffuse clearsky irradiance for isotropic, circumsolar, and horizon (W/m2) double diffuseIrradianceRear[3]; ///< Rear-side diffuse irradiance for isotropic, circumsolar, and horizon (W/m2) + double diffuseIrradianceRearCS[3]; int timeStepSunPosition[3]; ///< [0] effective hour of day used for sun position, [1] effective minute of hour used for sun position, [2] is sun up? (0=no, 1=midday, 2=sunup, 3=sundown) double planeOfArrayIrradianceRearAverage; ///< Average rear side plane-of-array irradiance (W/m2) double planeOfArrayIrradianceRearAverageCS; ///< Average rear side clearsky plane-of-array irradiance (W/m2) From b1350acb493ea380230cdeaa777f8bf9d5ffc06b Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Thu, 25 Jan 2024 13:20:24 -0600 Subject: [PATCH 22/79] Add multiple of 8760 checks for wave file reader --- ssc/cmod_wavefile.cpp | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/ssc/cmod_wavefile.cpp b/ssc/cmod_wavefile.cpp index 9d6f535fa..ed91f3781 100644 --- a/ssc/cmod_wavefile.cpp +++ b/ssc/cmod_wavefile.cpp @@ -204,6 +204,10 @@ class cm_wave_file_reader : public compute_module numberRecords_mat++; } //if (numberRecords < 2920) throw exec_error("wave_file_reader", "Number of records in the wave file must = 2920 (8760 h / 3 h interval)"); + if ((8760 % numberRecords != 0)) { + if ((numberRecords % 8760 != 0)) //check for subhourly + throw exec_error("wave_file_reader", "Invalid number of entries in wave resource file: " + file); + } assign("number_records", (int)numberRecords); // rewind the file and reposition right after the header information ifs.clear(); From b6fa37d25efa9b935bbeaffcc86a488d732a9f0f Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Thu, 25 Jan 2024 14:55:13 -0600 Subject: [PATCH 23/79] Use decomposed DNI when weather file DNI not available for allen clipping correction --- ssc/cmod_pvsamv1.cpp | 7 ++++++- 1 file changed, 6 insertions(+), 1 deletion(-) diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index 221581d2b..a9de12fae 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -1971,7 +1971,12 @@ void cm_pvsamv1::exec() PVSystem->p_poaDiffuseFrontCS[nn][idx] = (ssc_number_t)(ignddiff_csky); PVSystem->p_poaRearCS[nn][idx] = (ssc_number_t)(ipoa_rear_after_losses_cs[nn]); if (dni_cs != 0) { - PVSystem->p_DNIIndex[nn][idx] = (ssc_number_t)(Irradiance->p_weatherFileDNI[idx] / dni_cs); + if (!isnan(Irradiance->p_weatherFileDNI[idx])) { + PVSystem->p_DNIIndex[nn][idx] = (ssc_number_t)(Irradiance->p_weatherFileDNI[idx] / dni_cs); + } + else { + PVSystem->p_DNIIndex[nn][idx] = (ssc_number_t)(Irradiance->p_IrradianceCalculated[2][idx] / dni_cs); + } } else { PVSystem->p_DNIIndex[nn][idx] = 0; From caf5a9f5328a847ae1be127874c001392661e7ee Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Fri, 26 Jan 2024 13:11:23 -0600 Subject: [PATCH 24/79] Set upper limit of one for diffuse sky shading non-linear factor --- shared/lib_pvshade.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/shared/lib_pvshade.cpp b/shared/lib_pvshade.cpp index 9f7a44175..90bb3970a 100644 --- a/shared/lib_pvshade.cpp +++ b/shared/lib_pvshade.cpp @@ -201,6 +201,7 @@ void diffuse_reduce( // sky diffuse reduction Fskydiff = skydiffderates.lookup(stilt); + Fskydiff = fmin(Fskydiff, 1.0); reduced_skydiff = Fskydiff * poa_sky; double solalt = 90 - solzen; From f118088cbe97b8460a1034ef1f1d64882deec5af Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Fri, 2 Feb 2024 10:37:46 -0600 Subject: [PATCH 25/79] Check irradiance mode before using dni decomp in allen method (i.e. is code failing due to no dni provided) --- ssc/cmod_pvsamv1.cpp | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index a9de12fae..50a8a99bd 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -1974,6 +1974,10 @@ void cm_pvsamv1::exec() if (!isnan(Irradiance->p_weatherFileDNI[idx])) { PVSystem->p_DNIIndex[nn][idx] = (ssc_number_t)(Irradiance->p_weatherFileDNI[idx] / dni_cs); } + else if (isnan(Irradiance->p_weatherFileDNI[idx]) && (radmode == irrad::DN_DF || radmode == irrad::DN_GH)) + { + PVSystem->p_DNIIndex[nn][idx] = 0; //Simulation should exit when looking for DNI but no DNI found + } else { PVSystem->p_DNIIndex[nn][idx] = (ssc_number_t)(Irradiance->p_IrradianceCalculated[2][idx] / dni_cs); } From ff61c6bd061a81ab2f48adabeed1627492b5977b Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Mon, 12 Feb 2024 16:31:52 -0600 Subject: [PATCH 26/79] Expose snow slide coefficient in ssc --- shared/lib_pv_io_manager.cpp | 2 +- shared/lib_snowmodel.cpp | 6 +++--- shared/lib_snowmodel.h | 4 ++-- ssc/cmod_pvsamv1.cpp | 2 ++ ssc/cmod_pvwattsv7.cpp | 3 ++- ssc/cmod_pvwattsv8.cpp | 3 ++- ssc/cmod_snowmodel.cpp | 6 ++++-- 7 files changed, 16 insertions(+), 10 deletions(-) diff --git a/shared/lib_pv_io_manager.cpp b/shared/lib_pv_io_manager.cpp index 6a094da85..cce01c473 100644 --- a/shared/lib_pv_io_manager.cpp +++ b/shared/lib_pv_io_manager.cpp @@ -505,7 +505,7 @@ Subarray_IO::Subarray_IO(compute_module* cm, const std::string& cmName, size_t s if (trackMode == irrad::SEASONAL_TILT) throw exec_error(cmName, "Time-series tilt input may not be used with the snow model at this time: subarray " + util::to_string((int)(subarrayNumber))); // if tracking mode is 1-axis tracking, don't need to limit tilt angles - if (snowModel.setup(selfShadingInputs.nmody, (float)tiltDegrees, (trackMode != irrad::SINGLE_AXIS))) { + if (snowModel.setup(selfShadingInputs.nmody, (float)tiltDegrees, cm->as_double("snow_slide_coefficient"), (trackMode != irrad::SINGLE_AXIS))) { if (!snowModel.good) { cm->log(snowModel.msg, SSC_ERROR); } diff --git a/shared/lib_snowmodel.cpp b/shared/lib_snowmodel.cpp index 80a3194a9..7df8c79ee 100644 --- a/shared/lib_snowmodel.cpp +++ b/shared/lib_snowmodel.cpp @@ -62,7 +62,7 @@ OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. pvsnowmodel::pvsnowmodel() { mSlope = -80; - sSlope = (float)1.97; + //sSlope = (float)1.97; deltaThreshold = 1.00; depthThreshold = 1.00; previousDepth = 0; @@ -76,11 +76,11 @@ pvsnowmodel::pvsnowmodel() } -bool pvsnowmodel::setup(int nmody_in, float baseTilt_in, bool limitTilt){ +bool pvsnowmodel::setup(int nmody_in, float baseTilt_in, float snow_slide_coeff, bool limitTilt){ nmody = nmody_in; baseTilt = baseTilt_in; - + sSlope = snow_slide_coeff; if(limitTilt && (baseTilt>45 || baseTilt < 10)){ good = true; msg = util::format("The snow model is designed to work for PV arrays with a tilt angle between 10 and 45 degrees, but will generate results for tilt angles outside this range. The system you are modeling includes a subarray tilt angle of %f degrees.", baseTilt); diff --git a/shared/lib_snowmodel.h b/shared/lib_snowmodel.h index 5b0e480db..245d308fa 100644 --- a/shared/lib_snowmodel.h +++ b/shared/lib_snowmodel.h @@ -41,7 +41,7 @@ class pvsnowmodel pvsnowmodel(); // limitTilt requires tilt to be between 10 and 45 degrees - bool setup(int, float, bool limitTilt = true); + bool setup(int, float, float snow_slide_coeff = 1.97, bool limitTilt = true); bool getLoss(float poa, float tilt, float wspd, float tdry, float snowDepth, int sunup, float dt, float &returnLoss); @@ -63,4 +63,4 @@ class pvsnowmodel // if an error has occured }; -#endif \ No newline at end of file +#endif diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index 50a8a99bd..cfb29a078 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -63,6 +63,8 @@ static var_info _cm_vtab_pvsamv1[] = { // misc inputs {SSC_INPUT, SSC_NUMBER, "en_snow_model", "Toggle snow loss estimation", "0/1", "", "Losses", "?=0", "BOOLEAN", "" }, + { SSC_INPUT, SSC_NUMBER, "snow_slide_coefficient", "Snow Slide Coefficient", "", "", "Losses", "?=1.97", "", "" }, + {SSC_INPUT, SSC_NUMBER, "system_capacity", "DC Nameplate capacity", "kWdc", "", "System Design", "*", "", "" }, {SSC_INPUT, SSC_NUMBER, "use_wf_albedo", "Use albedo in weather file if provided", "0/1", "0=user-specified,1=weatherfile", "Solar Resource", "?=1", "BOOLEAN", "" }, {SSC_INPUT, SSC_NUMBER, "use_spatial_albedos", "Use spatial albedo values", "0/1", "0=no,1=yes", "Solar Resource", "?=0", "BOOLEAN", "" }, diff --git a/ssc/cmod_pvwattsv7.cpp b/ssc/cmod_pvwattsv7.cpp index f956a20ee..3e64306fb 100644 --- a/ssc/cmod_pvwattsv7.cpp +++ b/ssc/cmod_pvwattsv7.cpp @@ -149,6 +149,7 @@ static var_info _cm_vtab_pvwattsv7[] = { { SSC_INPUT, SSC_NUMBER, "wind_stow_angle", "Tracker angle for wind stow", "deg", "", "System Design", "?=30.0", "", "" }, { SSC_INPUT, SSC_NUMBER, "en_snowloss", "Enable snow loss model", "0/1", "", "System Design", "?=0", "BOOLEAN", "" }, + { SSC_INPUT, SSC_NUMBER, "snow_slide_coefficient", "Snow Slide Coefficient", "", "", "System Design", "?=1.97", "", "" }, { SSC_INPUT, SSC_NUMBER, "inv_eff", "Inverter efficiency at rated power", "%", "", "System Design", "?=96", "MIN=90,MAX=99.5", "" }, @@ -600,7 +601,7 @@ class cm_pvwattsv7 : public compute_module // if tracking mode is 1-axis tracking, // don't need to limit tilt angles if (snowmodel.setup(pv.nmody, - (float)pv.tilt, + (float)pv.tilt, as_double("snow_slide_coefficient"), pv.type == FIXED_RACK || pv.type == FIXED_ROOF)) { if (!snowmodel.good) { diff --git a/ssc/cmod_pvwattsv8.cpp b/ssc/cmod_pvwattsv8.cpp index 110901c6b..57fccb3c7 100644 --- a/ssc/cmod_pvwattsv8.cpp +++ b/ssc/cmod_pvwattsv8.cpp @@ -151,6 +151,7 @@ static var_info _cm_vtab_pvwattsv8[] = { { SSC_INPUT, SSC_NUMBER, "wind_stow_angle", "Tracker angle for wind stow", "degrees", "", "System Design", "?=30.0", "", "" }, { SSC_INPUT, SSC_NUMBER, "en_snowloss", "Enable snow loss model", "0/1", "", "System Design", "?=0", "BOOLEAN", "" }, + { SSC_INPUT, SSC_NUMBER, "snow_slide_coefficient", "Snow Slide Coefficient", "", "", "System Design", "?=1.97", "", "" }, { SSC_INPUT, SSC_NUMBER, "inv_eff", "Inverter efficiency at rated power", "%", "", "System Design", "?=96", "MIN=90,MAX=99.5", "" }, @@ -657,7 +658,7 @@ class cm_pvwattsv8 : public compute_module // if tracking mode is 1-axis tracking, // don't need to limit tilt angles if (snowmodel.setup(pv.nmody, - (float)pv.tilt, + (float)pv.tilt, as_double("snow_slide_coefficient"), pv.type == FIXED_RACK || pv.type == FIXED_ROOF)) { if (!snowmodel.good) { diff --git a/ssc/cmod_snowmodel.cpp b/ssc/cmod_snowmodel.cpp index ab799a515..a38630a58 100644 --- a/ssc/cmod_snowmodel.cpp +++ b/ssc/cmod_snowmodel.cpp @@ -70,7 +70,8 @@ static var_info _cm_vtab_snowmodel[] = { SSC_INPUT, SSC_NUMBER, "subarray1_nmody", "Number of Modules in a Row","", "", "PV Snow Model", "*", "", "" }, { SSC_INPUT, SSC_NUMBER, "subarray1_tilt", "Base tilt", "Degrees", "", "PV Snow Model", "*", "", "" }, { SSC_INPUT, SSC_NUMBER, "subarray1_track_mode", "Tracking Mode", "", "", "PV Snow Model", "*", "", "" }, - + { SSC_INPUT, SSC_NUMBER, "snow_slide_coefficient", "Snow Slide Coefficient", "", "", "PV Snow Model", "?=1.97", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "hourly_energy_before_snow","Hourly Energy Without Snow Loss","kwh", "", "Time Series", "*", "", "" }, { SSC_OUTPUT, SSC_ARRAY, "monthly_energy_before_snow","Monthly Energy Without Snow Loss","kwh", "", "Monthly", "*", "", "" }, { SSC_OUTPUT, SSC_NUMBER, "annual_energy_before_snow","Annual Energy Without Snow Losses","kwh", "", "Annual", "*", "", "" }, @@ -111,7 +112,8 @@ class cm_snowmodel : public compute_module int nmody = as_integer("subarray1_nmody"); // The number of modules in a row int baseTilt = as_integer("subarray1_tilt"); // The tilt for static systems //int trackMode = as_integer("subarray1_track_mode"); // The systems tracking mode (0 -> static, 1 -> 1 axis tracking) - + double snow_slide_coeff = 1.97; + if (is_assigned("snow_slide_coefficient")) snow_slide_coeff = as_double("snow_slide_coefficient"); // Define output arrays and variables ssc_number_t *hrEn_b4Snow = allocate( "hourly_energy_before_snow", num_steps); // Hourly Energy with Snow Modeld From 56130d952c44fb73692ec418abae8d8ba1c33110 Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Wed, 14 Feb 2024 04:56:24 -0700 Subject: [PATCH 27/79] Address SAM issue 1676 --- ssc/cmod_thermalrate.cpp | 2 +- ssc/cmod_utilityrate5.cpp | 9 +++++++++ 2 files changed, 10 insertions(+), 1 deletion(-) diff --git a/ssc/cmod_thermalrate.cpp b/ssc/cmod_thermalrate.cpp index 03cf928dc..c6bcf6030 100644 --- a/ssc/cmod_thermalrate.cpp +++ b/ssc/cmod_thermalrate.cpp @@ -401,7 +401,7 @@ class cm_thermalrate : public compute_module e_sys_cy[j] = pgen[idx] * ts_hour_gen; p_sys_cy[j] = pgen[idx]; // until lifetime load fully implemented - lifetime_load[idx] = -e_load_cy[j]; + lifetime_load[idx] = -e_load_cy[j]/ts_hour_gen; idx++; } else diff --git a/ssc/cmod_utilityrate5.cpp b/ssc/cmod_utilityrate5.cpp index 990e2d20c..826949158 100644 --- a/ssc/cmod_utilityrate5.cpp +++ b/ssc/cmod_utilityrate5.cpp @@ -554,6 +554,14 @@ class cm_utilityrate5 : public compute_module if (is_assigned("grid_outage")) { grid_outage = as_vector_bool("grid_outage"); } + if (grid_outage.size() != m_num_rec_yearly) { + // throw error - causing sam issue 1676 + // set to first value or false + bool bVal = false; + if (grid_outage.size() > 0) + bVal = grid_outage[0]; + grid_outage.resize(m_num_rec_yearly, bVal); + } // prepare timestep arrays for load and grid values std::vector @@ -910,6 +918,7 @@ class cm_utilityrate5 : public compute_module idx = 0; for (i=0;i 0) { last_month_w_sys = rate.m_month[11]; last_excess_energy_w_sys = monthly_cumulative_excess_energy_w_sys[11]; From 057ae39a591a334d44ea7c56b221e830def964eb Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Wed, 14 Feb 2024 16:12:29 -0600 Subject: [PATCH 28/79] Flexible header columns for wave and tidal timeseries files --- ssc/cmod_tidalfile.cpp | 180 +++++++++++++++++++++++++------------ ssc/cmod_wavefile.cpp | 200 ++++++++++++++++++++++++++--------------- 2 files changed, 247 insertions(+), 133 deletions(-) diff --git a/ssc/cmod_tidalfile.cpp b/ssc/cmod_tidalfile.cpp index a9c61324e..e6c23523f 100644 --- a/ssc/cmod_tidalfile.cpp +++ b/ssc/cmod_tidalfile.cpp @@ -72,7 +72,6 @@ static var_info _cm_tidal_file_reader[] = { { SSC_INPUT, SSC_NUMBER, "tidal_resource_model_choice", "Resource distribution or time series tidal resource data", "0/1", "", "Weather Reader", "?=1", "INTEGER", "" }, { SSC_INPUT, SSC_STRING, "tidal_resource_filename", "File path with tidal resource data", "", "", "Weather Reader", "tidal_resource_model_choice=0", "LOCAL_FILE", "" }, - { SSC_INPUT, SSC_NUMBER, "use_specific_wf_tidal", "user specified file", "0/1", "", "Weather Reader", "?=0", "INTEGER,MIN=0,MAX=1", "" }, // header data { SSC_OUTPUT, SSC_STRING, "name", "Name", "", "", "Weather Reader", "", "", "" }, @@ -91,8 +90,8 @@ static var_info _cm_tidal_file_reader[] = { { SSC_OUTPUT, SSC_STRING, "location_id", "Location ID", "", "", "Weather Reader", "tidal_resource_model_choice=1", "", "" }, { SSC_OUTPUT, SSC_STRING, "location", "Location", "", "", "Weather Reader", "tidal_resource_model_choice=1", "", "" }, - { SSC_OUTPUT, SSC_NUMBER, "distance_to_shore_file", "Distance to shore", "m", "", "Weather Reader", "tidal_resource_model_choice=1", "", "" }, - { SSC_OUTPUT, SSC_NUMBER, "water_depth_file", "Water depth", "m", "", "Weather Reader", "tidal_resource_model_choice=1", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "distance_to_shore_file", "Distance to shore", "m", "", "Weather Reader", "?", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "water_depth_file", "Water depth", "m", "", "Weather Reader", "?", "", "" }, //timestamps @@ -154,73 +153,136 @@ class cm_tidal_file_reader : public compute_module std::vector values; std::vector value_0; std::vector value_1; - // header if not use_specific_wf_file - if (as_integer("use_specific_wf_tidal") == 0) + + getline(ifs, buf); + getline(ifs, buf1); + + // header name value pairs + std::vector keys = split(buf); + values = split(buf1); + int ncols = (int)keys.size(); + int ncols1 = (int)values.size(); + //Do we need to require all + if (ncols != ncols1) { - getline(ifs, buf); - getline(ifs, buf1); - - // header name value pairs - std::vector keys = split(buf); - values = split(buf1); - int ncols = (int)keys.size(); - int ncols1 = (int)values.size(); - //Do we need to require all - if (ncols != ncols1 || ncols < 13) + throw exec_error("tidal_file_reader", "Number of header column labels does not match number of values. There are " + std::to_string(ncols) + "keys and " + std::to_string(ncols1) + "values."); + } + if (as_integer("tidal_resource_model_choice") == 0) { + assign("name", var_data(values[0])); + assign("city", var_data(values[1])); + assign("state", var_data(values[2])); + assign("country", var_data(values[3])); + // lat with S is negative + ssc_number_t dlat = std::numeric_limits::quiet_NaN(); + std::vector slat = split(values[4], ' '); + if (slat.size() > 0) { - throw exec_error("tidal_file_reader", "Number of header column labels does not match number of values. There are " + std::to_string(ncols) + "keys and " + std::to_string(ncols1) + "values."); - } - if (as_integer("tidal_resource_model_choice") == 0) { - assign("name", var_data(values[0])); - assign("city", var_data(values[1])); - assign("state", var_data(values[2])); - assign("country", var_data(values[3])); - // lat with S is negative - ssc_number_t dlat = std::numeric_limits::quiet_NaN(); - std::vector slat = split(values[4], ' '); - if (slat.size() > 0) + dlat = std::stod(slat[0]); + if (slat.size() > 1) { - dlat = std::stod(slat[0]); - if (slat.size() > 1) - { - if (slat[1] == "S") dlat = 0.0 - dlat; - } + if (slat[1] == "S") dlat = 0.0 - dlat; } - assign("lat", var_data(dlat)); - // lon with W is negative - ssc_number_t dlon = std::numeric_limits::quiet_NaN(); - std::vector slon = split(values[5], ' '); - if (slon.size() > 0) + } + assign("lat", var_data(dlat)); + // lon with W is negative + ssc_number_t dlon = std::numeric_limits::quiet_NaN(); + std::vector slon = split(values[5], ' '); + if (slon.size() > 0) + { + dlon = std::stod(slon[0]); + if (slon.size() > 1) { - dlon = std::stod(slon[0]); - if (slon.size() > 1) - { - if (slon[1] == "W") dlon = 0.0 - dlon; - } + if (slon[1] == "W") dlon = 0.0 - dlon; } - assign("lon", var_data(dlon)); - assign("nearby_buoy_number", var_data(values[6])); - assign("average_power_flux", var_data(std::stod(values[7]))); - assign("bathymetry", var_data(values[8])); - assign("sea_bed", var_data(values[9])); - assign("tz", var_data(std::stod(values[10]))); - assign("data_source", var_data(values[11])); - assign("notes", var_data(values[12])); } - else { - assign("location_id", var_data(values[1])); - assign("location", var_data(values[2])); - assign("distance_to_shore_file", var_data(std::stod(values[7]))); - assign("water_depth_file", var_data(std::stod(values[18]))); - assign("lat", var_data(std::stod(values[3]))); - assign("lon", var_data(std::stod(values[4]))); - assign("tz", var_data(std::stod(values[6]))); - assign("data_source", var_data(values[0])); - assign("notes", var_data(values[19])); + assign("lon", var_data(dlon)); + assign("nearby_buoy_number", var_data(values[6])); + assign("average_power_flux", var_data(std::stod(values[7]))); + assign("bathymetry", var_data(values[8])); + assign("sea_bed", var_data(values[9])); + assign("tz", var_data(std::stod(values[10]))); + assign("data_source", var_data(values[11])); + assign("notes", var_data(values[12])); + } + else { + /* + assign("location_id", var_data(values[1])); + assign("location", var_data(values[2])); + assign("distance_to_shore_file", var_data(std::stod(values[7]))); + assign("water_depth_file", var_data(std::stod(values[18]))); + assign("lat", var_data(std::stod(values[3]))); + assign("lon", var_data(std::stod(values[4]))); + assign("tz", var_data(std::stod(values[6]))); + assign("data_source", var_data(values[0])); + assign("notes", var_data(values[19])); + */ + + int hdr_step_sec = -1; + + // allow metadata rows to have different lengths as long as required data is included + ncols = std::min(ncols, ncols1); + + std::string name, value; + + for (size_t i = 0; (int)i < ncols; i++) + { + + name = ""; + if (!keys[i].empty()) + name = util::lower_case(trimboth(keys[i])); + + value = ""; + if (!values[i].empty()) + value = trimboth(values[i]); + + // required metadata (see checks below) + if (name == "lat" || name == "latitude") + { + assign("lat", var_data(std::stod(value))); + } + else if (name == "lon" || name == "long" || name == "longitude" || name == "lng") + { + assign("lon", var_data(std::stod(value))); + } + else if (name == "tz" || name == "timezone" || name == "time zone") // require "time zone" and "local time zone" columns in NSRDB files are the same + { + assign("tz", var_data(std::stod(value))); + } + else if (name == "distance to shore" || name == "shore distance" || name == "distance") // require "time zone" and "local time zone" columns in NSRDB files are the same + { + assign("distance_to_shore_file", var_data(std::stod(value))); + } + else if (name == "water depth" || name == "depth") // require "time zone" and "local time zone" columns in NSRDB files are the same + { + assign("water_depth_file", var_data(std::stod(value))); + } + else if (name == "id"|| name == "jurisdiction" || name == "station id" || name == "wban" || name == "wban#" || name == "site") + { + assign("location", var_data(value)); + } + else if (name == "location" || name == "location id") + { + assign("location_id", var_data(value)); + } + + + else if (name == "source" || name == "src" || name == "data source") + { + assign("data_source", var_data(value)); + } + else if (name == "notes" || name == "source notes") + { + assign("notes", var_data(value)); + } + + } + + } + if (as_integer("tidal_resource_model_choice") == 1) { size_t numberRecords = 0; diff --git a/ssc/cmod_wavefile.cpp b/ssc/cmod_wavefile.cpp index ed91f3781..e57e92d56 100644 --- a/ssc/cmod_wavefile.cpp +++ b/ssc/cmod_wavefile.cpp @@ -41,27 +41,26 @@ static var_info _cm_wave_file_reader[] = { { SSC_INPUT, SSC_STRING, "wave_resource_filename", "File path with Wave Height x Period Distribution as 2-D PDF", "", "", "Weather Reader", "wave_resource_model_choice=0", "LOCAL_FILE", "" }, { SSC_INPUT, SSC_STRING, "wave_resource_filename_ts", "File path with 3-hour Wave Height and Period data as Time Series array", "", "", "Weather Reader", "wave_resource_model_choice=1", "LOCAL_FILE", "" }, - { SSC_INPUT, SSC_NUMBER, "use_specific_wf_wave", "user specified file", "0/1", "", "Weather Reader", "?=0", "INTEGER,MIN=0,MAX=1", "" }, // header data - { SSC_OUTPUT, SSC_STRING, "name", "Name", "", "", "Weather Reader", "use_specific_wf_wave=0&wave_resource_model_choice=0", "", "" }, - { SSC_OUTPUT, SSC_STRING, "city", "City", "", "", "Weather Reader", "use_specific_wf_wave=0&wave_resource_model_choice=0", "", "" }, - { SSC_OUTPUT, SSC_STRING, "state", "State", "", "", "Weather Reader", "use_specific_wf_wave=0&wave_resource_model_choice=0", "", "" }, - { SSC_OUTPUT, SSC_STRING, "country", "Country", "", "", "Weather Reader", "use_specific_wf_wave=0&wave_resource_model_choice=0", "", "" }, - { SSC_OUTPUT, SSC_NUMBER, "lat", "Latitude", "deg", "", "Weather Reader", "use_specific_wf_wave=0", "", "" }, - { SSC_OUTPUT, SSC_NUMBER, "lon", "Longitude", "deg", "", "Weather Reader", "use_specific_wf_wave=0", "", "" }, - { SSC_OUTPUT, SSC_STRING, "nearby_buoy_number", "Nearby buoy number", "", "", "Weather Reader", "use_specific_wf_wave=0&wave_resource_model_choice=0", "", "" }, - { SSC_OUTPUT, SSC_NUMBER, "average_power_flux", "Average power flux", "kW/m", "", "Weather Reader", "use_specific_wf_wave=0&wave_resource_model_choice=0", "", "" }, - { SSC_OUTPUT, SSC_STRING, "bathymetry", "Bathymetry", "", "", "Weather Reader", "use_specific_wf_wave=0&wave_resource_model_choice=0", "", "" }, - { SSC_OUTPUT, SSC_STRING, "sea_bed", "Sea bed", "", "", "Weather Reader", "use_specific_wf_wave=0&wave_resource_model_choice=0", "", "" }, - { SSC_OUTPUT, SSC_NUMBER, "tz", "Time zone", "", "", "Weather Reader", "use_specific_wf_wave=0", "", "" }, - { SSC_OUTPUT, SSC_STRING, "data_source", "Data source", "", "", "Weather Reader", "use_specific_wf_wave=0", "", "" }, - { SSC_OUTPUT, SSC_STRING, "notes", "Notes", "", "", "Weather Reader", "use_specific_wf_wave=0", "", "" }, - { SSC_OUTPUT, SSC_NUMBER, "location_id", "Location ID", "", "", "Weather Reader", "use_specific_wf_wave=0&wave_resource_model_choice=1", "", "" }, - { SSC_OUTPUT, SSC_STRING, "location_name", "Location", "", "", "Weather Reader", "use_specific_wf_wave=0&wave_resource_model_choice=1", "", "" }, - - { SSC_OUTPUT, SSC_NUMBER, "distance_to_shore_file", "Distance to shore", "m", "", "Weather Reader", "use_specific_wf_wave=0&wave_resource_model_choice=1", "", "" }, - { SSC_OUTPUT, SSC_NUMBER, "water_depth_file", "Water depth", "m", "", "Weather Reader", "use_specific_wf_wave=0&wave_resource_model_choice=1", "", "" }, + { SSC_OUTPUT, SSC_STRING, "name", "Name", "", "", "Weather Reader", "wave_resource_model_choice=0", "", "" }, + { SSC_OUTPUT, SSC_STRING, "city", "City", "", "", "Weather Reader", "wave_resource_model_choice=0", "", "" }, + { SSC_OUTPUT, SSC_STRING, "state", "State", "", "", "Weather Reader", "wave_resource_model_choice=0", "", "" }, + { SSC_OUTPUT, SSC_STRING, "country", "Country", "", "", "Weather Reader", "wave_resource_model_choice=0", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "lat", "Latitude", "deg", "", "Weather Reader", "", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "lon", "Longitude", "deg", "", "Weather Reader", "", "", "" }, + { SSC_OUTPUT, SSC_STRING, "nearby_buoy_number", "Nearby buoy number", "", "", "Weather Reader", "wave_resource_model_choice=0", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "average_power_flux", "Average power flux", "kW/m", "", "Weather Reader", "wave_resource_model_choice=0", "", "" }, + { SSC_OUTPUT, SSC_STRING, "bathymetry", "Bathymetry", "", "", "Weather Reader", "wave_resource_model_choice=0", "", "" }, + { SSC_OUTPUT, SSC_STRING, "sea_bed", "Sea bed", "", "", "Weather Reader", "wave_resource_model_choice=0", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "tz", "Time zone", "", "", "Weather Reader", "", "", "" }, + { SSC_OUTPUT, SSC_STRING, "data_source", "Data source", "", "", "Weather Reader", "", "", "" }, + { SSC_OUTPUT, SSC_STRING, "notes", "Notes", "", "", "Weather Reader", "", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "location_id", "Location ID", "", "", "Weather Reader", "wave_resource_model_choice=1", "", "" }, + { SSC_OUTPUT, SSC_STRING, "location_name", "Location", "", "", "Weather Reader", "wave_resource_model_choice=1", "", "" }, + + { SSC_OUTPUT, SSC_NUMBER, "distance_to_shore_file", "Distance to shore", "m", "", "Weather Reader", "?", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "water_depth_file", "Water depth", "m", "", "Weather Reader", "?", "", "" }, //timestamps @@ -127,72 +126,125 @@ class cm_wave_file_reader : public compute_module std::vector value_0; std::vector value_1; // header if not use_specific_wf_file - if (as_integer("use_specific_wf_wave") == 0) + + getline(ifs, buf); + getline(ifs, buf1); + + // header name value pairs + std::vector keys = split(buf); + values = split(buf1); + int ncols = (int)keys.size(); + int ncols1 = (int)values.size(); + //Do we need to require all + if (ncols != ncols1) { - getline(ifs, buf); - getline(ifs, buf1); - - // header name value pairs - std::vector keys = split(buf); - values = split(buf1); - int ncols = (int)keys.size(); - int ncols1 = (int)values.size(); - //Do we need to require all - if (ncols != ncols1 || ncols < 13) + throw exec_error("wave_file_reader", "Number of header column labels does not match number of values. There are " + std::to_string(ncols) + "keys and " + std::to_string(ncols1) + "values."); + } + if (as_integer("wave_resource_model_choice") == 0) { + assign("name", var_data(values[0])); + assign("city", var_data(values[1])); + assign("state", var_data(values[2])); + assign("country", var_data(values[3])); + // lat with S is negative + ssc_number_t dlat = std::numeric_limits::quiet_NaN(); + std::vector slat = split(values[4], ' '); + if (slat.size() > 0) { - throw exec_error("wave_file_reader", "Number of header column labels does not match number of values. There are " + std::to_string(ncols) + "keys and " + std::to_string(ncols1) + "values."); - } - if (as_integer("wave_resource_model_choice") == 0) { - assign("name", var_data(values[0])); - assign("city", var_data(values[1])); - assign("state", var_data(values[2])); - assign("country", var_data(values[3])); - // lat with S is negative - ssc_number_t dlat = std::numeric_limits::quiet_NaN(); - std::vector slat = split(values[4], ' '); - if (slat.size() > 0) + dlat = std::stod(slat[0]); + if (slat.size() > 1) { - dlat = std::stod(slat[0]); - if (slat.size() > 1) - { - if (slat[1] == "S") dlat = 0.0 - dlat; - } + if (slat[1] == "S") dlat = 0.0 - dlat; } - assign("lat", var_data(dlat)); - // lon with W is negative - ssc_number_t dlon = std::numeric_limits::quiet_NaN(); - std::vector slon = split(values[5], ' '); - if (slon.size() > 0) + } + assign("lat", var_data(dlat)); + // lon with W is negative + ssc_number_t dlon = std::numeric_limits::quiet_NaN(); + std::vector slon = split(values[5], ' '); + if (slon.size() > 0) + { + dlon = std::stod(slon[0]); + if (slon.size() > 1) { - dlon = std::stod(slon[0]); - if (slon.size() > 1) - { - if (slon[1] == "W") dlon = 0.0 - dlon; - } + if (slon[1] == "W") dlon = 0.0 - dlon; } - assign("lon", var_data(dlon)); - assign("nearby_buoy_number", var_data(values[6])); - assign("average_power_flux", var_data(std::stod(values[7]))); - assign("bathymetry", var_data(values[8])); - assign("sea_bed", var_data(values[9])); - assign("tz", var_data(std::stod(values[10]))); - assign("data_source", var_data(values[11])); - assign("notes", var_data(values[12])); } - else { - assign("location_id", var_data(std::stod(values[1]))); - assign("location_name", var_data(values[2])); - assign("distance_to_shore_file", var_data(std::stod(values[7]))); - assign("water_depth_file", var_data(std::stod(values[18]))); - assign("lat", var_data(std::stod(values[3]))); - assign("lon", var_data(std::stod(values[4]))); - assign("tz", var_data(std::stod(values[6]))); - assign("data_source", var_data(values[0])); - assign("notes", var_data(values[19])); + assign("lon", var_data(dlon)); + assign("nearby_buoy_number", var_data(values[6])); + assign("average_power_flux", var_data(std::stod(values[7]))); + assign("bathymetry", var_data(values[8])); + assign("sea_bed", var_data(values[9])); + assign("tz", var_data(std::stod(values[10]))); + assign("data_source", var_data(values[11])); + assign("notes", var_data(values[12])); + } + else { + /* + assign("location_id", var_data(std::stod(values[1]))); + assign("location_name", var_data(values[2])); + assign("distance_to_shore_file", var_data(std::stod(values[7]))); + assign("water_depth_file", var_data(std::stod(values[18]))); + assign("lat", var_data(std::stod(values[3]))); + assign("lon", var_data(std::stod(values[4]))); + assign("tz", var_data(std::stod(values[6]))); + assign("data_source", var_data(values[0])); + assign("notes", var_data(values[19])); + */ + + // allow metadata rows to have different lengths as long as required data is included + ncols = std::min(ncols, ncols1); + + std::string name, value; + + for (size_t i = 0; (int)i < ncols; i++) + { + + name = ""; + if (!keys[i].empty()) + name = util::lower_case(trimboth(keys[i])); + + value = ""; + if (!values[i].empty()) + value = trimboth(values[i]); + + // required metadata (see checks below) + if (name == "lat" || name == "latitude") + { + assign("lat", var_data(std::stod(value))); + } + else if (name == "lon" || name == "long" || name == "longitude" || name == "lng") + { + assign("lon", var_data(std::stod(value))); + } + else if (name == "tz" || name == "timezone" || name == "time zone") // require "time zone" and "local time zone" columns in NSRDB files are the same + { + assign("tz", var_data(std::stod(value))); + } + else if (name == "distance to shore" || name == "shore distance" || name == "distance") // require "time zone" and "local time zone" columns in NSRDB files are the same + { + assign("distance_to_shore_file", var_data(std::stod(value))); + } + else if (name == "water depth" || name == "depth") // require "time zone" and "local time zone" columns in NSRDB files are the same + { + assign("water_depth_file", var_data(std::stod(value))); + } + else if (name == "id" || name == "location" || name == "location id" || name == "station" || name == "station id" || name == "wban" || name == "wban#" || name == "site") + { + assign("location_id", var_data(value)); + } + else if (name == "source" || name == "src" || name == "data source") + { + assign("data_source", var_data(value)); + } + else if (name == "notes" || name == "source notes") + { + assign("notes", var_data(value)); + } } + } + if (as_integer("wave_resource_model_choice") == 1) { size_t numberRecords = 0; From 08780fd166f82b234fdf3cd3901c607f8f2f6bae Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Thu, 15 Feb 2024 01:29:42 -0700 Subject: [PATCH 29/79] Fix GitHub Actions failing tests --- ssc/cmod_utilityrate5.cpp | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/ssc/cmod_utilityrate5.cpp b/ssc/cmod_utilityrate5.cpp index 826949158..c431320de 100644 --- a/ssc/cmod_utilityrate5.cpp +++ b/ssc/cmod_utilityrate5.cpp @@ -918,8 +918,7 @@ class cm_utilityrate5 : public compute_module idx = 0; for (i=0;i 0) { + if (i > 0) { last_month_w_sys = rate.m_month[11]; last_excess_energy_w_sys = monthly_cumulative_excess_energy_w_sys[11]; last_excess_dollars_w_sys = monthly_cumulative_excess_dollars_w_sys[11]; From c3ee14207b4130cf3c6b6ba532e14de6cdce938a Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Thu, 15 Feb 2024 04:11:06 -0700 Subject: [PATCH 30/79] Fix failing macOS Github actions due to original root branch getem-cost-updates --- shared/lib_geothermal.cpp | 2 +- test/main.cpp | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/shared/lib_geothermal.cpp b/shared/lib_geothermal.cpp index 6147fa850..1db7e310f 100644 --- a/shared/lib_geothermal.cpp +++ b/shared/lib_geothermal.cpp @@ -99,7 +99,7 @@ namespace geothermal ///////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// // GETEM Physics and general equations ///////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// - const bool IMITATE_GETEM = false; + const bool IMITATE_GETEM = false; const double GETEM_FT_IN_METER = (IMITATE_GETEM) ? 3.28083 : physics::FT_PER_METER; // feet per meter - largest source of discrepancy //const double GETEM_PSI_PER_BAR = (IMITATE_GETEM) ? 14.50377 : physics::PSI_PER_BAR; // psi per bar const double GETEM_PSI_PER_BAR = 14.50377; // psi per bar diff --git a/test/main.cpp b/test/main.cpp index 6f70d3fdc..e6460c2c3 100644 --- a/test/main.cpp +++ b/test/main.cpp @@ -57,7 +57,7 @@ GTEST_API_ int main(int argc, char **argv) { //::testing::GTEST_FLAG(filter) = "CmodCashLoanTest.FuelCell*"; // ::testing::GTEST_FLAG(filter) = "CmodCashLoanTest*:CmodSingleOwnerTest*"; - //::testing::GTEST_FLAG(filter) = "csp_fresnel.PowerFresnelCmod*"; + ::testing::GTEST_FLAG(filter) = "CMGeothermal*"; // filter to exclude // ::testing::GTEST_FLAG(filter) = "-PVSmoothing_lib_battery_dispatch*"; From 82344f3f73efbd159532ba761c228f25217e1187 Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Thu, 15 Feb 2024 04:20:19 -0700 Subject: [PATCH 31/79] Test all previously failing tests on all platforms --- test/main.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/test/main.cpp b/test/main.cpp index e6460c2c3..82476598d 100644 --- a/test/main.cpp +++ b/test/main.cpp @@ -57,7 +57,7 @@ GTEST_API_ int main(int argc, char **argv) { //::testing::GTEST_FLAG(filter) = "CmodCashLoanTest.FuelCell*"; // ::testing::GTEST_FLAG(filter) = "CmodCashLoanTest*:CmodSingleOwnerTest*"; - ::testing::GTEST_FLAG(filter) = "CMGeothermal*"; + ::testing::GTEST_FLAG(filter) = "CMGeothermal*:GeothermalPlantAnalyzer*"; // filter to exclude // ::testing::GTEST_FLAG(filter) = "-PVSmoothing_lib_battery_dispatch*"; From 6a654544257db0e5ac8e6f279efc67e3cc5daca4 Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Thu, 15 Feb 2024 04:34:21 -0700 Subject: [PATCH 32/79] Reinstate all ssc tests for pull request approval --- test/main.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/test/main.cpp b/test/main.cpp index 82476598d..c0f18f56f 100644 --- a/test/main.cpp +++ b/test/main.cpp @@ -57,7 +57,7 @@ GTEST_API_ int main(int argc, char **argv) { //::testing::GTEST_FLAG(filter) = "CmodCashLoanTest.FuelCell*"; // ::testing::GTEST_FLAG(filter) = "CmodCashLoanTest*:CmodSingleOwnerTest*"; - ::testing::GTEST_FLAG(filter) = "CMGeothermal*:GeothermalPlantAnalyzer*"; + //::testing::GTEST_FLAG(filter) = "CMGeothermal*:GeothermalPlantAnalyzer*"; // filter to exclude // ::testing::GTEST_FLAG(filter) = "-PVSmoothing_lib_battery_dispatch*"; From 43f79e181936c58b159e14f091bbef9746506eba Mon Sep 17 00:00:00 2001 From: dguittet Date: Mon, 19 Feb 2024 12:06:55 -0700 Subject: [PATCH 33/79] fix hybrid test on windows --- test/ssc_test/cmod_hybrid_test.cpp | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 448ba4248..2b9d636d7 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -186,15 +186,15 @@ TEST_F(CmodHybridTest, GenericPVWattsWindFuelCellBatteryHybrid_SingleOwner) { auto revenue = ssc_data_get_array(hybrid_outputs, "cf_total_revenue", &len); auto om_expenses = ssc_data_get_array(hybrid_outputs, "cf_operating_expenses", &len); - EXPECT_NEAR(genericannualenergy, 756864000, 1); - EXPECT_NEAR(pvannualenergy, 211907359, 1); - EXPECT_NEAR(windannualenergy, 818888286, 1); - EXPECT_NEAR(battannualenergy, 1780823936, 1); + EXPECT_NEAR(genericannualenergy, 756864000., 1); + EXPECT_NEAR(pvannualenergy, 211907359., 1); + EXPECT_NEAR(windannualenergy, 818888286., 1); + EXPECT_NEAR(battannualenergy, 1780823936., 1); - EXPECT_NEAR(om_expenses[1], 155867774, 1); - EXPECT_NEAR(revenue[1], 86930659, 1); - EXPECT_NEAR(ebitda[1], -68937115, 1); - EXPECT_NEAR(npv, -3230348080, 1); + EXPECT_NEAR(om_expenses[1], 155867774., 1); + EXPECT_NEAR(revenue[1], 86930659., 1); + EXPECT_NEAR(ebitda[1], -68937115., 1); + EXPECT_NEAR(npv, -3230348080., 1); } ssc_data_free(dat); dat = nullptr; From 283376b3b4a7fcaa03c5e7bfbfb7e5bf7bf46593 Mon Sep 17 00:00:00 2001 From: Brian Mirletz Date: Mon, 19 Feb 2024 15:37:10 -0700 Subject: [PATCH 34/79] Use same tolerance in powerflow and utlity rate code. Rename gen_purchases to avoid confusion in btm models --- shared/lib_battery_powerflow.cpp | 5 ++++- shared/lib_battery_powerflow.h | 3 +++ ssc/cmod_utilityrate5.cpp | 6 +++++- ssc/common_financial.cpp | 2 +- test/ssc_test/cmod_battery_pvsamv1_test.cpp | 2 +- 5 files changed, 14 insertions(+), 4 deletions(-) diff --git a/shared/lib_battery_powerflow.cpp b/shared/lib_battery_powerflow.cpp index 657267b51..5a9b0ae08 100644 --- a/shared/lib_battery_powerflow.cpp +++ b/shared/lib_battery_powerflow.cpp @@ -36,6 +36,9 @@ OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. #include "lib_power_electronics.h" #include "lib_shared_inverter.h" +// 0.005 W when applied to power. Some inverters have a night time loss of 0.01 W +double powerflow_tolerance = 0.000005; + BatteryPower::BatteryPower(double dtHour) : dtHour(dtHour), powerSystem(0), @@ -102,7 +105,7 @@ BatteryPower::BatteryPower(double dtHour) : depthOfDischargeMax(1), currentChargeMax(0), currentDischargeMax(0), - tolerance(0.001){} + tolerance(powerflow_tolerance){} BatteryPower::BatteryPower(const BatteryPower& orig) { sharedInverter = orig.sharedInverter; diff --git a/shared/lib_battery_powerflow.h b/shared/lib_battery_powerflow.h index 0fc99bf72..10d0da630 100644 --- a/shared/lib_battery_powerflow.h +++ b/shared/lib_battery_powerflow.h @@ -42,6 +42,9 @@ class SharedInverter; struct BatteryPower; +// Allow for consistent power tolerances between the technology code and utility rate code +extern double powerflow_tolerance; + /** * \class BatteryPowerFlow * diff --git a/ssc/cmod_utilityrate5.cpp b/ssc/cmod_utilityrate5.cpp index c431320de..8339d153f 100644 --- a/ssc/cmod_utilityrate5.cpp +++ b/ssc/cmod_utilityrate5.cpp @@ -1420,6 +1420,10 @@ class cm_utilityrate5 : public compute_module dc_tou_sched[ii] = (ssc_number_t)rate.m_dc_tou_sched[ii]; load[ii] = -e_load_cy[ii]; e_tofromgrid[ii] = e_grid_cy[ii]; + + if (fabs(e_tofromgrid[ii]) < powerflow_tolerance) { // powerflow_tolerance is defined globally in shared/lib_battery_powerflow.h, set to 0.000005 (Watts or Watt-hrs) + e_tofromgrid[ii] = 0.0; + } if (e_tofromgrid[ii] > 0) { year1_hourly_e_togrid[ii] = e_tofromgrid[ii]; @@ -1430,7 +1434,7 @@ class cm_utilityrate5 : public compute_module year1_hourly_e_togrid[ii] = 0.0; year1_hourly_e_fromgrid[ii] = -e_tofromgrid[ii]; } - p_tofromgrid[ii] = p_grid_cy[ii]; + p_tofromgrid[ii] = fabs(p_grid_cy[ii]) > powerflow_tolerance ? p_grid_cy[ii] : 0.0; salespurchases[ii] = revenue_w_sys[ii]; } assign("year1_hourly_ec_tou_schedule", var_data(&ec_tou_sched[0], (int)m_num_rec_yearly)); diff --git a/ssc/common_financial.cpp b/ssc/common_financial.cpp index 0801f5c09..a97d9911a 100644 --- a/ssc/common_financial.cpp +++ b/ssc/common_financial.cpp @@ -3338,7 +3338,7 @@ var_info vtab_lcos_inputs[] = { { SSC_INPUT, SSC_MATRIX, "net_billing_credits_ym", "Net billing credit", "$", "", "Charges by Month", "", "", "COL_LABEL=MONTHS,FORMAT_SPEC=CURRENCY,GROUP=UR_AM" }, // fix for running financial compute modules tests - { SSC_INOUT, SSC_ARRAY, "gen_purchases", "Electricity from grid", "kW", "", "System Output", "", "", "" }, + { SSC_INOUT, SSC_ARRAY, "gen_purchases", "Electricity from grid to system", "kW", "", "System Output", "", "", "" }, { SSC_INPUT, SSC_ARRAY, "rate_escalation", "Annual electricity rate escalation", "%/year", "", "Electricity Rates", "", "", "" }, diff --git a/test/ssc_test/cmod_battery_pvsamv1_test.cpp b/test/ssc_test/cmod_battery_pvsamv1_test.cpp index 57db93e37..003e404fd 100644 --- a/test/ssc_test/cmod_battery_pvsamv1_test.cpp +++ b/test/ssc_test/cmod_battery_pvsamv1_test.cpp @@ -1144,7 +1144,7 @@ TEST_F(CMPvsamv1BatteryIntegration_cmod_pvsamv1, ResidentialACBatteryModelGridOu ssc_number_t expectedEnergy = 8521.00; ssc_number_t expectedBatteryChargeEnergy = 3290.77; ssc_number_t expectedBatteryDischargeEnergy = 2974.91; - ssc_number_t expectedCritLoadUnmet = 485.18; + ssc_number_t expectedCritLoadUnmet = 488.14; ssc_number_t peakKwCharge = -3.4; ssc_number_t peakKwDischarge = 1.964; From c06c5eb4f38700082a4a38edb256178d0af52ed0 Mon Sep 17 00:00:00 2001 From: Darice Date: Tue, 20 Feb 2024 15:32:11 -0700 Subject: [PATCH 35/79] fix degradation input for hybrids --- ssc/common.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/ssc/common.cpp b/ssc/common.cpp index d6e6dae8d..340c73a53 100644 --- a/ssc/common.cpp +++ b/ssc/common.cpp @@ -975,7 +975,7 @@ var_info vtab_hybrid_tech_om_inputs[] = { { SSC_INPUT, SSC_ARRAY, "om_fuelcell_variable_cost", "Fuel cell production-based O&M amount", "$/MWh", "" , "HybridTech", "abt:fuelcell_unit_max_power", "", ""}, { SSC_INPUT, SSC_ARRAY, "om_fuelcell_capacity_cost", "Fuel cell capacity-based O&M amount", "$/kWcap", "" , "HybridTech", "abt:fuelcell_unit_max_power", "", ""}, { SSC_INPUT, SSC_ARRAY, "om_fuel_cost", "Fuel cost", "$/MMBtu", "", "HybridTech", "abt:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_ARRAY, "degradation", "Annual AC degradation", "%", "", "HybridTech", "abt:system_use_lifetime_output", "", "" }, + { SSC_INPUT, SSC_ARRAY, "degradation", "Annual AC degradation", "%", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, var_info_invalid }; // for o and m cost outputs calculated in cmod_hybrid From 5da35c748311996bfea42b18c44c863469b2e17b Mon Sep 17 00:00:00 2001 From: Darice Date: Tue, 20 Feb 2024 16:32:04 -0700 Subject: [PATCH 36/79] HybridTech degradation as optional --- ssc/common.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/ssc/common.cpp b/ssc/common.cpp index 340c73a53..251cdaab0 100644 --- a/ssc/common.cpp +++ b/ssc/common.cpp @@ -975,7 +975,7 @@ var_info vtab_hybrid_tech_om_inputs[] = { { SSC_INPUT, SSC_ARRAY, "om_fuelcell_variable_cost", "Fuel cell production-based O&M amount", "$/MWh", "" , "HybridTech", "abt:fuelcell_unit_max_power", "", ""}, { SSC_INPUT, SSC_ARRAY, "om_fuelcell_capacity_cost", "Fuel cell capacity-based O&M amount", "$/kWcap", "" , "HybridTech", "abt:fuelcell_unit_max_power", "", ""}, { SSC_INPUT, SSC_ARRAY, "om_fuel_cost", "Fuel cost", "$/MMBtu", "", "HybridTech", "abt:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_ARRAY, "degradation", "Annual AC degradation", "%", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, + { SSC_INPUT, SSC_ARRAY, "degradation", "Annual AC degradation", "%", "", "HybridTech", "?=0", "", "" }, var_info_invalid }; // for o and m cost outputs calculated in cmod_hybrid From eecfab611515fa6470df44b2bc77fd78acc5f8fb Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Wed, 21 Feb 2024 03:33:18 -0700 Subject: [PATCH 37/79] Add hybrid JSON code generator test --- .../general_data/AZ Eastern-Rolling Hills.srw | 8765 +++++++++++++++++ ...atts_Wind_Battery_Hybrid_Single_Owner.json | 976 ++ test/main.cpp | 2 +- test/ssc_test/cmod_hybrid_test.cpp | 51 + 4 files changed, 9793 insertions(+), 1 deletion(-) create mode 100644 test/input_cases/general_data/AZ Eastern-Rolling Hills.srw create mode 100644 test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json diff --git a/test/input_cases/general_data/AZ Eastern-Rolling Hills.srw b/test/input_cases/general_data/AZ Eastern-Rolling Hills.srw new file mode 100644 index 000000000..4db827365 --- /dev/null +++ b/test/input_cases/general_data/AZ Eastern-Rolling Hills.srw @@ -0,0 +1,8765 @@ +loc_id,city??,AZ,USA,year??,lat??,lon??,1829,-7,8760 +Eastern AZ - rolling hills (NREL AWS Truepower representative file) +Temperature,Pressure,Direction,Speed,Temperature,Pressure,Direction,Speed,Temperature,Pressure,Direction,Speed,Temperature,Pressure,Direction,Speed +C,atm,degrees,m/s,C,atm,degrees,m/s,C,atm,degrees,m/s,C,atm,degrees,m/s +50,50,50,50,80,80,80,80,110,110,110,110,140,140,140,140 +1.825,0.800485566,333,3.699,1.585,0.797330373,332,4.487,1.415,0.794273871,333,5.159,1.235,0.791118678,333,5.72 +1.665,0.799400938,343,3.639,1.485,0.796344436,342,4.407,1.335,0.793287935,342,5.061,1.235,0.79023242,342,5.591 +1.665,0.800090797,351,3.431,1.485,0.797034296,349,4.21,1.315,0.793977794,349,4.903,1.135,0.790822601,349,5.442 +1.505,0.801469529,354,3.302,1.385,0.798414014,356,4.062,1.185,0.795357513,357,4.617,1.035,0.792202319,357,4.976 +1.305,0.801469529,346,3.233,1.185,0.798413027,350,3.973,0.985,0.795257834,353,4.281,0.835,0.792202319,353,4.362 +0.825,0.80127017,342,3.917,0.885,0.798313348,344,4.892,0.675,0.795158154,345,5.228,0.435,0.792002961,345,5.264 +0.345,0.801071799,4,4.601,0.585,0.798213669,5,5.811,0.355,0.795058475,6,6.175,0.135,0.791903282,6,6.176 +0.285,0.801761658,46,4.056,0.585,0.799002221,42,5.02,0.335,0.795847027,41,5.189,0.035,0.792691833,41,5.095 +0.105,0.801365902,27,2.38,0.285,0.798508759,44,3.251,0.065,0.795353565,42,3.463,-0.165,0.792297064,42,3.41 +0.645,0.799790772,47,1.349,0.285,0.796635579,46,1.393,0.035,0.793480385,45,1.549,-0.165,0.790325191,45,1.735 +1.345,0.797920553,13,2.449,0.985,0.794765359,12,2.49,0.665,0.791511473,13,2.516,0.335,0.788356279,13,2.548 +2.745,0.800587219,12,1.934,2.385,0.797432026,12,1.957,2.065,0.79417814,12,1.963,1.735,0.791021959,12,1.973 +3.545,0.797928448,2,2.261,3.185,0.794773254,2,2.273,2.835,0.791618061,2,2.279,2.535,0.788364175,2,2.29 +3.945,0.797930422,359,3.242,3.585,0.794675549,358,3.271,3.255,0.791520355,358,3.275,2.935,0.788365162,358,3.301 +4.345,0.796847767,353,3.847,3.985,0.793691586,353,3.894,3.665,0.790536393,353,3.916,3.335,0.787381199,353,3.955 +4.485,0.797735011,359,2.955,4.185,0.79467851,358,2.984,3.865,0.791522329,358,3.009,3.535,0.788367135,358,3.033 +4.485,0.798917345,354,3.927,4.185,0.795860844,353,3.973,3.865,0.79270565,353,4.005,3.535,0.789550456,353,4.054 +3.495,0.799406859,7,3.312,3.285,0.796350358,4,3.488,2.975,0.793195164,3,3.581,2.635,0.79003997,3,3.638 +2.505,0.799896373,48,2.697,2.385,0.796839872,35,3.014,2.085,0.793684678,31,3.157,1.835,0.790529484,31,3.222 +2.405,0.801768566,48,2.043,2.285,0.798713052,29,2.322,1.985,0.795459166,26,2.446,1.735,0.792303972,26,2.508 +2.265,0.805711325,38,1.815,2.085,0.802556131,24,2.065,1.785,0.799400938,22,2.2,1.535,0.796245744,22,2.32 +2.065,0.804429312,29,2.717,1.885,0.80137281,24,3.004,1.615,0.798217617,23,3.176,1.335,0.795062423,23,3.37 +1.585,0.805117197,16,2.717,1.585,0.802061683,17,3.073,1.355,0.798906489,17,3.285,1.135,0.795849988,17,3.499 +0.945,0.804523069,319,3.55,1.185,0.801665926,339,3.903,1.015,0.798510733,343,4.025,0.835,0.795455218,343,4.124 +-0.115,0.804716506,290,4.898,0.485,0.802057735,319,4.951,0.465,0.799099926,326,4.646,0.435,0.796044412,326,4.332 +-1.095,0.803924994,296,5.553,-0.315,0.801463607,324,5.613,-0.195,0.798506785,333,5.071,-0.065,0.795648655,333,4.53 +-1.815,0.804315815,300,5.94,-0.915,0.801954108,328,5.939,-0.765,0.798997286,338,5.406,-0.665,0.796139156,338,4.976 +-2.235,0.804512213,314,4.462,-1.515,0.80204984,348,4.734,-1.435,0.799093018,0,4.735,-1.365,0.796136195,0,4.748 +-2.335,0.804609919,314,2.995,-1.915,0.801851468,5,2.886,-1.895,0.798795954,22,3.038,-1.865,0.795839132,22,3.361 +-2.315,0.804511226,323,3.867,-1.865,0.801752776,349,3.834,-1.915,0.798697261,357,3.798,-1.965,0.795641747,357,3.896 +-2.295,0.804313842,345,4.75,-1.815,0.80165507,9,4.773,-1.945,0.798598569,15,4.548,-2.065,0.795444362,15,4.441 +-2.575,0.802638046,338,4.66,-1.915,0.80007698,9,4.753,-2.065,0.797021466,16,4.498,-2.165,0.793866272,16,4.292 +-2.875,0.803129534,3,4.65,-2.215,0.800569455,28,5.455,-2.365,0.797512953,34,5.514,-2.465,0.794358747,34,5.413 +-2.735,0.800271404,36,3.48,-2.915,0.79711621,42,4.141,-3.015,0.793962003,47,4.873,-3.165,0.790905502,47,5.541 +-1.755,0.799585492,74,3.233,-2.115,0.796330619,75,3.35,-2.415,0.793076733,75,3.453,-2.665,0.789922527,75,3.589 +0.005,0.799690106,79,2.142,-0.415,0.79643622,80,2.174,-0.745,0.793182334,80,2.21,-1.065,0.790026153,80,2.24 +0.945,0.799003208,76,2.697,0.585,0.795749321,77,2.737,0.285,0.792594128,77,2.752,0.035,0.789438934,77,2.796 +2.505,0.799698988,86,1.785,2.085,0.796445102,87,1.799,1.755,0.793191216,88,1.815,1.435,0.790035036,88,1.834 +3.445,0.79901209,52,1.349,3.085,0.795758204,53,1.344,2.755,0.79260301,54,1.322,2.435,0.789447816,54,1.309 +3.585,0.799505551,17,1.963,3.285,0.796350358,16,1.957,2.985,0.793195164,16,1.943,2.735,0.79003997,16,1.933 +3.385,0.801082655,29,2.598,3.085,0.797927461,29,2.619,2.785,0.794771281,29,2.624,2.535,0.791616087,29,2.617 +2.765,0.803445349,56,3.242,2.585,0.800291142,55,3.36,2.295,0.797135949,56,3.305,2.035,0.793980755,56,3.252 +2.145,0.805710338,54,3.887,2.085,0.802654824,57,4.091,1.815,0.79949963,57,3.995,1.535,0.796344436,57,3.886 +1.885,0.804329632,85,3.401,1.885,0.80137281,81,3.528,1.635,0.798217617,81,3.393,1.435,0.795062423,81,3.281 +1.625,0.807285468,118,3.738,1.685,0.804328645,106,3.824,1.485,0.801174439,107,3.699,1.335,0.798117937,107,3.569 +1.465,0.807777942,132,3.639,1.585,0.804919812,112,3.735,1.435,0.801765606,112,3.62,1.335,0.798709104,112,3.47 +1.465,0.806595608,139,3.173,1.585,0.803638786,112,3.32,1.505,0.800582285,110,3.236,1.435,0.79752677,110,3.053 +1.505,0.806004441,169,2.281,1.685,0.803146311,128,2.243,1.665,0.800189489,124,2.2,1.635,0.797132988,124,2.062 +1.585,0.807680237,150,2.152,1.585,0.804624722,111,2.54,1.585,0.801666913,107,2.634,1.535,0.798710091,107,2.508 +1.585,0.807680237,167,2.747,1.585,0.804624722,139,2.945,1.585,0.801666913,136,3.098,1.535,0.798710091,136,3.152 +1.745,0.808075006,191,2.866,1.985,0.805216876,164,2.905,2.015,0.802260054,161,3.048,2.035,0.799303232,161,3.123 +2.005,0.806991364,191,2.687,2.485,0.804331606,161,2.747,2.535,0.801374784,159,2.94,2.535,0.798417962,159,3.043 +2.285,0.807682211,192,2.459,2.885,0.805122132,160,2.54,2.955,0.80216531,158,2.782,3.035,0.799208488,158,2.924 +2.595,0.805514927,211,2.3,3.285,0.802954848,184,2.115,3.365,0.800096718,178,2.22,3.435,0.797139896,178,2.34 +2.905,0.803347644,227,2.152,3.685,0.800886257,203,1.68,3.765,0.797929435,197,1.657,3.835,0.795071305,197,1.755 +2.405,0.805021466,273,0.773,2.885,0.802361707,62,1.087,3.065,0.799503578,69,1.184,3.235,0.796646435,69,1.13 +0.465,0.805409326,66,3.699,0.885,0.802650876,74,4.071,1.285,0.799990131,75,3.995,1.735,0.797232667,75,3.856 +0.025,0.799591414,100,2.885,0.685,0.797130027,95,4.111,1.065,0.794371577,91,4.4,1.435,0.791710831,91,4.302 +1.385,0.801074759,110,2.062,1.085,0.797820873,109,2.293,1.315,0.795062423,105,3.176,1.535,0.792204293,105,4.243 +3.745,0.800097705,102,1.914,3.385,0.796942512,104,1.986,3.065,0.793787318,105,2.062,2.735,0.790533432,105,2.151 +5.745,0.79892228,90,1.368,5.385,0.795767086,93,1.384,5.065,0.792610906,94,1.401,4.735,0.789455712,94,1.408 +6.185,0.800007895,48,2.509,5.885,0.796852702,49,2.51,5.585,0.793697508,50,2.486,5.335,0.790641007,50,2.468 +6.385,0.797445843,64,3.342,6.085,0.794389341,65,3.38,5.785,0.791234148,65,3.393,5.535,0.788078954,65,3.41 +6.645,0.796263509,51,3.282,6.285,0.793108315,52,3.32,5.985,0.790051813,53,3.315,5.735,0.78689662,53,3.321 +6.385,0.798924254,46,3.867,6.085,0.795867752,46,3.953,5.785,0.792712559,47,3.985,5.535,0.789557365,47,4.015 +5.435,0.799413768,43,4.452,5.285,0.796357266,50,4.991,5.075,0.793301752,52,5.238,4.835,0.790244264,52,5.333 +4.485,0.799903282,51,5.027,4.485,0.796946459,57,6.028,4.365,0.793889958,59,6.491,4.235,0.790932149,59,6.662 +4.385,0.803156181,59,3.748,4.385,0.800198372,69,4.566,4.315,0.797142857,72,5.011,4.235,0.794185048,72,5.234 +4.225,0.804437207,19,1.795,4.285,0.801479398,57,2.312,4.265,0.798522576,66,2.585,4.235,0.795565754,66,2.677 +3.745,0.802759437,310,1.864,3.985,0.8,1,1.552,3.985,0.797043178,15,1.302,4.035,0.794086356,15,0.962 +2.785,0.804234888,298,3.55,3.385,0.801673822,330,2.975,3.415,0.798717,337,2.525,3.435,0.795760178,337,2.121 +1.785,0.802653837,286,4.72,2.685,0.800291142,315,4.16,2.765,0.79733432,320,3.541,2.835,0.79447619,320,3.073 +1.225,0.802849247,299,4.779,1.885,0.800288182,330,4.793,1.985,0.797331359,337,4.449,2.035,0.79447323,337,4.035 +0.685,0.80156526,289,4.888,1.285,0.799005181,319,4.694,1.435,0.796147052,326,4.311,1.535,0.793288922,326,3.916 +0.165,0.801563286,296,5.245,0.885,0.7991019,325,5.267,1.065,0.79624377,331,5.041,1.235,0.793386627,331,4.848 +-0.015,0.800971132,297,4.71,0.585,0.798411054,328,4.714,0.785,0.795552924,335,4.617,1.035,0.792794473,335,4.53 +-0.595,0.80244757,294,5.622,0.185,0.799986183,320,5.544,0.415,0.79712904,327,5.297,0.635,0.79427091,327,5.195 +-1.015,0.800770787,291,5.92,-0.415,0.798112016,309,6.493,-0.215,0.795253886,316,6.501,-0.065,0.792395756,316,6.453 +-1.435,0.798995312,297,6.217,-1.015,0.796335554,312,7.451,-0.835,0.793477424,318,7.714,-0.665,0.790619294,318,7.703 +-1.855,0.796135208,288,6.564,-1.315,0.79347545,306,7.698,-1.085,0.790618307,312,7.813,-0.865,0.78785887,312,7.663 +-2.175,0.798696274,295,6.554,-1.815,0.795937824,307,8.133,-1.515,0.793080681,313,8.454,-1.165,0.79032223,313,8.278 +-1.715,0.796036516,312,5.811,-2.015,0.79278263,313,6.206,-1.865,0.789925487,314,6.728,-1.665,0.787067358,314,7.276 +-0.755,0.796336541,324,5.305,-1.115,0.79318036,324,5.593,-1.415,0.789926474,324,5.84,-1.665,0.786771281,324,6.107 +-0.155,0.796830989,333,5.473,-0.515,0.793577103,334,5.643,-0.835,0.790323217,334,5.771,-1.165,0.787168024,334,5.928 +0.045,0.795156181,341,5.781,-0.315,0.791902295,341,5.949,-0.635,0.788747101,341,6.067,-0.965,0.785493215,341,6.216 +0.445,0.796143104,340,5.721,0.085,0.792889218,339,5.85,-0.245,0.789635332,339,5.948,-0.565,0.786480138,339,6.077 +0.845,0.796341475,331,6.059,0.485,0.793186282,331,6.206,0.165,0.789932396,331,6.333,-0.165,0.786777202,331,6.473 +1.045,0.796342462,330,6.812,0.685,0.793088576,330,6.987,0.385,0.789933383,330,7.113,0.035,0.786778189,330,7.276 +1.345,0.797920553,331,8.21,0.985,0.794666667,332,8.519,0.685,0.791511473,332,8.75,0.435,0.788356279,332,9.001 +1.005,0.799891438,341,8.736,0.735,0.796735258,341,9.477,0.485,0.793581051,341,10.082,0.235,0.790425857,341,10.667 +0.665,0.801861337,335,9.251,0.485,0.798706144,336,10.436,0.285,0.795649642,337,11.414,0.035,0.792494449,337,12.342 +-0.055,0.800182581,338,8.825,-0.115,0.797127066,339,10.07,-0.265,0.794070565,340,11.177,-0.465,0.791014064,340,12.253 +-0.715,0.806291636,344,8.2,-0.715,0.803334814,345,9.556,-0.815,0.80017962,345,10.782,-0.965,0.797124106,345,11.975 +-1.175,0.804613866,349,7.179,-1.115,0.801657044,351,8.43,-1.215,0.798502837,352,9.5,-1.265,0.795447323,352,10.538 +-1.735,0.803626943,351,7.635,-1.615,0.800670121,354,9.023,-1.715,0.79761362,356,10.092,-1.765,0.794558105,356,11.043 +-2.155,0.80549815,349,7.348,-1.915,0.80264002,355,8.677,-2.045,0.799485813,358,9.598,-2.165,0.796330619,358,10.359 +-2.735,0.805200099,345,7.952,-2.315,0.802441648,351,9.615,-2.415,0.799385147,354,10.585,-2.465,0.79623094,354,11.251 +-3.335,0.803620035,346,7.933,-2.915,0.800862571,352,9.645,-2.945,0.79780607,356,10.723,-2.965,0.794750555,356,11.499 +-3.935,0.80430792,338,8.091,-3.515,0.80154947,346,9.833,-3.485,0.798493955,350,10.891,-3.465,0.795537133,350,11.668 +-4.595,0.803911177,334,7.794,-4.115,0.801153713,341,9.566,-4.045,0.798196891,346,10.536,-3.965,0.795240069,346,11.202 +-5.195,0.806964717,334,7.457,-4.715,0.804206267,341,9.22,-4.565,0.801250432,346,10.052,-4.465,0.798392302,346,10.587 +-5.535,0.807554898,325,7.04,-4.815,0.804994819,338,8.558,-4.655,0.802037997,345,8.997,-4.465,0.799082161,345,9.17 +-5.875,0.808145078,308,6.624,-4.915,0.805684678,328,7.896,-4.745,0.802826548,336,7.931,-4.565,0.799870713,336,7.752 +-5.715,0.803611152,318,5.454,-4.815,0.801249445,343,6.453,-4.715,0.798292623,351,6.649,-4.665,0.795237108,351,6.711 +-5.915,0.807356526,329,5.711,-5.015,0.804895139,349,6.858,-4.985,0.801839625,357,7.063,-4.965,0.798784111,357,7.118 +-5.735,0.804695781,347,2.786,-5.915,0.801540587,356,3.656,-5.815,0.798485073,6,4.488,-5.665,0.795529238,6,5.244 +-4.095,0.802040957,31,1.904,-4.515,0.798687392,33,1.986,-4.785,0.795433506,33,2.091,-5.065,0.792180607,33,2.23 +-1.295,0.804219097,46,1.14,-1.715,0.800866519,46,1.206,-2.065,0.797612633,46,1.273,-2.465,0.794358747,46,1.348 +-0.555,0.804024673,39,2.538,-0.915,0.800770787,40,2.579,-1.245,0.797516901,40,2.614,-1.565,0.794263015,40,2.647 +1.145,0.80255317,30,1.874,0.785,0.79939699,29,1.888,0.435,0.796143104,29,1.904,0.035,0.792889218,29,1.933 +1.685,0.802850234,44,2.499,1.385,0.799695041,44,2.52,1.065,0.796441155,44,2.525,0.735,0.793285961,44,2.538 +2.345,0.804232914,66,1.567,1.985,0.80107772,67,1.561,1.685,0.797823834,67,1.559,1.435,0.794668641,67,1.556 +2.385,0.804232914,32,1.616,2.085,0.800979028,31,1.621,1.785,0.797823834,31,1.598,1.535,0.794668641,31,1.586 +2.035,0.807583518,23,2.677,1.885,0.804428325,20,2.678,1.595,0.801273131,19,2.594,1.335,0.798019245,19,2.538 +1.685,0.810834444,41,3.728,1.685,0.807877622,35,3.726,1.415,0.804623736,34,3.591,1.135,0.801468542,34,3.499 +1.485,0.810143597,90,1.646,1.485,0.807186775,69,1.552,1.235,0.803932889,68,1.391,1.035,0.800778682,68,1.209 +1.245,0.81270565,118,2.152,1.185,0.809650136,104,1.947,1.085,0.806494942,103,1.608,1.035,0.803439428,103,1.17 +1.085,0.811719714,174,0.813,1.085,0.808664199,208,0.573,1.135,0.805707377,248,0.779,1.235,0.802750555,248,1.457 +1.165,0.813494202,178,0.615,1.285,0.81053738,271,0.524,1.365,0.807580558,303,1.154,1.435,0.804623736,303,2.022 +1.325,0.811918085,257,2.251,1.685,0.809158648,297,2.599,1.765,0.806201826,306,3.117,1.835,0.803245004,306,3.727 +1.665,0.81182038,252,1.815,2.085,0.809160622,301,1.897,2.165,0.8062038,311,2.299,2.235,0.803246978,311,2.796 +2.085,0.812610906,284,1.983,2.385,0.809851468,324,2.243,2.485,0.806894646,330,2.742,2.535,0.803937824,330,3.311 +2.105,0.812512213,336,1.993,2.285,0.809555391,3,2.431,2.365,0.806598569,4,2.979,2.435,0.803641747,4,3.549 +1.765,0.809454725,23,1.23,1.885,0.806497903,41,1.67,1.985,0.803542068,36,2.111,2.035,0.800682951,36,2.597 +1.565,0.812411547,137,1.458,1.685,0.809454725,101,1.463,1.865,0.806596595,86,1.529,2.035,0.803738465,86,1.784 +1.975,0.811623982,338,1.636,2.035,0.80866716,358,1.65,2.125,0.805710338,1,1.884,2.235,0.802753516,1,2.3 +2.385,0.810837404,353,1.815,2.385,0.80778189,11,1.838,2.385,0.804824081,12,2.239,2.435,0.801768566,12,2.815 +1.965,0.809751789,344,1.805,2.085,0.806794967,357,1.71,2.085,0.803838145,358,2.032,2.035,0.800781643,358,2.558 +1.485,0.812312855,356,2.38,1.785,0.809454725,9,2.312,1.765,0.80639921,9,2.545,1.735,0.803442388,9,2.984 +0.885,0.80669134,333,1.368,1.485,0.804131261,24,1.542,1.465,0.801075746,23,1.756,1.435,0.798117937,23,2.092 +1.745,0.805808043,28,0.278,1.385,0.802554157,38,0.405,1.335,0.799497656,39,0.848,1.335,0.796540834,39,1.437 +3.445,0.806799901,22,1.864,3.085,0.803546015,22,1.897,2.755,0.800389835,22,1.934,2.435,0.797234641,22,1.973 +4.245,0.805816926,33,2.697,3.885,0.802661732,33,2.737,3.565,0.799406859,33,2.762,3.235,0.796251665,33,2.805 +5.345,0.804736245,47,2.34,4.985,0.801482359,47,2.362,4.665,0.798327165,47,2.377,4.335,0.795171971,47,2.409 +5.945,0.80552677,65,3.074,5.585,0.802371577,65,3.113,5.285,0.799216383,65,3.117,5.035,0.796061189,65,3.152 +6.345,0.805726129,80,3.421,5.985,0.802471256,80,3.469,5.665,0.799316062,80,3.502,5.335,0.796160868,80,3.549 +6.485,0.807599309,85,2.796,6.185,0.804444115,85,2.836,5.865,0.801287935,86,2.871,5.535,0.798132741,86,2.924 +6.455,0.807993092,40,2.429,6.185,0.804837898,41,2.401,5.915,0.801682704,41,2.348,5.635,0.79852751,41,2.32 +6.425,0.808289169,25,2.053,6.185,0.805232667,27,1.967,5.955,0.802077473,26,1.825,5.735,0.799020972,26,1.725 +6.365,0.811738465,327,2.538,6.185,0.808681964,323,2.5,6.015,0.805527757,321,2.486,5.835,0.802471256,321,2.488 +6.065,0.813610659,331,3.956,6.185,0.810653837,332,4.002,6.035,0.807597335,332,4.045,5.835,0.804540834,332,4.144 +5.905,0.81351098,325,3.599,6.085,0.810653837,332,3.666,5.955,0.807597335,333,3.689,5.835,0.804540834,333,3.807 +5.785,0.813904762,320,2.895,6.085,0.811047619,339,3.004,5.935,0.807991118,341,3.038,5.835,0.804935603,341,3.162 +5.305,0.813016531,309,2.608,5.785,0.810356773,346,2.826,5.635,0.807300271,348,2.94,5.535,0.804244757,348,3.063 +4.945,0.813113249,322,1.378,5.185,0.810256107,14,1.957,5.065,0.807199605,15,2.299,4.935,0.804144091,15,2.548 +4.445,0.812322724,147,1.081,4.685,0.809465581,73,1.354,4.565,0.80640908,65,1.647,4.435,0.803353565,65,1.923 +4.125,0.813011596,114,3.143,4.485,0.810253146,93,3.449,4.335,0.807197631,91,3.581,4.235,0.804042438,91,3.727 +4.185,0.81360375,114,4.541,4.785,0.810944979,96,4.753,4.565,0.807887491,96,4.794,4.335,0.804733284,96,4.917 +4.445,0.813506045,109,3.818,4.985,0.810846287,85,4.071,4.785,0.807789785,85,4.064,4.535,0.804634592,85,4.144 +4.195,0.812322724,354,3.252,4.585,0.809563286,24,3.686,4.485,0.806507772,27,3.956,4.335,0.803451271,27,4.183 +3.945,0.811039724,10,2.677,4.185,0.808281273,43,3.301,4.185,0.805224772,45,3.847,4.235,0.80226795,45,4.223 +3.425,0.812319763,340,2.38,3.785,0.809561313,17,2.441,3.885,0.806604491,22,2.9,4.035,0.803647668,22,3.4 +2.965,0.811924007,343,2.122,3.685,0.809461633,23,2.382,3.865,0.806604491,27,2.92,4.035,0.803746361,27,3.549 +2.105,0.806893659,312,2.36,3.185,0.804630644,4,2.807,3.455,0.801871207,9,3.334,3.735,0.799111769,9,3.926 +3.245,0.806010363,342,1.477,2.885,0.802756477,346,1.601,3.135,0.799898347,354,2.259,3.435,0.797139896,354,3.212 +4.905,0.804143104,352,2.152,4.485,0.800889218,352,2.214,4.185,0.797734024,352,2.269,3.935,0.79457883,352,2.349 +6.545,0.804642487,63,2.033,6.185,0.801486306,63,2.046,5.865,0.798331113,63,2.062,5.535,0.795175919,63,2.082 +7.545,0.803955588,57,2.469,7.185,0.800800395,57,2.5,6.865,0.797644214,56,2.516,6.535,0.79448902,56,2.538 +8.245,0.803859857,33,3.094,7.885,0.800703676,33,3.143,7.585,0.797548483,33,3.167,7.335,0.794491981,33,3.212 +8.645,0.804748088,28,3.262,8.285,0.801592894,27,3.311,7.985,0.798436714,27,3.354,7.735,0.795380212,27,3.4 +8.645,0.805437947,22,3.837,8.285,0.802282754,22,3.933,7.985,0.79912756,21,4.005,7.735,0.796070072,21,4.084 +8.315,0.807014064,14,4.294,8.135,0.803957562,12,4.763,7.865,0.800802369,11,4.982,7.535,0.797745867,11,5.076 +7.985,0.808491488,42,4.74,7.985,0.805534666,35,5.593,7.735,0.802478164,33,5.958,7.535,0.799322971,33,6.067 +7.805,0.809476437,15,5.136,7.985,0.806618307,17,6.068,7.735,0.803561806,16,6.491,7.535,0.800406612,16,6.652 +7.725,0.813419196,13,5.384,8.085,0.810660745,17,6.325,7.855,0.807604244,17,6.797,7.635,0.804547742,17,7.028 +7.465,0.812827042,15,5.117,7.885,0.810068591,25,6.087,7.665,0.80701209,27,6.6,7.435,0.803856896,27,6.87 +7.105,0.812037503,29,5.245,7.285,0.809179373,43,6.008,7.035,0.80602418,46,6.383,6.835,0.802868986,46,6.602 +6.565,0.810359734,32,4.026,6.685,0.807501604,52,4.704,6.485,0.804445102,55,5.13,6.335,0.801289909,55,5.432 +6.105,0.811245004,358,2.638,6.285,0.808386874,42,3.123,6.115,0.805330373,49,3.64,5.935,0.802273871,49,4.114 +5.585,0.810355786,300,1.805,5.885,0.807597335,2,1.225,5.785,0.804540834,31,1.529,5.735,0.801584012,31,2.062 +5.545,0.809863311,302,1.2,5.785,0.807005181,27,0.791,5.705,0.804047372,61,1.351,5.635,0.800991858,61,2.052 +5.545,0.810059709,276,1.448,5.785,0.807301258,244,0.494,5.785,0.804343449,156,0.76,5.835,0.801386627,156,1.586 +5.745,0.810651863,287,1.577,5.985,0.80779472,289,0.553,6.085,0.80493659,124,0.523,6.235,0.80207846,124,1.576 +4.895,0.810353812,314,2.34,5.135,0.807495682,6,1.947,5.285,0.804637552,24,2.012,5.435,0.801779423,24,2.647 +4.045,0.810054774,4,3.114,4.285,0.807196644,33,3.33,4.485,0.804339502,40,3.492,4.735,0.801580064,40,3.717 +3.085,0.808671108,26,2.955,3.385,0.805912657,44,3.301,3.705,0.80315322,47,3.463,4.035,0.800394769,47,3.648 +2.405,0.80807698,81,4.105,2.885,0.805417222,77,4.635,3.235,0.802658771,76,4.883,3.535,0.799900321,76,5.155 +2.285,0.804430299,136,2.717,2.885,0.80177054,101,3.745,3.285,0.799110782,97,4.341,3.735,0.796451024,97,4.629 +3.945,0.803055514,98,1.824,3.585,0.799900321,99,2.056,3.955,0.79714187,97,2.94,4.335,0.794481125,97,4.094 +6.005,0.801486306,90,1.904,5.585,0.798330126,93,1.996,5.385,0.795273624,94,2.16,5.235,0.792217123,94,2.429 +8.065,0.800309894,100,1.805,7.585,0.7971547,103,1.858,7.285,0.79399852,105,1.924,7.035,0.790942018,105,2.002 +10.545,0.801107328,81,0.873,10.185,0.797952134,87,0.85,9.865,0.794894646,91,0.819,9.535,0.791739452,91,0.803 +11.785,0.800717493,31,0.823,11.485,0.7975623,30,0.751,11.185,0.794504811,29,0.671,10.935,0.79144831,29,0.565 +11.845,0.800126326,48,2.439,11.485,0.797068838,48,2.411,11.215,0.794012337,49,2.338,10.935,0.790955835,49,2.221 +11.145,0.802686405,70,4.274,10.785,0.799531211,72,4.447,10.535,0.79647471,73,4.488,10.335,0.793418209,73,4.481 +10.885,0.803277572,75,4.65,10.735,0.800319763,82,5.257,10.665,0.797361954,85,5.445,10.535,0.794502837,85,5.363 +10.625,0.803966445,87,5.017,10.685,0.801108315,92,6.068,10.785,0.798249198,95,6.392,10.835,0.795489761,95,6.235 +10.765,0.804361214,115,4.204,10.885,0.801503084,116,4.931,11.035,0.798743647,119,5.199,11.235,0.795984209,119,5.115 +11.185,0.808995806,183,5.434,12.085,0.806633111,181,5.9,12.205,0.803872687,182,6.215,12.335,0.801014557,182,6.543 +10.885,0.806333087,210,8.428,13.585,0.805158648,216,9.161,13.605,0.802300518,217,9.115,13.635,0.799442388,217,9.001 +9.805,0.807413768,210,9.777,13.585,0.806933136,212,10.683,13.685,0.804075006,212,10.299,13.835,0.801315569,212,9.874 +9.425,0.807411794,207,10.055,12.485,0.806535406,201,11.483,12.665,0.803775968,200,11.581,12.835,0.800917839,200,11.321 +8.885,0.805931409,199,11.463,11.585,0.804757957,194,13.588,11.855,0.80199852,194,13.978,12.135,0.799338761,194,13.66 +7.865,0.804646435,198,10.709,10.385,0.803374291,197,13.796,10.885,0.800813225,198,14.886,11.435,0.798252159,198,14.681 +7.265,0.804743153,198,10.828,9.785,0.803470022,195,14.29,10.365,0.800909943,196,15.665,10.935,0.798348877,196,15.613 +7.065,0.804150999,199,11.046,9.585,0.802878855,197,14.487,10.165,0.800416482,198,15.754,10.735,0.797855416,198,15.574 +6.845,0.804643474,200,10.808,9.485,0.803469035,202,14.043,10.065,0.800908956,204,15.172,10.635,0.798446583,204,14.929 +6.475,0.803951641,203,9.886,8.935,0.80267851,205,12.61,9.435,0.800117444,207,13.574,9.935,0.797556378,207,13.442 +6.105,0.803359487,204,8.964,8.385,0.801888971,209,11.177,8.815,0.799228226,213,11.976,9.235,0.79666716,213,11.955 +6.065,0.801683691,198,10.362,8.585,0.80041056,204,12.244,8.935,0.79765211,207,12.607,9.335,0.794991364,207,12.362 +6.245,0.804542808,201,9.995,7.985,0.802675549,203,13.173,8.415,0.800114483,204,14.403,8.835,0.797454725,204,14.275 +7.545,0.799716753,198,9.023,7.485,0.796759931,199,10.535,7.985,0.794198865,201,12.104,8.535,0.791637799,201,13.621 +10.385,0.800317789,203,7.07,10.085,0.797261288,204,7.57,9.835,0.794204787,204,8.109,9.535,0.791148285,204,8.724 +12.445,0.79756526,213,7.397,12.085,0.79440908,213,7.669,11.785,0.791451271,213,7.902,11.535,0.788394769,213,8.159 +13.745,0.797470516,215,7.724,13.385,0.794414014,215,7.906,13.085,0.791356526,215,8.03,12.835,0.788398717,215,8.188 +14.485,0.797078707,227,7.913,14.185,0.794022206,227,8.094,13.865,0.790965704,227,8.217,13.535,0.788006908,227,8.377 +14.845,0.796193437,232,7.536,14.485,0.793135949,232,7.698,14.185,0.790079447,233,7.823,13.935,0.787120651,233,7.96 +14.685,0.798952874,233,7.496,14.385,0.795895386,233,7.679,14.085,0.792838885,233,7.793,13.835,0.789881076,233,7.931 +14.185,0.798261041,236,7.526,13.885,0.795302245,236,7.985,13.585,0.792245744,237,8.277,13.335,0.789189243,237,8.525 +12.815,0.799636812,220,7.1,12.785,0.796777696,222,7.965,12.565,0.793721194,222,8.434,12.335,0.790763385,222,8.724 +11.445,0.800912904,206,6.673,11.685,0.798252159,212,7.945,11.535,0.795196644,214,8.602,11.335,0.792336541,214,8.922 +10.065,0.801598816,201,8.438,10.785,0.799136442,207,10.218,10.705,0.79617962,209,10.91,10.635,0.793320503,209,10.974 +8.925,0.803861831,211,8.121,9.885,0.801597829,216,10.475,9.935,0.798739699,218,11.591,10.035,0.795881569,218,11.777 +7.985,0.801788305,209,8.567,9.185,0.799623982,217,11.019,9.335,0.796864545,218,12.144,9.535,0.794105107,218,12.332 +7.225,0.804841846,218,7.734,8.785,0.802974587,221,10.06,8.985,0.800116457,221,11.128,9.235,0.797357019,221,11.38 +6.645,0.802966691,218,7.714,8.385,0.801198125,224,9.714,8.635,0.798439674,225,10.595,8.935,0.795680237,225,10.905 +6.225,0.803359487,212,6.792,8.085,0.801591907,224,8.084,8.355,0.79883247,226,8.701,8.635,0.796171725,226,9.071 +5.685,0.804442142,218,5.682,7.785,0.802871947,233,6.552,8.065,0.800113496,237,6.955,8.335,0.797452751,237,7.336 +5.265,0.802764372,204,4.036,7.485,0.801293856,238,4.052,7.685,0.798534419,246,4.173,7.935,0.795774981,246,4.441 +5.225,0.803257834,208,3.252,7.385,0.801786331,249,2.53,7.485,0.798928201,262,2.446,7.535,0.796070072,262,2.577 +5.405,0.804145078,210,2.846,7.385,0.80247619,244,1.67,7.415,0.799618061,263,1.322,7.435,0.796661239,263,1.318 +5.445,0.802765359,233,4.323,7.035,0.8008981,250,4.032,7.045,0.79803997,256,3.818,7.035,0.795082161,256,3.708 +5.485,0.801484333,274,5.801,6.685,0.79932001,295,6.394,6.685,0.796460893,300,6.313,6.735,0.793504071,300,6.107 +4.805,0.802959783,281,5.93,5.285,0.800301012,302,7.135,5.415,0.797442882,307,7.438,5.535,0.794583765,307,7.237 +3.065,0.802362694,313,7.05,2.885,0.799207501,315,8.123,3.035,0.796349371,317,9.046,3.235,0.793492228,317,9.765 +2.205,0.800092771,317,6.614,1.785,0.796837898,317,7.095,1.485,0.793682704,317,7.478,1.235,0.79052751,317,7.881 +3.045,0.798419936,315,5.88,2.685,0.79516605,315,6.097,2.365,0.792009869,315,6.274,2.035,0.788854676,315,6.453 +4.445,0.799410807,327,5.612,4.085,0.796254626,327,5.742,3.755,0.793099433,327,5.85,3.435,0.789944239,327,5.978 +5.805,0.797641253,351,6.009,5.385,0.79438638,351,6.127,5.065,0.791329879,351,6.215,4.735,0.788174685,351,6.335 +6.845,0.797545522,11,5.315,6.485,0.794390328,11,5.396,6.165,0.791235134,11,5.465,5.835,0.788178633,11,5.551 +7.445,0.798337034,15,5.126,7.085,0.795180854,15,5.198,6.755,0.79202566,14,5.248,6.435,0.788969159,14,5.323 +7.745,0.799322971,19,4.789,7.385,0.796167777,18,4.862,7.085,0.793111276,18,4.903,6.835,0.790054774,18,4.967 +7.785,0.801196151,20,5.007,7.485,0.798040957,20,5.079,7.185,0.794984456,20,5.13,6.935,0.791829262,20,5.195 +6.885,0.803361461,16,6.296,6.585,0.800206267,16,6.651,6.295,0.797051073,16,6.896,6.035,0.793994572,16,7.118 +5.985,0.805429065,26,7.595,5.685,0.802273871,26,8.232,5.415,0.799117691,26,8.661,5.135,0.796061189,26,9.051 +5.185,0.804637552,30,8.785,4.885,0.801482359,31,9.487,4.585,0.798327165,31,9.963,4.335,0.795171971,31,10.399 +3.885,0.805027387,26,9.053,3.585,0.801871207,27,9.843,3.285,0.798716013,27,10.417,3.035,0.795560819,27,10.954 +3.185,0.807390081,16,7.348,2.885,0.804136195,18,8.074,2.615,0.800981002,19,8.632,2.335,0.797825808,19,9.16 +2.885,0.807783864,20,7.1,2.585,0.804528991,21,7.777,2.315,0.801373797,22,8.346,2.035,0.798218604,22,8.922 +2.885,0.809656057,23,6.257,2.585,0.806402171,26,6.868,2.335,0.803246978,27,7.418,2.035,0.800091784,27,7.98 +2.925,0.808078954,40,5.513,2.685,0.80492376,44,6.255,2.455,0.801768566,45,6.925,2.235,0.798712065,45,7.603 +2.765,0.806698248,36,4.819,2.585,0.803544041,46,5.583,2.435,0.80048754,50,6.235,2.335,0.797431039,50,6.87 +2.585,0.807979275,52,4.522,2.585,0.80492376,65,5.317,2.415,0.801867259,69,5.988,2.235,0.798712065,69,6.612 +2.285,0.807189736,55,4.046,2.285,0.804232914,73,4.773,2.115,0.80107772,77,5.436,1.935,0.798021219,77,6.077 +1.565,0.808074019,23,3.748,1.685,0.805117197,53,4.16,1.565,0.802061683,61,4.617,1.435,0.799005181,61,5.145 +-0.195,0.807180854,331,3.946,-0.165,0.804224032,342,4.645,-0.025,0.801267209,348,4.962,0.135,0.79840908,348,5.224 +-1.955,0.806385394,325,4.145,-2.015,0.803329879,335,5.139,-1.615,0.800571429,345,5.307,-1.165,0.797812978,345,5.294 +-2.275,0.803526277,306,3.827,-1.915,0.800767826,337,4.052,-1.515,0.798009376,354,4.015,-1.165,0.795249938,354,4.164 +-2.355,0.808355292,325,4.413,-2.115,0.80549815,343,5.218,-1.695,0.802739699,354,5.396,-1.265,0.800079941,354,5.423 +-1.855,0.804315815,338,3.52,-2.215,0.801061929,342,3.805,-1.815,0.798303479,350,4.281,-1.465,0.795643721,350,4.887 +0.045,0.80245053,24,2.449,-0.315,0.799196644,26,2.55,-0.585,0.796041451,28,2.654,-0.865,0.79288527,28,2.815 +1.705,0.803245004,10,3.143,1.285,0.799892425,11,3.212,0.955,0.796736245,11,3.265,0.635,0.793482359,11,3.321 +3.545,0.801280039,57,2.915,3.185,0.798124846,57,2.984,2.835,0.79487096,57,3.038,2.535,0.791714779,57,3.123 +5.145,0.801088576,48,3.183,4.785,0.797933383,49,3.232,4.455,0.794777202,49,3.275,4.135,0.791622008,49,3.331 +6.045,0.800894152,25,3.798,5.685,0.797738959,25,3.834,5.385,0.794583765,25,3.877,5.035,0.791527264,25,3.926 +6.585,0.803065384,17,4.383,6.285,0.79991019,17,4.437,5.955,0.796852702,17,4.488,5.635,0.793697508,17,4.55 +6.785,0.80375623,18,4.284,6.485,0.800600049,18,4.368,6.165,0.797444856,18,4.439,5.835,0.794388354,18,4.52 +6.075,0.805132988,345,3.778,5.835,0.802076487,346,4.16,5.575,0.798921293,346,4.488,5.335,0.795766099,346,4.788 +5.365,0.806510733,346,3.262,5.185,0.803454231,345,3.943,4.985,0.80039773,345,4.548,4.835,0.797243523,345,5.056 +5.085,0.807594375,349,4.75,5.085,0.804636566,348,5.445,4.855,0.801482359,348,5.899,4.635,0.798425857,348,6.265 +4.725,0.811141377,346,4.829,4.785,0.808184555,346,5.722,4.585,0.805029361,347,6.106,4.435,0.80197286,347,6.225 +3.825,0.809660005,328,6.931,4.185,0.806900567,334,8.103,4.115,0.803845053,337,8.316,4.035,0.800887244,337,8.149 +2.965,0.809262275,313,6.782,3.685,0.806702196,328,8.133,3.785,0.803844066,333,8.336,3.835,0.800887244,333,8.05 +2.605,0.808669134,300,7.129,3.685,0.806406119,321,7.985,3.835,0.803547989,329,7.823,4.035,0.800690846,329,7.356 +2.245,0.81064002,305,7.139,3.385,0.808377005,326,8.054,3.585,0.805518875,333,7.862,3.835,0.802660745,333,7.316 +1.285,0.809058969,319,8.379,2.485,0.806795954,332,10.06,2.865,0.804136195,338,9.983,3.235,0.801377745,338,9.239 +0.325,0.809351098,325,7.258,1.285,0.806989391,338,9.062,1.865,0.804329632,346,9.372,2.435,0.801768566,346,9.17 +-0.035,0.807082161,309,6.118,0.985,0.804819146,335,6.977,1.535,0.802160375,346,7.122,2.035,0.799599309,346,7.167 +-0.495,0.807968418,305,6.376,0.585,0.805705403,330,6.839,1.005,0.802946953,341,6.639,1.435,0.800287195,341,6.444 +-0.495,0.808263509,303,6.029,0.735,0.806100173,323,5.999,1.045,0.803340735,331,5.485,1.335,0.800483592,331,5.066 +-0.495,0.808559585,280,5.672,0.885,0.806494942,313,5.159,1.085,0.803636812,323,4.331,1.335,0.800779669,323,3.678 +-0.175,0.809250432,273,4.958,1.685,0.807581545,304,4.18,1.885,0.804625709,310,2.989,2.035,0.801866272,310,2.052 +0.685,0.808169751,276,2.836,2.485,0.806402171,330,2.293,2.735,0.803544041,344,1.795,3.035,0.800785591,344,1.913 +1.045,0.804622749,260,2.122,2.485,0.802655811,348,1.71,2.885,0.79989736,17,1.559,3.335,0.797237602,17,2.151 +2.905,0.803643721,281,0.595,2.485,0.800388848,279,0.553,2.865,0.797630397,284,0.454,3.235,0.794970639,284,1.348 +6.005,0.802767333,176,0.307,5.585,0.799611152,177,0.366,5.255,0.796455959,177,0.424,4.935,0.793300765,177,0.506 +8.105,0.802774241,133,0.377,7.685,0.799520355,144,0.385,7.365,0.796463854,149,0.434,7.035,0.793307673,149,0.486 +9.745,0.802090303,79,0.645,9.385,0.79893511,85,0.613,9.065,0.795778929,88,0.572,8.735,0.792722428,88,0.535 +10.385,0.800909943,74,1.934,10.085,0.797852455,76,1.927,9.785,0.794697261,77,1.884,9.535,0.79164076,77,1.854 +10.685,0.799826302,78,2.419,10.385,0.7967698,80,2.431,10.085,0.793712312,81,2.407,9.835,0.790655811,81,2.389 +10.685,0.80091093,79,2.806,10.385,0.797853442,82,2.886,10.115,0.794796941,83,2.9,9.835,0.791740439,83,2.895 +10.725,0.802685418,100,2.043,10.635,0.799727609,115,2.204,10.475,0.7967698,120,2.397,10.335,0.793811991,120,2.627 +10.765,0.804558599,121,1.279,10.885,0.801699482,153,1.522,10.835,0.79874266,166,1.894,10.835,0.795883543,166,2.349 +10.125,0.805246484,216,4.294,10.485,0.802585739,223,5.01,10.465,0.799628917,225,5.771,10.435,0.7967698,225,6.533 +8.565,0.805043178,236,7.01,9.585,0.802780163,247,8.42,9.735,0.799922033,250,9.283,9.835,0.797161609,250,9.864 +7.385,0.805827782,240,9.351,8.885,0.803861831,254,11.681,9.235,0.801201086,258,12.706,9.535,0.798541327,258,12.808 +6.705,0.8059245,232,8.934,8.385,0.804057242,248,11.068,8.955,0.801496176,253,12.242,9.535,0.799033802,253,12.699 +5.905,0.804048359,221,9.737,7.885,0.802379472,235,11.74,8.515,0.799917098,241,12.755,9.135,0.797455712,241,13.125 +5.325,0.804440168,221,9.41,7.185,0.802771281,234,11.454,7.835,0.800211202,240,12.538,8.535,0.797748828,240,12.996 +5.025,0.804144091,225,9.003,6.285,0.80207846,235,10.732,7.065,0.799617074,240,11.798,7.835,0.797253393,240,12.51 +4.845,0.804340489,225,7.992,5.985,0.802077473,235,9.527,6.715,0.7996151,240,10.467,7.435,0.797252406,240,11.113 +4.505,0.802466321,235,6.386,5.885,0.800499383,251,7.599,6.435,0.797939304,258,8.503,7.035,0.795378238,258,9.279 +4.325,0.804338515,233,5.374,5.885,0.802471256,269,6.117,6.185,0.799711818,281,7.004,6.535,0.796953368,281,7.931 +4.395,0.802466321,241,5.84,5.535,0.800203306,273,6.473,5.665,0.797345176,280,7.014,5.835,0.794585739,280,7.524 +4.465,0.800494449,278,6.296,5.185,0.798033062,302,6.839,5.135,0.795075253,308,7.014,5.035,0.792118431,308,7.128 +3.765,0.801478411,298,6.564,4.485,0.799016038,322,7.076,4.365,0.795960523,327,7.27,4.235,0.792904022,327,7.395 +2.825,0.800193437,294,6.455,3.785,0.797929435,320,6.937,3.615,0.794774241,326,7.063,3.435,0.791718727,326,7.187 +2.585,0.79831927,292,5.007,2.885,0.795560819,314,6.078,2.785,0.792504318,320,6.363,2.735,0.789547496,320,6.245 +3.545,0.795070318,295,3.629,3.185,0.791816432,296,3.805,2.935,0.788759931,297,3.936,2.735,0.78570343,297,4.035 +4.645,0.794285714,322,3.233,4.285,0.791129534,321,3.291,3.955,0.78797434,321,3.334,3.635,0.784819146,321,3.39 +5.545,0.793697508,343,4.065,5.185,0.790541327,343,4.121,4.865,0.787386134,342,4.163,4.535,0.784329632,342,4.213 +6.105,0.795375278,355,4.075,5.685,0.792219097,355,4.131,5.365,0.789063903,355,4.163,5.035,0.78590871,355,4.213 +6.445,0.795474957,1,4.184,6.085,0.792318776,0,4.23,5.755,0.789163583,0,4.262,5.435,0.786107081,0,4.312 +6.545,0.794291636,12,3.679,6.185,0.791235134,11,3.716,5.885,0.788079941,11,3.749,5.535,0.785022453,11,3.787 +6.445,0.796263509,16,3.748,6.085,0.793107328,16,3.795,5.785,0.789952134,16,3.837,5.535,0.786895633,16,3.886 +6.225,0.797543548,358,4.492,5.985,0.794388354,357,4.872,5.685,0.791331853,357,5.031,5.435,0.788176659,357,5.125 +6.005,0.798824574,357,5.226,5.885,0.795768073,358,5.939,5.585,0.792612879,358,6.235,5.335,0.789556378,358,6.364 +5.545,0.799611152,3,3.966,5.485,0.796555638,3,4.714,5.215,0.793499136,3,5.071,4.935,0.790343943,3,5.214 +5.345,0.803257834,29,3.401,5.285,0.800202319,25,3.963,5.015,0.797145818,25,4.222,4.735,0.793989637,25,4.332 +5.085,0.804242783,25,3.024,5.085,0.801285961,27,3.469,4.785,0.798130767,28,3.699,4.535,0.794974587,28,3.876 +4.745,0.803453245,36,3.391,4.685,0.800495435,40,3.755,4.415,0.797340242,41,3.956,4.135,0.794185048,41,4.154 +4.125,0.803450284,27,3.54,4.185,0.800493462,40,3.894,3.915,0.797338268,42,3.985,3.635,0.794183074,42,4.074 +3.545,0.802758451,58,4.69,3.485,0.799801628,65,5.099,3.215,0.796646435,67,5.179,2.935,0.793491241,67,5.185 +2.885,0.803545028,86,5.473,2.885,0.800588206,88,5.771,2.615,0.797432026,89,5.771,2.335,0.794276832,89,5.769 +2.565,0.803642734,97,5.711,2.685,0.800685912,95,5.979,2.415,0.797530718,96,6.047,2.135,0.794375524,96,6.196 +2.585,0.801572169,118,4.541,2.885,0.798813718,107,4.921,2.705,0.795757217,109,5.189,2.535,0.792700716,109,5.561 +3.005,0.804432272,139,3.421,3.485,0.801772514,111,3.953,3.435,0.798717,112,4.449,3.435,0.795760178,112,4.937 +3.465,0.804631631,193,2.459,3.735,0.801872193,120,2.846,3.765,0.798915371,115,3.285,3.835,0.795958549,115,3.737 +3.925,0.804830002,196,1.497,3.985,0.801971873,165,1.739,4.085,0.799015051,160,2.131,4.235,0.796156921,160,2.538 +4.045,0.803647668,194,3.352,4.285,0.800888231,187,3.864,4.415,0.798030101,187,4.508,4.535,0.795171971,187,5.185 +3.005,0.802066617,197,8.726,5.285,0.800596102,194,10.406,5.435,0.797737972,194,11.315,5.535,0.794978534,194,12.104 +3.665,0.800196398,196,10.917,3.785,0.797338268,196,12.738,4.435,0.794875894,195,14.531,5.035,0.792315815,195,16.228 +6.825,0.798236368,201,10.531,6.585,0.795179867,202,11.641,6.415,0.792123365,202,12.627,6.235,0.789066864,202,13.631 +9.545,0.797456699,206,11.651,9.185,0.794301505,206,12.274,8.885,0.791244017,206,12.735,8.535,0.788187515,206,13.204 +10.745,0.796672095,211,11.532,10.385,0.793615593,211,12.096,10.065,0.790558105,211,12.538,9.735,0.787501604,211,12.986 +11.385,0.796280286,209,11.244,11.085,0.793223785,210,11.691,10.755,0.790166297,210,12.015,10.435,0.787109795,210,12.382 +11.685,0.795689119,211,10.967,11.385,0.792632618,211,11.414,11.065,0.789576116,212,11.769,10.735,0.786518628,212,12.134 +11.445,0.794801875,214,11.354,11.085,0.791744387,215,11.799,10.785,0.788687886,215,12.124,10.535,0.785631384,215,12.461 +10.585,0.797065877,211,10.957,10.285,0.794009376,211,11.75,10.015,0.790952874,211,12.292,9.735,0.787895386,211,12.778 +9.365,0.797850481,200,9.856,9.185,0.794892672,201,10.9,8.995,0.791836171,201,11.769,8.835,0.788878362,201,12.6 +8.145,0.798635085,193,8.766,8.085,0.795775968,194,10.06,7.985,0.792818159,195,11.246,7.835,0.78986035,195,12.411 +6.965,0.800306933,197,10.392,7.085,0.797546509,197,12.185,7.335,0.794788058,197,13.959,7.535,0.792028621,197,15.703 +6.145,0.800007895,193,11.482,6.385,0.797248458,193,13.519,6.865,0.7945887,193,15.616,7.335,0.792027634,193,17.606 +5.545,0.798822601,193,12.097,5.785,0.79606415,193,14.191,6.365,0.793601776,193,16.376,6.935,0.791040711,193,18.488 +5.205,0.799315075,196,12.444,5.385,0.796555638,197,14.458,5.985,0.793994572,197,16.494,6.535,0.791532198,197,18.538 +5.065,0.797342216,195,12.077,5.185,0.794484086,196,13.974,5.665,0.791824328,196,15.853,6.135,0.789263262,196,17.784 +5.065,0.799412781,195,11.344,5.185,0.796554651,196,13.094,5.455,0.793795213,196,14.807,5.735,0.791134468,196,16.575 +5.065,0.799610165,198,11.363,5.185,0.796752036,199,13.064,5.335,0.793893906,199,14.718,5.535,0.791035776,199,16.426 +4.725,0.79881964,198,11.443,4.785,0.795862818,198,13.134,4.935,0.79310338,199,14.748,5.035,0.79024525,199,16.436 +4.185,0.798325191,195,11.542,4.185,0.795467061,196,13.183,4.315,0.792608932,197,14.758,4.435,0.789750802,197,16.396 +3.585,0.798717,197,11.72,3.585,0.795760178,198,13.351,3.685,0.792803356,198,14.925,3.835,0.789945226,198,16.555 +2.985,0.797631384,193,10.064,2.985,0.794673575,194,11.631,3.165,0.791815445,194,13.179,3.335,0.789056995,194,14.8 +2.385,0.796544782,196,8.418,2.385,0.793586973,196,9.912,2.635,0.790828522,197,11.443,2.935,0.788069085,197,13.046 +2.025,0.798218604,196,9.321,2.085,0.795261781,197,10.871,2.315,0.792404639,197,12.469,2.535,0.789645201,197,14.156 +2.805,0.798812731,196,11.393,2.685,0.795855909,197,12.817,2.615,0.792799408,197,14.146,2.535,0.789842586,197,15.534 +4.685,0.796453985,200,13.466,4.385,0.793397483,201,14.744,4.135,0.79024229,201,15.863,3.935,0.787185788,201,16.991 +6.645,0.793897853,206,15.627,6.285,0.790841352,206,16.454,5.985,0.787686158,206,17.086,5.735,0.784629657,206,17.715 +8.345,0.794988404,211,15.687,7.985,0.791930915,211,16.326,7.685,0.788775722,211,16.79,7.435,0.78571922,211,17.269 +9.745,0.792232914,212,15.984,9.385,0.78907772,212,16.592,9.065,0.786020232,212,17.037,8.735,0.782963731,212,17.497 +10.445,0.792925734,214,15.835,10.085,0.789769553,214,16.424,9.785,0.786713052,214,16.829,9.535,0.783755243,214,17.259 +10.645,0.792827042,218,14.467,10.285,0.78977054,218,15.011,9.985,0.786714039,218,15.399,9.735,0.783657538,218,15.792 +10.345,0.79420676,216,13.119,9.985,0.79105058,217,13.647,9.685,0.787994078,217,14.008,9.435,0.784937577,217,14.374 +9.485,0.795189736,213,11.552,9.185,0.792132248,213,12.323,8.885,0.789075746,214,12.864,8.535,0.786019245,214,13.383 +7.445,0.797647175,204,10.461,7.235,0.794589687,204,11.444,6.995,0.791533185,204,12.252,6.735,0.788476684,204,13.026 +5.405,0.800004935,212,9.37,5.285,0.797048113,211,10.564,5.115,0.793991611,211,11.631,4.935,0.79093511,211,12.679 +4.545,0.796157908,206,8.914,4.485,0.793201086,206,10.238,4.415,0.790243277,207,11.483,4.335,0.787285468,207,12.748 +3.885,0.801084629,205,8.518,3.885,0.79812682,206,9.872,3.915,0.795169998,206,11.206,3.935,0.792311868,206,12.58 +3.385,0.80157513,204,9.37,3.385,0.798618307,206,10.811,3.435,0.795760178,206,12.183,3.535,0.792803356,206,13.611 +3.085,0.796152973,207,9.608,3.085,0.793196151,208,11.019,3.105,0.790238342,209,12.351,3.135,0.787380212,209,13.69 +2.845,0.799010116,209,10.055,2.785,0.796053294,210,11.463,2.735,0.793095485,211,12.735,2.735,0.79003997,211,14.007 +2.445,0.799206514,213,9.589,2.385,0.796248705,213,10.9,2.265,0.79319319,213,12.065,2.135,0.790136689,213,13.214 +2.005,0.797824821,221,7.705,1.885,0.79476832,221,8.785,1.705,0.791711818,221,9.717,1.535,0.788656304,221,10.647 +1.505,0.799202566,222,6.534,1.385,0.796147052,223,7.461,1.185,0.792991858,224,8.247,1.035,0.789935357,224,9.021 +1.205,0.79851172,213,5.464,1.085,0.795455218,217,6.236,0.855,0.792301012,218,6.896,0.635,0.789145818,218,7.514 +1.065,0.799792746,210,4.204,0.885,0.796736245,216,4.813,0.635,0.793581051,217,5.317,0.435,0.790425857,217,5.829 +2.115,0.799993092,196,4.512,1.935,0.796838885,198,5.188,1.695,0.793782383,199,5.751,1.435,0.79062719,199,6.295 +3.165,0.800095732,192,4.819,2.985,0.79703923,196,5.574,2.765,0.793885023,198,6.185,2.535,0.790827535,198,6.761 +2.505,0.800093758,194,5.741,2.385,0.797037256,195,6.789,2.205,0.793980755,196,7.645,2.035,0.790826548,196,8.416 +2.385,0.798811744,184,6.366,2.085,0.795656551,186,7.155,1.915,0.792600049,187,7.961,1.735,0.789543548,187,8.833 +3.685,0.798028127,197,6.406,3.385,0.794871947,198,6.69,3.115,0.791716753,198,7.014,2.835,0.788660252,198,7.405 +5.485,0.797344189,208,6.277,5.185,0.794188996,209,6.483,4.885,0.791132494,209,6.678,4.535,0.787976314,209,6.89 +7.345,0.797942265,224,9.985,6.985,0.794786084,224,10.278,6.685,0.791630891,224,10.486,6.435,0.788574389,224,10.726 +8.145,0.79656452,229,11.889,7.785,0.793409326,229,12.274,7.485,0.790352825,229,12.548,7.235,0.787296324,229,12.848 +8.285,0.797452751,227,11.8,7.985,0.79429657,227,12.205,7.665,0.791240069,227,12.489,7.335,0.788084875,227,12.788 +7.645,0.794887737,226,11.849,7.285,0.791731557,226,12.284,6.985,0.788675056,226,12.588,6.735,0.785618554,226,12.897 +6.685,0.795474957,221,11.066,6.385,0.792319763,221,11.463,6.065,0.789263262,221,11.749,5.735,0.786108068,221,12.035 +5.885,0.796162842,215,10.441,5.585,0.793106341,215,10.88,5.255,0.78995016,215,11.216,4.935,0.786794967,215,11.549 +5.445,0.796850728,201,9.073,5.235,0.793794226,201,9.843,4.985,0.790737725,201,10.506,4.735,0.787582531,201,11.172 +5.005,0.7975396,201,7.705,4.885,0.794483099,201,8.805,4.705,0.791427585,201,9.796,4.535,0.788371083,201,10.786 +4.205,0.798423884,222,7.824,4.085,0.795466075,221,8.993,3.985,0.79241056,221,10.092,3.835,0.789452751,221,11.212 +3.445,0.801280039,227,7.367,3.385,0.798223538,229,8.578,3.335,0.795266716,229,9.727,3.335,0.792309894,229,10.905 +2.745,0.798911424,235,7.387,2.685,0.795855909,237,8.588,2.635,0.7928981,238,9.697,2.535,0.789941278,238,10.805 +2.345,0.797628423,246,6.396,2.285,0.794572909,250,7.54,2.185,0.7916151,251,8.563,2.035,0.788559585,251,9.546 +2.025,0.800091784,242,5.384,2.085,0.797134962,250,6.374,2.005,0.79407846,252,7.231,1.935,0.791121638,252,8.04 +1.805,0.799598322,245,4.769,1.985,0.796740192,257,5.623,1.915,0.793684678,261,6.304,1.835,0.790726869,261,6.949 +1.765,0.797725142,247,4.323,1.885,0.794867012,262,5.07,1.785,0.791811498,267,5.662,1.735,0.788853689,267,6.216 +1.725,0.79979472,240,3.064,1.785,0.796837898,262,3.568,1.635,0.793781396,268,3.995,1.535,0.790725882,268,4.421 +1.645,0.798217617,243,2.568,1.585,0.795259808,270,2.876,1.385,0.792105601,277,3.167,1.235,0.789049099,277,3.46 +1.305,0.799596348,290,2.885,1.185,0.796539847,308,3.38,0.935,0.793384653,311,3.699,0.735,0.790230447,311,3.945 +0.635,0.79969208,287,3.153,0.485,0.796636566,304,3.647,0.245,0.793481372,308,3.936,0.035,0.790326178,308,4.134 +-0.035,0.799788798,310,3.431,-0.215,0.796732297,320,3.923,-0.445,0.793577103,323,4.183,-0.665,0.79042191,323,4.322 +-0.875,0.799883543,342,3.659,-1.115,0.796729336,344,3.864,-1.335,0.793574143,345,3.956,-1.565,0.790418949,345,4.045 +-1.615,0.800965211,344,2.41,-1.915,0.797711325,347,2.777,-2.065,0.794557118,349,2.959,-2.165,0.791500617,349,3.043 +-0.795,0.797222798,7,1.021,-1.215,0.79387022,8,1.008,-1.545,0.790715026,9,0.986,-1.865,0.78746114,9,0.971 +0.905,0.797327412,112,0.407,0.485,0.794073526,121,0.415,0.165,0.790918332,124,0.434,-0.165,0.787664446,124,0.446 +2.405,0.797135949,299,0.714,1.985,0.793882063,294,0.721,1.665,0.790725882,291,0.74,1.335,0.787471996,291,0.753 +3.545,0.796746114,317,0.992,3.185,0.793589933,312,0.978,2.865,0.79043474,310,0.977,2.535,0.787279546,310,0.971 +4.545,0.797636319,352,0.734,4.185,0.794481125,347,0.702,3.865,0.791325931,345,0.651,3.535,0.788169751,345,0.615 +4.785,0.797243523,25,2.112,4.485,0.794087343,25,2.095,4.165,0.790932149,25,2.042,3.835,0.787776955,25,2.002 +5.085,0.796652356,69,1.914,4.785,0.793595855,71,1.907,4.485,0.790440661,72,1.884,4.235,0.787285468,72,1.874 +5.685,0.797738959,123,1.834,5.385,0.794682457,125,1.888,5.085,0.791527264,127,1.934,4.835,0.788470762,127,1.983 +5.495,0.79951246,175,4.611,5.285,0.796455959,180,5.129,5.005,0.793300765,182,5.524,4.735,0.790244264,182,5.888 +5.305,0.801187269,205,7.387,5.185,0.798230447,207,8.36,4.935,0.795075253,208,9.115,4.735,0.792018752,208,9.794 +3.645,0.804040464,223,7.764,3.585,0.800984949,224,9.111,3.435,0.797928448,225,10.309,3.335,0.794871947,225,11.4 +2.465,0.801572169,232,6.544,2.585,0.798615347,236,8.005,2.585,0.795658525,237,9.263,2.535,0.792700716,237,10.31 +1.525,0.800385887,223,6.247,1.885,0.797725142,232,7.659,1.985,0.794769307,233,8.592,2.035,0.79191019,233,9.259 +0.725,0.80225512,222,5.414,1.385,0.799695041,231,6.997,1.565,0.796836911,232,7.852,1.735,0.793979768,232,8.327 +-0.175,0.800083888,218,5.84,0.785,0.797819887,228,7.244,1.015,0.794962744,229,7.981,1.235,0.792203306,229,8.476 +-0.915,0.801658031,213,5.236,0.285,0.799493708,226,6.335,0.535,0.796636566,228,6.984,0.835,0.793878115,228,7.494 +-1.515,0.800374044,206,6.207,-0.015,0.79840908,219,6.73,0.215,0.79555095,223,6.955,0.435,0.792791512,223,7.276 +-1.915,0.800471749,199,7.486,0.185,0.798902541,207,8.034,0.315,0.796044412,211,7.941,0.435,0.793186282,211,7.98 +-2.155,0.800075993,201,8.528,0.185,0.798705157,205,9.438,0.285,0.795748335,209,9.342,0.335,0.792890205,209,9.219 +-2.415,0.799878608,198,9.251,-0.015,0.798506785,201,10.554,0.115,0.79555095,205,10.516,0.235,0.79269282,205,10.29 +-2.375,0.798892672,206,8.676,-0.515,0.797223785,206,10.376,-0.315,0.794366642,208,10.684,-0.065,0.791508512,208,10.627 +-2.335,0.798005428,205,8.101,-1.015,0.795940785,208,10.208,-0.735,0.793083642,211,10.841,-0.465,0.790324204,211,10.964 +-2.515,0.799976314,199,8.052,-1.015,0.798010363,204,9.902,-0.885,0.79515322,207,10.289,-0.765,0.792196398,207,10.211 +-2.455,0.79810412,201,7.992,-1.315,0.795841105,202,10.544,-1.195,0.792982976,204,11.206,-1.065,0.790026153,204,11.113 +-1.335,0.797023439,216,6.574,-1.515,0.793967925,216,7.501,-1.415,0.791011103,217,8.612,-1.265,0.788152973,217,9.655 +0.745,0.796144091,238,5.523,0.385,0.792890205,239,5.751,0.085,0.789735011,239,5.958,-0.165,0.786579817,239,6.186 +2.185,0.795952628,261,4.571,1.885,0.792797434,261,4.664,1.565,0.789641253,261,4.745,1.235,0.78648606,261,4.848 +3.345,0.795168024,276,4.026,2.985,0.791914138,276,4.101,2.685,0.788857636,275,4.153,2.435,0.785702443,275,4.223 +4.245,0.794185048,301,4.244,3.885,0.791029854,300,4.299,3.585,0.787874661,299,4.331,3.335,0.784719467,299,4.382 +4.585,0.794975574,327,4.343,4.285,0.79182038,326,4.378,3.955,0.788664199,326,4.39,3.635,0.785509006,326,4.411 +4.345,0.795269677,340,5.087,3.985,0.792114483,340,5.129,3.685,0.788959289,339,5.149,3.435,0.785804096,339,5.185 +3.545,0.795464101,350,5.285,3.185,0.792308907,349,5.386,2.885,0.789153713,349,5.426,2.535,0.786096225,349,5.472 +2.185,0.798120898,350,5.989,1.885,0.794867012,350,6.364,1.585,0.791711818,350,6.639,1.335,0.788556625,350,6.919 +0.825,0.800679003,346,6.703,0.585,0.79752381,346,7.352,0.285,0.794269924,346,7.852,0.035,0.79111473,346,8.357 +-0.035,0.800971132,320,5.325,-0.215,0.797816926,325,6.157,-0.415,0.794661732,326,6.925,-0.565,0.791506538,326,7.712 +-0.355,0.804321737,307,4.591,-0.415,0.801266223,317,5.317,-0.565,0.798111029,321,5.978,-0.665,0.795054528,321,6.642 +-0.715,0.805207007,326,5.692,-0.715,0.802250185,335,6.493,-0.915,0.799094991,338,6.994,-1.065,0.795940785,338,7.425 +-1.615,0.804020725,352,6.019,-1.615,0.800965211,359,6.927,-1.835,0.797811004,2,7.487,-2.065,0.794557118,2,7.931 +-2.275,0.805497163,358,5.404,-2.215,0.802441648,9,6.167,-2.465,0.799286454,12,6.609,-2.665,0.796033555,12,6.979 +-2.895,0.803720701,14,6.197,-2.715,0.800763879,23,7.125,-2.985,0.797608685,25,7.478,-3.265,0.794355786,25,7.693 +-3.755,0.804111522,29,6.604,-3.515,0.801254379,37,7.748,-3.765,0.798000493,39,8.158,-3.965,0.7948453,39,8.347 +-4.695,0.804502344,41,6.782,-4.515,0.801644214,45,8.212,-4.735,0.798391315,47,8.859,-4.965,0.795137429,47,9.219 +-5.195,0.801543548,48,6.267,-5.015,0.798587713,51,7.52,-5.235,0.795432519,53,8.099,-5.465,0.792178633,53,8.446 +-5.655,0.803513447,55,6.386,-5.415,0.800655317,58,7.688,-5.665,0.797402418,59,8.148,-5.965,0.794148532,59,8.347 +-5.895,0.804004935,60,5.84,-5.565,0.801147792,64,6.987,-5.805,0.797893906,65,7.349,-6.065,0.794641007,65,7.524 +-6.135,0.804398717,50,5.305,-5.715,0.801640266,61,6.285,-5.945,0.79838638,63,6.55,-6.165,0.795231187,63,6.691 +-6.175,0.802820627,63,4.135,-5.815,0.799964471,75,5.05,-6.045,0.796710585,78,5.288,-6.265,0.793555391,78,5.432 +-6.275,0.802426844,67,4.105,-5.915,0.799668394,81,4.961,-6.085,0.7965132,84,5.199,-6.265,0.793260301,84,5.373 +-6.175,0.801342216,130,2.707,-5.815,0.798485073,107,4.101,-5.915,0.795330866,107,4.636,-5.965,0.792275352,107,4.947 +-4.655,0.79819393,95,2.459,-5.015,0.794841352,97,2.619,-5.165,0.791785838,98,2.92,-5.265,0.788630644,98,3.39 +-2.655,0.797807057,113,2.181,-3.015,0.79455317,114,2.273,-3.335,0.791299284,115,2.368,-3.665,0.788045398,115,2.478 +-0.255,0.79643622,112,1.943,-0.615,0.793182334,115,1.986,-0.945,0.789928448,117,2.022,-1.265,0.786773254,117,2.082 +1.845,0.794177153,133,1.745,1.485,0.791020972,137,1.799,1.165,0.787865778,138,1.855,0.835,0.784710585,138,1.933 +4.085,0.795269677,139,1.001,3.785,0.792113496,146,1.048,3.485,0.788958302,149,1.105,3.235,0.785901801,149,1.18 +5.185,0.794977547,120,0.922,4.885,0.791921046,129,0.949,4.615,0.788765852,134,0.986,4.335,0.785708364,134,1.051 +5.985,0.796261535,146,1.636,5.685,0.793106341,163,1.828,5.455,0.79004984,169,2.101,5.235,0.786993338,169,2.419 +5.585,0.798231434,189,4.888,5.435,0.795273624,198,5.613,5.245,0.792217123,200,6.284,5.035,0.789160622,200,6.939 +5.185,0.800300025,221,8.131,5.185,0.797343203,222,9.398,5.035,0.794286701,222,10.457,4.835,0.791328892,222,11.46 +2.785,0.795954602,215,10.154,2.785,0.792997779,216,11.73,2.835,0.790138663,216,13.189,2.935,0.787181841,216,14.622 +1.225,0.8003849,212,10.887,1.285,0.797428078,211,12.689,1.615,0.794668641,211,14.501,1.935,0.79191019,211,16.277 +0.565,0.797622502,208,11.264,0.685,0.794764372,206,13.143,1.135,0.792104614,206,15.044,1.535,0.789444856,206,16.961 +-0.035,0.797028374,208,12.097,0.085,0.794071552,206,14.053,0.685,0.791610165,205,16.03,1.335,0.789049099,205,17.973 +-0.435,0.798801875,214,10.342,-0.315,0.795845053,213,12.145,0.165,0.793185295,212,13.919,0.635,0.790624229,212,15.693 +-1.055,0.797221811,210,9.281,-0.815,0.79446336,209,11.187,-0.365,0.791803602,208,12.913,0.035,0.789142857,208,14.483 +-1.715,0.796529978,216,8.815,-1.415,0.793671848,212,10.683,-0.915,0.791111769,211,12.44,-0.465,0.788452011,211,13.888 +-2.435,0.798695287,220,8.587,-2.015,0.796035529,217,10.317,-1.485,0.793376758,219,11.473,-0.965,0.790717,219,12.243 +-2.575,0.797906736,222,8.25,-1.315,0.795841105,226,10.189,-1.115,0.792982976,230,10.565,-0.965,0.790125833,230,10.478 +-2.895,0.798890698,241,7.11,-1.515,0.796826055,258,8.469,-1.515,0.79377054,265,8.977,-1.465,0.790813718,265,9.219 +-3.635,0.797508019,295,7.159,-2.915,0.79494794,303,8.528,-2.955,0.791892425,307,9.342,-2.965,0.788836911,307,9.933 +-4.375,0.796026647,323,7.209,-4.315,0.793069825,327,8.598,-4.385,0.79001431,329,9.707,-4.465,0.786958796,329,10.647 +-5.175,0.796812238,308,5.949,-5.115,0.793855416,317,7.036,-5.195,0.790701209,320,7.862,-5.265,0.787645695,320,8.555 +-5.595,0.796712559,306,5.364,-5.715,0.793656057,313,6.463,-5.715,0.790600543,317,7.261,-5.665,0.787545028,317,7.881 +-5.155,0.796319763,333,5.255,-5.515,0.793065877,334,5.633,-5.735,0.789811991,334,6.027,-5.965,0.786656797,334,6.503 +-4.295,0.794745621,353,4.819,-4.715,0.791393042,353,4.971,-5.015,0.788139156,353,5.11,-5.365,0.78488527,353,5.274 +-3.355,0.794650876,16,4.085,-3.715,0.79139699,16,4.17,-4.045,0.788143104,16,4.232,-4.365,0.784889218,16,4.312 +-2.455,0.793865285,16,3.034,-2.815,0.790611399,16,3.073,-3.135,0.787357513,16,3.098,-3.465,0.784202319,16,3.152 +-1.295,0.79603849,357,2.836,-1.715,0.792783617,357,2.856,-2.045,0.789529731,357,2.881,-2.365,0.786374537,357,2.924 +-0.655,0.793674809,6,3.411,-1.015,0.790519615,6,3.449,-1.335,0.787265729,6,3.482,-1.665,0.784110535,6,3.529 +-0.155,0.794761411,19,2.677,-0.515,0.791507525,18,2.718,-0.835,0.788351345,18,2.723,-1.165,0.785097459,18,2.756 +0.045,0.798211695,18,2.489,-0.315,0.794957809,18,2.51,-0.615,0.791703923,18,2.535,-0.965,0.788548729,18,2.558 +0.365,0.798902541,43,1.854,0.035,0.795648655,41,1.868,-0.265,0.792493462,41,1.874,-0.565,0.789338268,41,1.893 +0.685,0.799594375,83,1.22,0.385,0.796439181,82,1.225,0.085,0.793283,81,1.223,-0.165,0.790030101,81,1.219 +0.585,0.802944979,155,0.734,0.285,0.799789785,159,0.741,0.015,0.796634592,160,0.74,-0.265,0.793380706,160,0.743 +0.485,0.803437454,196,2.499,0.185,0.80028226,197,2.52,0.035,0.797127066,197,2.516,-0.165,0.794071552,197,2.498 +0.505,0.805310634,205,2.112,0.385,0.80215544,208,2.056,0.435,0.799198618,209,1.914,0.535,0.796241796,209,1.794 +0.685,0.805212929,216,2.459,0.985,0.802453491,225,2.135,1.135,0.799595361,230,1.953,1.335,0.796639526,230,1.923 +1.045,0.807283494,221,1.854,1.585,0.804624722,248,1.433,1.685,0.801766593,261,1.421,1.835,0.798809771,261,1.665 +1.245,0.806693314,255,2.102,1.785,0.804033555,303,2.006,1.785,0.800978041,310,2.279,1.835,0.798021219,310,2.627 +1.325,0.806594621,267,1.646,1.685,0.803836171,331,1.502,1.635,0.800780656,338,1.716,1.535,0.797724155,338,1.973 +0.985,0.806987417,305,0.883,0.985,0.803931902,39,1.255,0.935,0.800876388,45,1.519,0.935,0.797919566,45,1.546 +0.445,0.805014557,311,1.21,0.385,0.801959043,22,0.899,0.385,0.799001234,45,1.046,0.435,0.795945719,45,1.17 +0.045,0.806589687,305,1.834,-0.015,0.803534172,318,0.949,0.135,0.800676042,340,0.562,0.335,0.797720207,340,0.634 +0.155,0.804816186,291,2.132,0.335,0.801958056,287,1.186,0.455,0.799002221,288,0.878,0.535,0.796144091,288,1.021 +0.265,0.803042684,300,2.419,0.685,0.800382926,315,1.423,0.785,0.797426104,330,1.184,0.835,0.794567974,330,1.418 +0.305,0.805309647,281,1.557,0.785,0.802649889,260,0.553,0.865,0.799693067,215,0.247,0.935,0.796736245,215,0.634 +0.625,0.803930915,225,1.547,0.985,0.801172465,151,1.858,1.085,0.798314335,139,2.131,1.235,0.795357513,139,2.25 +0.665,0.79939699,216,3.074,1.385,0.796836911,173,3.103,1.455,0.793978781,164,3.058,1.535,0.791021959,164,2.875 +1.725,0.798316309,196,2.648,2.085,0.795557858,172,3.113,2.185,0.792699729,165,3.048,2.335,0.789841599,165,2.805 +4.345,0.796945472,176,1.795,3.985,0.793691586,176,1.927,3.815,0.790635085,177,2.072,3.635,0.787677276,177,2.25 +6.945,0.797349124,112,0.873,6.585,0.79419393,121,0.88,6.285,0.791136442,126,0.878,6.035,0.787982235,126,0.902 +7.645,0.79744979,75,2.538,7.285,0.794294597,77,2.53,6.985,0.791139403,78,2.496,6.735,0.788082902,78,2.449 +8.585,0.796566494,99,2.479,8.285,0.793509006,102,2.51,7.955,0.790452504,103,2.525,7.635,0.787297311,103,2.548 +9.745,0.797062916,129,2.211,9.385,0.793907723,132,2.273,9.085,0.790851221,134,2.338,8.835,0.787793733,134,2.409 +10.385,0.799036763,182,3.123,10.085,0.795980262,183,3.281,9.815,0.79292376,184,3.463,9.535,0.789866272,184,3.688 +9.845,0.801105354,202,6.138,9.635,0.798048853,204,6.829,9.395,0.794992351,205,7.428,9.135,0.792033555,205,8.02 +9.305,0.803074266,217,9.142,9.185,0.800117444,218,10.376,8.985,0.797060943,218,11.394,8.835,0.794103133,218,12.352 +6.985,0.799518381,210,10.382,6.985,0.796560572,211,11.987,7.015,0.793702443,212,13.505,7.035,0.790843326,212,14.929 +5.325,0.801384653,206,10.679,5.385,0.798526524,206,12.491,5.705,0.795768073,206,14.412,6.035,0.793107328,206,16.277 +4.245,0.802957809,202,10.57,4.485,0.800199358,202,12.639,4.985,0.7975396,201,14.708,5.535,0.794978534,201,16.565 +3.285,0.798124846,198,11.225,3.585,0.795366395,197,13.44,4.285,0.792904022,197,15.586,5.035,0.790541327,197,17.417 +2.625,0.799798668,200,11.165,2.985,0.79703923,198,13.341,3.785,0.794676536,199,15.557,4.535,0.792215149,199,17.467 +2.125,0.797332346,199,11.026,2.485,0.794573896,198,13.213,3.315,0.792211202,198,15.507,4.135,0.78984752,198,17.517 +1.765,0.798908463,201,10.967,2.185,0.796247718,200,13.203,2.935,0.793786331,201,15.527,3.735,0.791423637,201,17.546 +1.325,0.798414014,196,10.183,1.685,0.795654577,196,12.333,2.415,0.79319319,197,14.363,3.135,0.790731804,197,16.049 +0.685,0.796341475,196,10.689,0.985,0.793582038,197,12.768,1.685,0.791120651,199,14.699,2.435,0.788659265,199,16.337 +0.285,0.798015297,197,10.471,0.585,0.795256847,199,12.412,1.165,0.792695781,200,14.314,1.735,0.790134715,200,16.049 +0.395,0.796241796,199,10.164,0.635,0.793383666,201,12.066,1.195,0.790823587,202,13.939,1.735,0.788262522,202,15.673 +0.505,0.794369603,201,9.866,0.685,0.791610165,202,11.72,1.235,0.788950407,204,13.554,1.835,0.786390328,204,15.296 +0.225,0.79555095,201,11.096,0.585,0.792792499,202,13.331,1.315,0.790331113,203,15.29,2.035,0.787967432,203,16.942 +1.165,0.794766346,196,11.572,1.285,0.791908216,197,13.499,1.785,0.789248458,198,15.547,2.335,0.786687392,198,17.487 +3.025,0.794477177,199,11.453,2.785,0.791321984,199,12.778,2.685,0.788364175,200,13.988,2.535,0.785406366,200,15.246 +5.385,0.79221811,207,12.395,5.085,0.789161609,207,12.966,4.785,0.786006415,207,13.377,4.535,0.782948927,207,13.789 +6.645,0.791828275,212,11.899,6.285,0.788672095,212,12.392,5.985,0.785615593,212,12.785,5.735,0.782559092,212,13.185 +7.445,0.790943992,215,12.96,7.085,0.787787811,215,13.41,6.755,0.78473131,215,13.742,6.435,0.781576116,215,14.106 +7.745,0.790057735,219,13.099,7.385,0.787000247,219,13.549,7.065,0.783845053,219,13.89,6.735,0.780788552,219,14.255 +7.645,0.789959043,216,13.009,7.285,0.786802862,216,13.479,6.955,0.783746361,216,13.83,6.635,0.780689859,216,14.216 +7.185,0.789563286,216,13.525,6.885,0.786505798,216,14.122,6.565,0.783449297,216,14.56,6.235,0.780294103,216,15.018 +6.285,0.790545275,216,14.041,5.985,0.787488774,216,14.833,5.685,0.78433358,216,15.379,5.435,0.781277079,216,15.921 +5.535,0.792514187,212,13.981,5.235,0.789457686,212,15.011,4.945,0.786302492,212,15.774,4.635,0.783245004,212,16.496 +4.785,0.794483099,215,13.922,4.485,0.791426598,215,15.189,4.215,0.788271404,215,16.159,3.935,0.785213916,215,17.08 +3.325,0.792901061,212,12.543,3.085,0.78984456,213,13.796,2.835,0.786788058,213,14.827,2.535,0.783632865,213,15.841 +2.325,0.794179127,213,11.839,2.085,0.791023933,213,13.124,1.915,0.787967432,214,14.235,1.735,0.78491093,214,15.365 +1.665,0.795162102,217,10.471,1.485,0.792105601,218,11.681,1.315,0.789049099,219,12.745,1.135,0.785993585,219,13.839 +1.065,0.793484333,226,9.023,0.885,0.790427831,228,10.169,0.735,0.78737133,228,11.216,0.535,0.784315815,228,12.273 +0.665,0.794961757,230,7.982,0.485,0.791905255,231,9.072,0.315,0.788848754,232,10.062,0.135,0.785792253,232,11.083 +0.365,0.795354552,232,5.087,0.185,0.792199358,233,5.86,0.015,0.789142857,234,6.629,-0.165,0.786087343,234,7.415 +0.125,0.79555095,243,2.985,-0.115,0.792395756,243,3.498,-0.315,0.789339255,243,4.045,-0.465,0.786184061,243,4.62 +-0.135,0.79357809,232,1.101,-0.315,0.790522576,248,1.423,-0.545,0.787367382,250,1.776,-0.765,0.784310881,250,2.131 +-0.395,0.793380706,221,0.724,-0.515,0.790324204,270,0.771,-0.765,0.787169011,278,0.947,-0.965,0.784013817,278,1.15 +-0.595,0.794956822,262,0.555,-0.715,0.791900321,331,0.81,-0.945,0.788745127,337,1.056,-1.165,0.78559092,337,1.239 +-0.395,0.794169257,248,1.924,-0.515,0.791112756,260,2.095,-0.755,0.787957562,263,2.2,-0.965,0.784802369,263,2.29 +-0.195,0.793380706,181,3.282,-0.315,0.790325191,186,3.37,-0.565,0.787169998,187,3.344,-0.865,0.784113496,187,3.341 +-1.015,0.794363681,170,5.811,-1.015,0.791308167,174,6.967,-1.165,0.788251665,176,7.497,-1.265,0.785196151,176,7.752 +-1.075,0.790913397,196,5.483,-1.315,0.787758204,196,6.384,-1.415,0.784702689,196,7.3,-1.465,0.78174488,196,8.228 +-0.015,0.792395756,220,3.242,-0.315,0.789240563,220,3.419,-0.615,0.786085369,220,3.62,-0.965,0.782930175,220,3.876 +1.045,0.791118678,260,3.361,0.685,0.787864792,259,3.439,0.385,0.784807303,258,3.492,0.035,0.78165211,258,3.569 +1.945,0.790135702,273,3.233,1.585,0.786980508,271,3.271,1.255,0.783825315,271,3.305,0.935,0.780669134,271,3.351 +2.245,0.789841599,305,3.431,1.885,0.786586726,304,3.449,1.565,0.783431532,304,3.453,1.235,0.780375031,304,3.47 +0.845,0.788455959,320,5.91,0.485,0.785300765,320,5.969,0.185,0.782145571,320,6.018,-0.065,0.778990377,320,6.077 +-1.055,0.789237602,336,6.772,-1.415,0.785983716,336,6.908,-1.715,0.782828522,336,7.004,-1.965,0.779673328,336,7.128 +-1.655,0.788743153,340,6.891,-2.015,0.785489267,340,7.046,-2.315,0.782334074,341,7.162,-2.565,0.77917888,341,7.316 +-1.855,0.790615347,346,6.901,-2.215,0.787361461,346,7.086,-2.515,0.78420528,346,7.231,-2.865,0.781050086,346,7.405 +-1.535,0.793474463,350,8.984,-1.865,0.790220577,351,9.378,-2.175,0.787065384,351,9.648,-2.465,0.783811498,351,9.923 +-1.215,0.796334567,356,11.066,-1.515,0.793080681,356,11.671,-1.835,0.789826795,356,12.065,-2.165,0.786671601,356,12.451 +-1.915,0.796232914,4,11.036,-2.215,0.792979028,4,11.809,-2.515,0.789823834,4,12.282,-2.865,0.786569948,4,12.719 +-2.675,0.798103133,15,10.183,-2.915,0.79494794,15,10.969,-3.215,0.791694054,15,11.463,-3.465,0.788441155,15,11.916 +-3.415,0.798790032,12,9.529,-3.715,0.795536146,12,10.357,-3.985,0.792380952,12,10.93,-4.265,0.789128053,12,11.479 +-4.015,0.801351098,18,8.468,-4.315,0.798097212,19,9.279,-4.565,0.794843326,19,9.885,-4.865,0.791688132,19,10.449 +-4.675,0.799377251,5,8.042,-4.915,0.796222058,6,8.924,-5.165,0.792968172,7,9.598,-5.465,0.789812978,7,10.25 +-5.235,0.80193733,13,8.061,-5.415,0.798684431,14,8.904,-5.695,0.795430545,15,9.549,-5.965,0.792176659,15,10.161 +-5.835,0.801146805,4,7.318,-6.015,0.797991611,7,8.202,-6.235,0.794738712,9,8.859,-6.465,0.791484826,9,9.467 +-6.395,0.799862818,2,6.564,-6.515,0.796708611,6,7.55,-6.715,0.793455712,8,8.247,-6.965,0.790300518,8,8.862 +-6.915,0.801241549,354,7.07,-6.915,0.798186035,360,8.212,-7.085,0.794932149,2,8.957,-7.265,0.791777942,2,9.556 +-7.375,0.803309154,352,7.268,-7.315,0.800253639,358,8.509,-7.465,0.797099433,1,9.273,-7.665,0.793845547,1,9.883 +-7.915,0.802913397,335,6.832,-7.915,0.799857883,336,8.054,-8.015,0.796702689,337,8.918,-8.165,0.793548483,337,9.636 +-8.455,0.802516654,334,6.386,-8.515,0.799362448,337,7.59,-8.565,0.796208241,340,8.563,-8.565,0.793152726,340,9.388 +-8.775,0.802515667,327,6.257,-8.715,0.799460153,334,7.412,-8.765,0.796305946,338,8.138,-8.865,0.793249445,338,8.714 +-8.695,0.804486553,327,4.799,-8.815,0.801332346,335,5.692,-8.865,0.79817814,340,6.353,-8.965,0.795023933,340,6.919 +-7.655,0.801632371,351,5.781,-8.015,0.798279793,352,6.078,-8.315,0.795025907,353,6.343,-8.565,0.791674315,353,6.652 +-6.555,0.8002566,14,5.007,-6.915,0.796904022,15,5.159,-7.245,0.793650136,15,5.278,-7.565,0.790297557,15,5.423 +-5.255,0.801346163,24,4.799,-5.615,0.797993585,24,4.892,-5.945,0.794739699,24,4.962,-6.265,0.791485813,24,5.066 +-3.795,0.800366149,31,4.522,-4.215,0.79701357,32,4.615,-4.545,0.793758697,32,4.686,-4.865,0.790504811,32,4.778 +-2.455,0.799681224,36,4.313,-2.815,0.796427338,36,4.388,-3.135,0.793173452,36,4.459,-3.465,0.789919566,36,4.54 +-1.195,0.800572415,23,5.146,-1.615,0.797318529,23,5.238,-1.945,0.794064643,23,5.317,-2.265,0.790810757,23,5.413 +-0.355,0.801758697,16,5.672,-0.715,0.798504811,16,5.801,-1.015,0.795250925,16,5.899,-1.365,0.792095732,16,6.027 +0.085,0.804126326,19,5.92,-0.215,0.80087244,19,6.107,-0.515,0.797717246,19,6.244,-0.865,0.794462374,19,6.404 +0.415,0.80540834,22,5.354,0.235,0.802254133,21,5.831,-0.055,0.799000247,21,6.126,-0.365,0.795845053,21,6.354 +0.745,0.806592647,45,4.799,0.685,0.803537133,43,5.564,0.415,0.800381939,42,6.008,0.135,0.797226746,42,6.305 +0.585,0.806789045,22,4.075,0.585,0.803832223,30,4.684,0.315,0.800578337,31,4.962,0.035,0.797423143,31,5.125 +0.145,0.811321984,16,5.979,0.385,0.808463854,23,6.512,0.115,0.805210955,24,6.6,-0.165,0.801957069,24,6.642 +-0.215,0.808954355,8,5.156,0.085,0.806097212,18,5.85,-0.165,0.802942018,20,5.939,-0.465,0.799688132,20,5.859 +-0.335,0.809349124,10,3.847,-0.215,0.806392302,28,4.22,-0.385,0.803237108,32,4.252,-0.565,0.800081915,32,4.183 +-0.535,0.809840612,352,3.391,-0.415,0.80688379,17,3.33,-0.465,0.803828275,24,3.186,-0.465,0.800772761,24,3.113 +-0.935,0.810726869,347,2.35,-0.815,0.807770047,30,2.322,-0.735,0.804714532,42,2.476,-0.665,0.80175771,42,2.805 +-1.815,0.807470022,301,3.5,-1.215,0.804811251,327,2.599,-1.085,0.801854429,338,1.914,-0.965,0.798897607,338,1.527 +-3.275,0.808844806,292,5.602,-1.715,0.806977547,317,5.208,-1.545,0.804021712,321,4.114,-1.365,0.801163583,321,3.014 +-3.435,0.807169011,285,5.325,-1.815,0.805203059,316,4.892,-1.545,0.802444609,321,3.828,-1.265,0.799586479,321,2.835 +-2.615,0.810030101,296,3.857,-1.715,0.807667407,337,3.38,-1.365,0.804810264,348,2.811,-0.965,0.802051813,348,2.498 +-3.485,0.808055268,305,3.778,-2.915,0.805396496,338,3.676,-2.545,0.802638046,345,3.532,-2.165,0.799879595,345,3.499 +-4.355,0.806080434,338,3.699,-4.115,0.803223291,10,3.963,-3.715,0.800464841,16,4.252,-3.265,0.79770639,16,4.511 +-4.875,0.808740192,349,1.745,-4.815,0.805684678,36,2.026,-4.365,0.80302492,39,2.683,-3.965,0.800266469,39,3.311 +-5.295,0.807949667,133,3.173,-4.815,0.805192203,111,3.903,-4.335,0.802433753,102,4.242,-3.865,0.799773995,102,4.53 +-4.995,0.802333087,178,3.5,-3.915,0.799971379,126,4.348,-3.445,0.797311621,116,4.725,-2.965,0.794651863,116,4.937 +-2.355,0.803032815,137,1.349,-2.715,0.799680237,129,1.631,-2.315,0.796921786,116,2.683,-1.965,0.794262028,116,3.906 +0.045,0.800281273,93,1.686,-0.315,0.797027387,95,1.759,-0.565,0.793872193,97,1.904,-0.865,0.790717,97,2.131 +1.405,0.800680977,76,2.449,0.985,0.797427091,78,2.5,0.685,0.794173205,79,2.535,0.435,0.791018011,79,2.597 +3.045,0.799799655,56,2.152,2.685,0.796644461,57,2.154,2.365,0.793390575,58,2.121,2.035,0.790234394,58,2.102 +3.645,0.800393782,54,2.538,3.285,0.797138909,55,2.54,2.985,0.793983716,55,2.525,2.735,0.790828522,55,2.498 +4.145,0.799311128,69,2.419,3.785,0.796056255,71,2.431,3.485,0.792901061,71,2.417,3.235,0.78984456,71,2.409 +4.485,0.800199358,86,2.638,4.185,0.797044165,87,2.678,3.885,0.793888971,88,2.693,3.535,0.790732791,88,2.716 +4.585,0.800889218,67,3.381,4.435,0.797833703,72,3.755,4.285,0.794777202,74,3.847,4.135,0.791720701,74,3.817 +4.685,0.801481372,71,4.135,4.685,0.79852455,75,4.823,4.685,0.795665433,78,4.992,4.735,0.792708611,78,4.917 +4.605,0.803157168,78,4.065,4.785,0.80039773,81,4.694,4.835,0.797439921,84,4.903,4.935,0.794581791,84,4.947 +4.585,0.806507772,117,5.097,4.885,0.803749321,106,5.87,5.065,0.800989884,107,6.333,5.235,0.798131754,107,6.681 +4.765,0.806706144,134,3.847,5.185,0.804046385,110,4.724,5.455,0.801286948,110,5.337,5.735,0.79852751,110,5.75 +5.425,0.808482605,172,2.499,6.085,0.806020232,120,3.222,6.385,0.803261781,117,3.808,6.735,0.800502344,117,4.144 +5.865,0.80808981,218,2.003,6.885,0.805825808,161,1.71,7.165,0.803067358,150,2.131,7.435,0.80030792,150,2.548 +5.865,0.808680977,206,4.462,7.485,0.806813718,190,4.121,7.665,0.803955588,187,4.449,7.835,0.801098446,187,4.927 +3.605,0.806110042,206,10.015,7.685,0.805927461,197,11.167,7.935,0.803168024,196,11.788,8.235,0.800409573,196,12.461 +2.045,0.804034542,206,10.927,4.385,0.802564027,195,13.588,5.665,0.800499383,191,14.847,6.935,0.798433753,191,15.336 +2.885,0.804727362,206,10.927,6.185,0.803950654,192,13.282,7.135,0.801686652,188,14.087,8.035,0.799323958,188,14.126 +2.885,0.804727362,203,10.759,6.185,0.803950654,192,13.292,7.135,0.801686652,188,14.383,8.035,0.799323958,188,14.711 +2.005,0.803738465,203,7.179,4.285,0.802366642,196,9.062,5.185,0.800003948,192,10.2,6.035,0.79764224,192,10.905 +1.125,0.802848261,170,3.599,2.385,0.800684925,183,4.832,3.235,0.79832223,181,6.008,4.035,0.795958549,181,7.098 +1.745,0.804920799,173,5.047,3.185,0.802856156,175,5.821,3.835,0.80029509,174,6.6,4.535,0.797833703,174,7.405 +2.645,0.805318529,192,7.496,4.685,0.803748335,187,8.499,5.165,0.801088576,185,9.174,5.635,0.798428818,185,9.834 +3.905,0.802464347,189,7.457,4.985,0.800201332,188,8.934,5.485,0.797541574,187,10.102,6.035,0.794980508,187,11.162 +6.325,0.800993832,189,7.546,6.385,0.798135702,191,8.746,6.365,0.79517888,192,9.845,6.335,0.792319763,192,10.954 +9.025,0.801102393,193,5.602,8.785,0.798045892,195,6.216,8.565,0.794989391,195,6.856,8.335,0.792031582,195,7.504 +10.585,0.79933284,184,4.403,10.285,0.796276339,185,4.566,9.985,0.793219837,186,4.735,9.735,0.790163336,186,4.957 +12.085,0.798352825,194,6.009,11.785,0.795295337,195,6.196,11.485,0.792238835,195,6.373,11.235,0.789182334,195,6.563 +13.145,0.797962003,197,7.05,12.785,0.794904515,197,7.273,12.485,0.791848014,198,7.458,12.235,0.788791512,198,7.663 +13.085,0.798750555,208,7.486,12.785,0.795693067,208,7.748,12.485,0.792636566,208,7.941,12.235,0.789580064,208,8.139 +12.385,0.799043671,208,7.804,12.085,0.79598717,208,8.341,11.815,0.793028374,208,8.661,11.535,0.789971873,208,8.922 +10.725,0.799531211,202,8.736,10.485,0.796473723,203,9.665,10.275,0.793417222,203,10.417,10.035,0.790459413,203,11.123 +9.065,0.799919072,205,9.668,8.885,0.796863558,206,10.989,8.735,0.793905749,206,12.173,8.535,0.79094794,206,13.313 +7.445,0.801096472,204,9.668,7.385,0.79813965,205,11.216,7.435,0.795280533,205,12.735,7.535,0.792422403,205,14.235 +6.225,0.80276832,198,10.322,6.285,0.79991019,198,12.175,6.585,0.797150753,197,14.038,6.935,0.794392302,197,15.821 +5.345,0.803652603,190,9.817,5.585,0.800893166,189,11.839,6.085,0.7983321,189,13.86,6.535,0.795672341,189,15.683 +4.245,0.801085616,193,9.787,4.485,0.798326178,191,11.74,5.335,0.795963484,191,13.86,6.235,0.79360079,191,15.802 +3.725,0.80029509,194,10.679,4.085,0.79753664,193,12.758,5.065,0.795272638,193,14.985,6.035,0.793008636,193,17.021 +3.745,0.800886257,191,10.858,4.285,0.798325191,190,13.183,5.185,0.795963484,190,15.636,6.035,0.793698495,190,17.824 +4.145,0.801183321,192,11.363,4.685,0.79852455,190,13.618,5.435,0.796160868,189,15.734,6.235,0.793699482,189,17.645 +3.825,0.801379719,186,10.174,4.185,0.798719961,184,12.145,4.835,0.796158895,184,13.998,5.535,0.793697508,184,15.782 +3.505,0.80059018,183,8.875,3.985,0.797930422,182,10.762,4.565,0.795369356,181,12.459,5.135,0.79280829,181,13.997 +3.185,0.800095732,177,7.734,3.785,0.797535653,176,9.487,4.365,0.794974587,176,10.999,4.935,0.792413521,176,12.292 +3.525,0.799999013,163,7.04,4.485,0.797636319,165,8.39,4.815,0.794976561,168,9.224,5.135,0.792217123,168,9.844 +3.865,0.799901308,166,6.356,5.185,0.797835677,163,7.293,5.265,0.794977547,166,7.448,5.335,0.792020725,166,7.405 +3.385,0.801871207,156,8.21,5.485,0.800301012,153,9.092,5.565,0.797344189,155,9.026,5.635,0.79448606,155,8.872 +3.405,0.799800642,172,7.943,5.385,0.798132741,167,9.596,5.565,0.795373304,169,10.092,5.735,0.792613866,169,10.221 +4.105,0.798127807,182,6.614,4.285,0.795269677,182,8.222,4.785,0.792708611,183,9.756,5.335,0.790048853,183,11.063 +7.285,0.796758944,188,7.06,6.985,0.793702443,188,7.392,6.715,0.790645941,189,7.783,6.435,0.787489761,189,8.287 +9.645,0.795091044,200,9.579,9.285,0.79193585,200,9.882,8.985,0.788879349,200,10.121,8.735,0.78582186,200,10.399 +10.785,0.795094991,203,10.293,10.485,0.79203849,203,10.584,10.165,0.788981989,203,10.812,9.835,0.7859245,203,11.063 +11.245,0.794702196,204,10.749,10.885,0.791645695,204,11.058,10.565,0.788588206,204,11.275,10.235,0.785531705,204,11.539 +11.145,0.793913644,205,11.106,10.785,0.790757464,205,11.454,10.455,0.787700962,205,11.71,10.135,0.784644461,205,11.995 +10.785,0.794503824,206,11.076,10.485,0.791447323,206,11.483,10.165,0.788389835,206,11.808,9.835,0.785333333,206,12.144 +10.145,0.79519171,206,11.344,9.785,0.792035529,206,11.958,9.515,0.788979028,206,12.4,9.235,0.785922527,206,12.818 +9.175,0.795385147,205,9.579,8.935,0.792328645,205,10.396,8.685,0.789272144,205,11.058,8.435,0.786215643,205,11.698 +8.205,0.795579571,211,7.814,8.085,0.792621762,211,8.835,7.855,0.78956526,211,9.717,7.635,0.786508759,211,10.577 +7.045,0.79872983,216,7.933,6.985,0.795772021,217,9.082,6.835,0.792814212,217,10.151,6.735,0.789758697,217,11.212 +6.085,0.799613126,209,9.013,6.085,0.796656304,211,10.307,5.985,0.793698495,212,11.522,5.835,0.790740686,212,12.729 +5.345,0.798230447,211,9.906,5.285,0.795273624,213,11.286,5.185,0.792315815,214,12.548,5.035,0.789358006,214,13.809 +4.585,0.798720947,213,10.957,4.585,0.795764125,215,12.442,4.485,0.792806316,215,13.761,4.335,0.789749815,215,15.108 +3.845,0.796944486,217,11.71,3.785,0.793986677,217,13.242,3.705,0.791028868,218,14.62,3.635,0.788072045,218,16.03 +3.145,0.797434,212,12.464,3.085,0.794477177,213,14.023,2.985,0.791420676,213,15.438,2.835,0.788463854,213,16.882 +2.305,0.796839872,209,13.555,2.185,0.793784357,210,15.229,2.135,0.790826548,210,16.75,2.035,0.787771034,210,18.339 +1.545,0.795753269,207,14.398,1.485,0.792696768,207,16.158,1.485,0.789739946,207,17.776,1.535,0.786881816,207,19.46 +0.805,0.795750308,205,13.704,0.685,0.792693807,205,15.446,0.785,0.789835677,205,17.086,0.835,0.786977547,205,18.805 +0.145,0.796339502,202,12.92,0.085,0.793381693,202,14.636,0.285,0.79052455,202,16.307,0.535,0.78766642,202,18.052 +0.995,0.795455218,192,12.623,0.935,0.792399704,192,14.349,1.205,0.789640266,192,16.02,1.535,0.786881816,192,17.755 +1.845,0.794472243,197,12.325,1.785,0.791416728,197,14.062,2.135,0.78875697,196,15.734,2.535,0.786096225,196,17.447 +1.445,0.796344436,206,11.195,1.385,0.793287935,205,12.906,1.735,0.790628177,204,14.639,2.035,0.787868739,204,16.446 +2.165,0.794474217,200,11.036,1.985,0.791417715,200,12.531,2.215,0.788658278,200,14.067,2.435,0.78589884,200,15.722 +4.085,0.795860844,195,11.195,3.785,0.79270565,196,11.938,3.515,0.789648162,196,12.607,3.235,0.786492968,196,13.343 +5.645,0.792317789,201,12.276,5.285,0.789161609,201,12.807,4.955,0.786105107,201,13.199,4.635,0.782949914,201,13.621 +6.545,0.790348877,203,13.009,6.185,0.787193684,203,13.44,5.865,0.784137182,203,13.752,5.535,0.780981002,203,14.097 +7.245,0.791239082,207,12.781,6.885,0.788181594,207,13.173,6.565,0.7850264,208,13.456,6.235,0.781969899,208,13.779 +7.345,0.791535159,213,12.94,6.985,0.788477671,213,13.292,6.665,0.785322477,213,13.525,6.335,0.782265976,213,13.809 +7.245,0.791534172,217,11.958,6.885,0.788477671,217,12.274,6.565,0.785322477,217,12.499,6.235,0.782264989,217,12.748 +6.845,0.791434493,218,11.304,6.485,0.788377992,218,11.602,6.165,0.785221811,218,11.808,5.835,0.78216531,218,12.054 +5.945,0.792121392,218,10.848,5.585,0.788966198,218,11.167,5.285,0.78590871,218,11.384,5.035,0.782852208,218,11.638 +5.165,0.793596842,206,9.846,4.835,0.79054034,207,10.307,4.535,0.787385147,207,10.634,4.235,0.784328645,207,10.974 +4.385,0.795171971,213,8.845,4.085,0.792016778,213,9.438,3.785,0.788860597,213,9.885,3.535,0.785705403,213,10.3 +3.485,0.797238589,220,8.557,3.185,0.794083395,220,8.924,2.865,0.790928201,220,9.184,2.535,0.787772021,220,9.447 +2.785,0.797630397,224,7.199,2.485,0.794475204,225,7.461,2.185,0.79132001,225,7.665,1.935,0.788164816,225,7.881 +2.385,0.796938564,226,5.999,2.085,0.79378337,226,6.216,1.785,0.790628177,226,6.373,1.535,0.787472983,226,6.553 +2.285,0.795657538,221,4.799,1.985,0.792501357,221,4.971,1.685,0.789346163,221,5.169,1.435,0.78619097,221,5.432 +2.185,0.794079447,225,4.512,1.885,0.790924254,225,4.664,1.615,0.78776906,225,4.853,1.335,0.784613866,225,5.095 +1.925,0.794177153,196,4.65,1.685,0.791120651,197,4.783,1.385,0.787965458,197,4.942,1.035,0.784810264,197,5.155 +1.885,0.796838885,204,5.335,1.585,0.793682704,205,5.583,1.315,0.79052751,205,5.85,1.035,0.787372317,205,6.166 +1.985,0.79447323,223,5.741,1.685,0.791318036,224,6.117,1.415,0.788162842,225,6.461,1.135,0.785007649,225,6.85 +1.785,0.793191216,222,5.345,1.485,0.790036023,223,5.623,1.215,0.786880829,223,5.889,0.935,0.783725635,223,6.206 +1.585,0.794471256,222,5.682,1.285,0.791316062,223,5.949,1.015,0.788259561,223,6.205,0.735,0.785104367,223,6.493 +1.685,0.793683691,219,6.584,1.385,0.790528497,219,7.016,1.115,0.787471996,220,7.349,0.835,0.784316802,220,7.683 +1.785,0.792895139,209,7.476,1.485,0.789739946,210,8.074,1.215,0.786683444,210,8.484,0.935,0.783528251,210,8.862 +1.025,0.794765359,198,9.053,0.785,0.791610165,199,9.833,0.485,0.788454972,199,10.398,0.235,0.785299778,199,10.934 +1.045,0.791907229,205,10.798,0.685,0.788652356,205,11.325,0.415,0.785595855,205,11.71,0.135,0.782440661,205,12.104 +1.945,0.79191019,204,11.383,1.585,0.788754996,204,11.849,1.255,0.785598816,204,12.203,0.935,0.782443622,204,12.59 +2.745,0.791617074,204,12.484,2.385,0.78846188,205,12.857,2.065,0.785306686,205,13.13,1.735,0.782151493,205,13.442 +3.345,0.789944239,206,12.484,2.985,0.786689366,206,12.788,2.665,0.783632865,206,12.992,2.335,0.780477671,206,13.244 +3.845,0.789057982,203,12.236,3.485,0.785902788,203,12.521,3.135,0.782747594,204,12.716,2.835,0.779592401,204,12.937 +4.045,0.79024229,203,12.276,3.685,0.787086109,203,12.56,3.335,0.783930915,203,12.755,3.035,0.780775722,203,12.976 +4.105,0.788862571,205,11.77,3.685,0.78570639,205,12.046,3.365,0.782551197,205,12.223,3.035,0.779494695,205,12.441 +3.945,0.788762892,210,11.076,3.585,0.785607698,210,11.355,3.255,0.78255021,210,11.542,2.935,0.779395016,210,11.757 +3.345,0.790338021,214,10.491,2.985,0.787182828,214,10.801,2.685,0.784125339,214,11.009,2.435,0.780971132,214,11.232 +1.765,0.792501357,213,9.36,1.435,0.789345176,213,9.843,1.135,0.786189983,213,10.18,0.835,0.783034789,213,10.508 +0.185,0.794663706,217,8.22,-0.115,0.791508512,218,8.884,-0.415,0.788353319,218,9.352,-0.665,0.785099433,218,9.774 +-0.815,0.794167283,214,7.179,-1.115,0.790913397,215,7.866,-1.385,0.787758204,215,8.356,-1.665,0.78460301,215,8.823 +-1.675,0.792685912,213,5.721,-1.915,0.789530718,216,6.483,-2.165,0.786375524,217,7.073,-2.465,0.783220331,217,7.623 +-2.295,0.794063657,188,5.444,-2.415,0.791007155,193,6.344,-2.645,0.787851962,196,6.925,-2.865,0.784696768,196,7.415 +-2.895,0.794554157,197,5.136,-3.015,0.791398964,200,6.255,-3.135,0.788343449,202,7.053,-3.265,0.785286948,202,7.653 +-3.275,0.791792746,214,5.672,-3.215,0.788835924,214,7.095,-3.315,0.785779423,216,7.813,-3.465,0.782723908,216,8.208 +-3.315,0.791989144,210,4.551,-3.315,0.788933629,215,5.751,-3.365,0.785976807,218,6.333,-3.465,0.782921293,218,6.652 +-3.115,0.793764619,189,4.224,-3.115,0.790709104,201,5.238,-3.215,0.787652603,205,5.455,-3.265,0.784597089,205,5.423 +-2.975,0.791596348,182,4.184,-2.915,0.788639526,191,5.307,-3.065,0.78548532,194,5.426,-3.165,0.782428818,194,5.195 +-2.915,0.791991118,185,2.796,-2.915,0.789034296,189,3.913,-3.065,0.785879102,191,4.143,-3.165,0.782823587,191,4.015 +-2.935,0.792483592,157,1.18,-3.115,0.789428078,172,1.838,-3.235,0.786371577,176,2.21,-3.365,0.78321737,176,2.379 +-3.425,0.791595361,300,2.826,-3.665,0.788440168,292,3.33,-3.855,0.785284974,286,3.689,-4.065,0.78212978,286,3.936 +-3.915,0.790607451,333,4.462,-4.215,0.787353565,333,4.832,-4.465,0.784198372,333,5.169,-4.665,0.781044165,333,5.492 +-4.415,0.791394029,342,4.641,-4.715,0.788140143,342,4.892,-5.015,0.784886257,343,5.12,-5.365,0.781731063,343,5.383 +-4.755,0.789322477,329,4.71,-5.115,0.786068591,330,4.911,-5.385,0.782814705,330,5.1,-5.665,0.779659511,330,5.323 +-4.955,0.788829016,335,5.543,-5.315,0.78557513,336,5.781,-5.585,0.782321244,336,5.988,-5.865,0.77916605,336,6.216 +-4.755,0.788337528,329,5.226,-5.115,0.785083642,329,5.435,-5.415,0.781829756,330,5.613,-5.665,0.778674562,330,5.789 +-4.455,0.786761411,327,5.959,-4.815,0.783507525,327,6.167,-5.115,0.780352332,327,6.313,-5.465,0.777196151,327,6.493 +-4.115,0.78755095,324,6.614,-4.415,0.784395756,324,6.789,-4.745,0.78114187,324,6.925,-5.065,0.777986677,324,7.088 +-4.055,0.786663706,313,7.09,-4.415,0.78340982,313,7.303,-4.715,0.780155934,313,7.448,-4.965,0.777001727,313,7.633 +-4.055,0.785876141,310,7.933,-4.415,0.782621268,310,8.192,-4.715,0.779367382,310,8.395,-4.965,0.776213175,310,8.605 +-3.955,0.786566,307,7.576,-4.315,0.783312114,308,7.856,-4.615,0.780058228,308,8.06,-4.965,0.776903035,308,8.297 +-3.815,0.787946706,308,7.159,-4.115,0.784791512,308,7.461,-4.415,0.781537626,308,7.695,-4.665,0.778382433,308,7.94 +-3.925,0.789227733,306,7.595,-4.165,0.786072539,309,8.252,-4.425,0.782818653,310,8.77,-4.665,0.779663459,310,9.279 +-4.035,0.790410067,303,8.032,-4.215,0.787353565,306,9.042,-4.445,0.784198372,307,9.855,-4.665,0.781044165,307,10.617 +-4.535,0.791886504,316,8.448,-4.715,0.78873131,319,9.517,-4.945,0.785577103,320,10.328,-5.165,0.78242191,320,11.103 +-5.035,0.79474266,303,6.931,-5.215,0.791588453,307,7.847,-5.445,0.788334567,309,8.503,-5.665,0.785179373,309,9.11 +-5.735,0.79562793,314,7.457,-5.915,0.792472736,316,8.509,-6.065,0.789317543,317,9.391,-6.165,0.786163336,317,10.221 +-6.235,0.795033802,310,7.11,-6.415,0.791879595,314,8.183,-6.545,0.788724402,316,9.095,-6.665,0.785668887,316,9.943 +-6.735,0.796017765,305,7.1,-6.915,0.792863558,309,8.222,-6.995,0.789708364,311,9.135,-7.065,0.78665285,311,9.963 +-7.295,0.796508265,305,7.357,-7.415,0.793354059,309,8.538,-7.495,0.790199852,311,9.52,-7.565,0.787143351,311,10.389 +-7.955,0.796801382,304,6.911,-8.015,0.793745867,309,8.133,-7.985,0.790690353,313,9.076,-7.965,0.787634838,313,9.864 +-8.415,0.796800395,302,6.713,-8.415,0.79374488,311,7.916,-8.335,0.790689366,315,8.74,-8.265,0.787732544,315,9.418 +-9.035,0.796403652,301,7.258,-8.915,0.793348137,310,8.568,-8.795,0.790392302,314,9.332,-8.665,0.78743548,314,9.903 +-9.635,0.799752282,303,7.685,-9.515,0.79679546,311,9.141,-9.385,0.793740933,316,10.023,-9.265,0.790784111,316,10.647 +-10.675,0.799058475,314,7.665,-10.465,0.796101653,322,9.21,-10.335,0.793047126,326,10.151,-10.165,0.790090303,326,10.825 +-11.715,0.798264989,304,7.655,-11.415,0.795407846,313,9.27,-11.295,0.792353319,318,10.269,-11.165,0.789396496,318,10.994 +-12.055,0.801122132,304,7.486,-11.815,0.798166297,313,9.032,-11.635,0.795111769,318,10.003,-11.465,0.792155934,318,10.716 +-11.835,0.7997434,314,6.366,-12.015,0.796490501,318,7.511,-11.885,0.793434986,322,8.642,-11.765,0.790380459,322,9.596 +-10.855,0.798170244,334,5.067,-11.215,0.794718974,335,5.376,-11.515,0.791366395,336,5.702,-11.865,0.788112509,336,6.067 +-9.855,0.795019985,353,4.7,-10.215,0.791667407,354,4.832,-10.545,0.788413521,354,4.942,-10.865,0.785060943,354,5.066 +-8.655,0.796897113,6,4.393,-9.015,0.793544535,6,4.477,-9.335,0.790192943,6,4.538,-9.665,0.786939057,6,4.629 +-7.395,0.795423637,12,4.621,-7.815,0.792071058,12,4.694,-8.135,0.788817172,12,4.755,-8.465,0.785464594,12,4.838 +-6.255,0.795921046,18,4.234,-6.615,0.792568468,18,4.299,-6.945,0.789314582,18,4.35,-7.265,0.786060696,18,4.431 +-5.255,0.795530225,25,3.738,-5.615,0.792177646,25,3.805,-5.945,0.78892376,25,3.847,-6.265,0.785669874,25,3.916 +-4.455,0.797012583,23,3.837,-4.815,0.793758697,23,3.894,-5.135,0.790406119,22,3.946,-5.465,0.787152233,22,4.005 +9.085,0.797454725,209,10.134,8.785,0.794398224,209,10.633,8.485,0.791341722,209,10.98,8.235,0.788186528,209,11.321 +7.755,0.799322971,204,10.649,7.485,0.796167777,204,11.473,7.205,0.793111276,205,12.084,6.935,0.790054774,205,12.669 +6.425,0.801093511,211,11.175,6.185,0.79803701,212,12.303,5.935,0.794881816,212,13.189,5.735,0.791825315,212,14.027 +4.465,0.797833703,207,10.679,4.285,0.794777202,208,11.829,4.065,0.791720701,209,12.765,3.835,0.788664199,209,13.68 +3.165,0.798617321,204,10.451,2.985,0.795560819,206,11.651,2.785,0.792504318,207,12.666,2.535,0.789349124,207,13.68 +2.205,0.798022206,209,10.114,2.085,0.795065384,210,11.325,1.915,0.79191019,210,12.4,1.735,0.788853689,210,13.492 +1.605,0.79762645,210,8.091,1.485,0.794569948,211,9.181,1.315,0.791414755,212,10.171,1.135,0.78835924,212,11.172 +1.265,0.798807797,230,4.898,1.085,0.795652603,229,5.682,0.885,0.792596102,229,6.432,0.735,0.789441895,229,7.207 +1.165,0.800581298,266,3.788,0.985,0.797427091,265,4.19,0.765,0.79437059,264,4.597,0.535,0.791215396,264,5.036 +0.905,0.801368863,257,3.163,0.785,0.798313348,265,3.488,0.535,0.795158154,267,3.798,0.335,0.792002961,267,4.114 +0.465,0.801071799,230,3.123,0.585,0.798213669,252,3.212,0.335,0.795058475,257,3.364,0.035,0.791903282,257,3.549 +-0.195,0.80087244,195,2.965,0.285,0.798212682,221,2.441,0.085,0.795057488,230,2.18,-0.065,0.792001974,230,2.082 +-0.875,0.80274266,196,3.203,0.085,0.800379965,217,2.441,-0.115,0.797225759,225,1.953,-0.365,0.794070565,225,1.715 +0.115,0.80245053,211,3.758,0.835,0.799890452,222,3.419,0.595,0.796735258,224,3.196,0.335,0.793678756,224,3.123 +1.105,0.802158401,237,4.323,1.585,0.799498643,253,4.398,1.315,0.796343449,255,4.439,1.035,0.793188256,255,4.53 +0.505,0.80225512,239,4.323,1.285,0.799793733,266,4.506,1.035,0.796638539,268,4.636,0.835,0.793483346,268,4.818 +0.065,0.801661979,269,5.087,0.485,0.799002221,289,6.147,0.315,0.795847027,292,6.6,0.135,0.792790526,292,6.81 +0.345,0.796832963,306,5.394,-0.015,0.793579077,307,5.791,-0.285,0.790423884,307,6.146,-0.565,0.78726869,307,6.543 +1.005,0.79811695,331,5.533,0.585,0.794862077,331,5.692,0.255,0.791608191,331,5.81,-0.065,0.788452998,331,5.958 +1.845,0.7966415,340,5.374,1.485,0.79348532,340,5.475,1.165,0.790231434,340,5.534,0.835,0.78707624,340,5.631 +2.705,0.797630397,338,5.543,2.285,0.794375524,338,5.613,1.955,0.791220331,338,5.672,1.635,0.788065137,338,5.75 +3.445,0.797139896,338,5.136,3.085,0.79388601,338,5.208,2.755,0.79072983,338,5.258,2.435,0.787574636,338,5.333 +3.945,0.798422897,341,4.879,3.585,0.795267703,341,4.951,3.255,0.792111522,341,5.001,2.935,0.788956329,341,5.066 +4.145,0.800099679,347,4.641,3.785,0.796845793,347,4.704,3.485,0.793689613,347,4.745,3.235,0.790534419,347,4.808 +4.185,0.801282013,346,4.621,3.885,0.79812682,346,4.684,3.565,0.794971626,346,4.735,3.235,0.791816432,346,4.808 +4.665,0.802467308,323,4.66,4.485,0.799410807,324,5.05,4.205,0.796255613,325,5.189,3.935,0.793199112,325,5.204 +5.145,0.803750308,297,4.71,5.085,0.800693807,305,5.425,4.855,0.797637306,307,5.643,4.635,0.794482112,307,5.611 +4.185,0.805422156,308,5.9,4.485,0.802663706,313,7.046,4.315,0.799607205,315,7.152,4.135,0.796550703,315,6.771 +3.685,0.806307427,317,5.057,3.985,0.803450284,325,5.999,3.885,0.800492475,328,6.116,3.835,0.79743696,328,5.849 +3.165,0.806207747,326,5.87,3.585,0.803547002,335,6.878,3.535,0.800491488,337,7.201,3.535,0.797534666,337,7.237 +2.625,0.806008389,340,5.374,2.985,0.803249938,350,6.423,2.915,0.800292129,353,6.955,2.835,0.797235628,353,7.227 +2.365,0.80590871,2,4.819,2.485,0.80305058,22,5.366,2.315,0.799895386,28,5.791,2.135,0.796839872,28,6.196 +2.105,0.808864545,46,4.7,1.985,0.80580903,58,5.109,1.785,0.802653837,62,5.613,1.535,0.799498643,62,6.196 +1.905,0.808272391,69,4.65,1.785,0.805216876,78,5.099,1.585,0.802061683,80,5.633,1.435,0.798906489,80,6.216 +1.545,0.806496916,78,5.196,1.485,0.803540094,84,5.742,1.235,0.800286208,85,6.087,1.035,0.797131014,85,6.384 +1.125,0.807579571,91,5.265,1.185,0.804622749,91,6.038,0.935,0.801467555,92,6.373,0.735,0.798313348,92,6.553 +0.705,0.808662226,90,4.859,0.885,0.80570639,91,5.87,0.685,0.802551197,91,6.333,0.535,0.799396003,91,6.572 +0.115,0.807181841,51,3.887,0.235,0.804225019,75,4.417,0.135,0.801169504,79,4.942,0.035,0.798113003,79,5.432 +-0.475,0.805701456,15,2.915,-0.415,0.802744634,49,2.965,-0.415,0.799688132,60,3.551,-0.465,0.79673131,60,4.302 +-1.515,0.806584752,357,4.264,-0.915,0.804023686,21,4.012,-0.915,0.800968172,31,4.074,-0.965,0.79801135,31,4.451 +-1.995,0.805596842,359,3.342,-1.215,0.803135455,35,3.4,-1.165,0.800079941,48,3.709,-1.065,0.797123119,48,4.401 +-1.675,0.800077967,29,1.805,-1.615,0.797022453,54,2.777,-1.385,0.794264002,66,3.778,-1.165,0.791405872,66,4.758 +0.145,0.799691093,86,2.122,-0.215,0.79643622,88,2.283,-0.385,0.793380706,89,2.575,-0.565,0.790225512,89,3.024 +1.845,0.796837898,71,2.588,1.485,0.793682704,72,2.668,1.165,0.79052751,73,2.742,0.835,0.787273624,73,2.835 +2.945,0.798025167,55,3.49,2.585,0.794771281,55,3.538,2.255,0.7916151,56,3.571,1.935,0.788459906,56,3.628 +3.845,0.798422897,52,2.866,3.485,0.795266716,52,2.886,3.165,0.792111522,52,2.9,2.835,0.788956329,52,2.924 +4.545,0.798523563,23,2.776,4.185,0.795368369,23,2.787,3.885,0.792213175,23,2.792,3.535,0.789056995,23,2.805 +5.705,0.799414755,15,1.051,5.285,0.796160868,13,1.038,4.955,0.793004688,13,1.026,4.635,0.789849494,13,1.011 +5.385,0.800990871,18,2.618,5.085,0.797835677,17,2.639,4.785,0.794680484,17,2.644,4.535,0.79152529,17,2.637 +4.775,0.801186282,34,2.895,4.535,0.798031088,30,3.133,4.295,0.794974587,28,3.265,4.035,0.791918085,28,3.351 +4.165,0.801380706,43,3.173,3.985,0.798324204,38,3.627,3.815,0.795267703,37,3.897,3.635,0.792212189,37,4.054 +3.905,0.802464347,63,2.122,3.785,0.799407846,48,2.55,3.585,0.796351345,46,2.821,3.435,0.79329583,46,3.004 +3.605,0.802955835,203,0.585,3.485,0.799998026,106,0.188,3.315,0.796843819,57,0.444,3.135,0.793787318,57,0.674 +3.405,0.80630644,222,0.248,3.285,0.803250925,38,0.435,3.135,0.800194424,37,0.809,3.035,0.797137923,37,1.081 +3.225,0.803348631,203,2.885,3.285,0.800391809,189,2.401,3.235,0.797434986,185,2.131,3.235,0.794477177,185,1.983 +2.825,0.802263015,203,3.917,3.785,0.799901308,191,3.508,3.935,0.797043178,191,3.176,4.035,0.794185048,191,2.914 +3.185,0.804630644,212,3.163,4.385,0.802466321,206,2.49,4.585,0.799706884,213,2.141,4.835,0.796848754,213,1.953 +3.645,0.804139156,223,2.449,5.085,0.802074513,241,1.759,5.185,0.799215396,257,1.746,5.335,0.796358253,257,1.913 +4.245,0.806408093,253,1.824,4.785,0.803748335,319,1.68,4.705,0.800791512,332,2.081,4.635,0.797833703,332,2.419 +4.105,0.806210708,271,0.982,3.985,0.803154207,338,0.692,3.915,0.800197385,6,0.809,3.835,0.797140883,6,0.991 +3.605,0.806307427,308,0.466,3.485,0.803250925,80,0.593,3.465,0.800195411,95,0.947,3.435,0.797238589,95,1.259 +3.485,0.804236862,290,1.606,3.635,0.801378732,282,1.314,3.665,0.79842191,275,1.233,3.735,0.795465088,275,1.269 +3.365,0.802166297,297,2.757,3.785,0.799407846,302,2.036,3.865,0.796549716,295,1.529,3.935,0.793691586,295,1.289 +2.685,0.803051567,301,3.361,3.285,0.800490501,319,2.648,3.435,0.797632371,318,2.18,3.535,0.794774241,318,1.993 +2.205,0.802458426,308,3.064,2.985,0.799997039,338,2.609,3.165,0.797138909,342,2.456,3.335,0.79428078,342,2.538 +1.685,0.798612386,324,2.499,2.585,0.796249692,9,2.797,2.755,0.793391562,15,2.93,2.935,0.790533432,15,3.182 +3.345,0.797533679,342,0.496,2.985,0.794279793,349,0.524,3.015,0.791421663,5,0.799,3.035,0.788463854,5,1.299 +4.845,0.796652356,49,1.963,4.485,0.793496176,48,1.996,4.185,0.790340982,49,2.022,3.935,0.787284481,49,2.062 +6.145,0.797444856,47,1.973,5.785,0.794289662,47,1.996,5.455,0.791134468,47,2.012,5.135,0.78807698,47,2.032 +6.885,0.795377251,38,2.578,6.585,0.79232075,39,2.599,6.255,0.789165556,39,2.614,5.935,0.786108068,39,2.637 +7.345,0.796069085,38,3.371,6.985,0.792913891,38,3.409,6.665,0.789758697,38,3.423,6.335,0.786701209,38,3.45 +7.545,0.796463854,46,2.578,7.185,0.79330866,46,2.599,6.885,0.790252159,46,2.614,6.535,0.787194671,46,2.627 +7.685,0.796168764,37,2.062,7.385,0.793112263,38,2.075,7.085,0.789957069,38,2.062,6.835,0.786900567,38,2.072 +8.095,0.798141623,20,2.965,7.885,0.795085122,19,3.064,7.585,0.792028621,18,3.019,7.335,0.788972119,18,2.974 +8.505,0.800213175,40,3.867,8.385,0.797156674,38,4.052,8.085,0.794100173,38,3.966,7.835,0.791043671,38,3.886 +7.865,0.801492228,74,4.809,7.985,0.798634098,67,5.05,7.715,0.795577597,67,4.962,7.435,0.792521095,67,4.927 +7.625,0.802477177,102,3.58,7.685,0.799619048,88,3.805,7.435,0.796562546,87,3.798,7.235,0.793506045,87,3.787 +7.585,0.806419936,112,4.194,7.585,0.803463114,99,4.457,7.385,0.800406612,99,4.577,7.235,0.797350111,99,4.699 +7.665,0.804941525,109,3.867,7.785,0.802083395,98,4.328,7.765,0.799225265,101,4.587,7.735,0.796267456,101,4.838 +7.585,0.803758204,176,3.471,8.185,0.801198125,148,3.577,8.315,0.798339995,147,3.976,8.435,0.795579571,147,4.461 +7.045,0.804545769,201,5.612,9.085,0.802975574,186,5.791,9.335,0.800216136,186,6.116,9.535,0.797456699,186,6.563 +5.985,0.8033585,188,9.698,9.585,0.802780163,185,11.276,10.005,0.80021811,187,11.423,10.435,0.797558352,187,11.192 +4.985,0.801974833,195,11.195,8.285,0.801198125,192,14.784,8.955,0.798735751,192,16.02,9.635,0.796274365,192,15.861 +4.885,0.803059462,194,12.077,7.285,0.801687639,189,15.525,7.915,0.799225265,188,17.155,8.535,0.796665186,188,17.705 +4.805,0.802664693,193,12.286,6.485,0.800896126,188,15.298,7.385,0.798533432,187,17.007,8.335,0.79626943,187,17.903 +5.265,0.801483346,190,11.542,6.735,0.799517395,185,14.26,7.675,0.797253393,184,15.843,8.635,0.794890698,184,16.714 +5.725,0.800203306,191,10.798,6.985,0.798137676,186,13.222,7.965,0.795873674,186,14.679,8.935,0.793610659,186,15.524 +5.585,0.802667654,193,9.916,6.185,0.800106588,191,11.78,7.485,0.798040957,190,14.117,8.835,0.795975327,190,16.515 +7.225,0.799124599,191,9.579,7.585,0.796463854,190,11.404,8.255,0.794002467,190,13.14,8.935,0.791540094,190,14.89 +10.065,0.799528251,187,10.263,10.185,0.796670121,187,11.671,10.115,0.793811004,187,12.893,10.035,0.790854182,187,14.057 +11.905,0.799928942,189,13.148,11.785,0.796971132,189,14.418,11.535,0.794013323,189,15.36,11.335,0.790956822,189,16.198 +12.825,0.796087836,193,15.409,12.585,0.793130027,192,16.573,12.315,0.790073526,192,17.362,12.035,0.78711473,192,18.042 +11.885,0.795690106,197,15.935,11.585,0.792633605,197,16.701,11.255,0.789577103,197,17.234,10.935,0.786519615,197,17.755 +9.445,0.795780903,200,15.925,9.085,0.792723415,200,16.543,8.735,0.789568221,200,16.997,8.335,0.786510733,200,17.477 +6.005,0.79389588,196,13.317,5.585,0.790740686,196,13.786,5.235,0.787584505,196,14.156,4.835,0.784429312,196,14.553 +4.245,0.793593881,188,8.944,3.885,0.790339995,188,9.279,3.565,0.787283494,188,9.579,3.235,0.784127313,188,9.903 +4.645,0.795369356,187,6.991,4.285,0.792214162,187,7.352,3.985,0.789058969,187,7.685,3.735,0.786002467,187,8.04 +4.905,0.797735998,202,7.09,4.635,0.794580804,202,7.728,4.375,0.791524303,201,8.306,4.135,0.788467802,201,8.912 +5.165,0.80010264,209,7.179,4.985,0.797046139,208,8.103,4.765,0.793990624,208,8.938,4.535,0.790934123,208,9.774 +4.725,0.797439921,195,12.137,4.485,0.79438342,195,13.242,4.215,0.791228226,196,14.077,3.935,0.788171725,196,14.85 +3.525,0.797928448,191,11.165,3.285,0.794773254,192,12.195,3.015,0.791716753,192,12.992,2.735,0.788561559,192,13.769 +3.025,0.796842832,185,11.244,2.785,0.793687639,187,12.363,2.535,0.790631137,187,13.268,2.335,0.787475944,187,14.146 +2.565,0.796840859,180,10.531,2.385,0.793784357,181,11.661,2.165,0.790629164,181,12.607,1.935,0.787572662,181,13.541 +2.305,0.798121885,183,9.787,2.185,0.795065384,184,10.92,1.985,0.792008882,184,11.907,1.835,0.788952381,184,12.877 +2.105,0.79851468,187,8.547,1.985,0.795459166,188,9.655,1.815,0.792402665,188,10.644,1.635,0.789346163,188,11.618 +1.805,0.797823834,191,8.716,1.685,0.794767333,192,9.872,1.535,0.791711818,192,10.91,1.335,0.788655317,192,11.935 +1.545,0.798710091,193,7.367,1.485,0.795654577,195,8.469,1.335,0.792598075,196,9.431,1.235,0.789541574,196,10.379 +1.145,0.799694054,193,6.713,1.085,0.796638539,195,7.827,0.985,0.79368073,196,8.799,0.835,0.790625216,196,9.745 +0.625,0.800381939,191,6.237,0.685,0.797425117,194,7.392,0.665,0.794369603,196,8.405,0.635,0.791412781,196,9.388 +0.935,0.79880681,185,5.979,0.935,0.795750308,187,7.076,0.875,0.792793486,188,8.089,0.835,0.789737972,188,9.08 +1.245,0.797230693,191,5.711,1.185,0.794174192,194,6.75,1.085,0.791118678,195,7.764,1.035,0.788160868,195,8.773 +0.645,0.798213669,198,5.354,0.585,0.795158154,200,6.354,0.565,0.792201332,201,7.389,0.535,0.789243523,201,8.476 +0.825,0.79841204,185,5.047,0.585,0.795256847,188,5.929,0.535,0.792201332,190,6.915,0.535,0.789243523,190,7.96 +3.185,0.797730076,199,6.247,2.885,0.794574883,199,6.483,2.635,0.791419689,199,6.826,2.435,0.788363188,199,7.306 +5.945,0.797443869,215,7.883,5.585,0.794288675,215,8.183,5.285,0.791232174,215,8.434,5.035,0.78807698,215,8.714 +7.545,0.796070072,222,9.341,7.185,0.792913891,222,9.596,6.865,0.78985739,222,9.786,6.535,0.786702196,222,10.012 +8.145,0.795874661,223,9.043,7.785,0.792719467,224,9.24,7.455,0.789661979,224,9.372,7.135,0.786506785,224,9.536 +8.545,0.797157661,221,7.962,8.185,0.794100173,221,8.103,7.865,0.790944979,221,8.208,7.535,0.787888478,221,8.337 +8.745,0.796467802,219,7.774,8.385,0.793312608,219,7.926,8.065,0.790256107,219,8.02,7.735,0.787198618,219,8.139 +8.645,0.797256353,210,7.893,8.285,0.79410116,210,8.044,7.985,0.791044658,210,8.138,7.735,0.78798717,210,8.268 +8.185,0.79883247,208,7.814,7.885,0.795774981,209,7.995,7.585,0.792619788,209,8.119,7.335,0.789563286,209,8.258 +6.895,0.799813472,198,6.653,6.685,0.79675697,199,7.204,6.415,0.793601776,200,7.626,6.135,0.790545275,200,8.03 +5.605,0.800695781,201,5.493,5.485,0.797737972,203,6.404,5.235,0.794582778,203,7.142,5.035,0.791527264,203,7.792 +4.625,0.800100666,200,6.128,4.685,0.797242536,203,7.471,4.515,0.794186035,204,8.316,4.335,0.791130521,204,8.902 +3.705,0.801083642,200,5.672,3.885,0.798225512,206,7.016,3.815,0.795267703,208,7.892,3.735,0.792310881,208,8.496 +2.725,0.802361707,206,5.89,3.085,0.799700962,211,7.53,3.135,0.79674414,212,8.454,3.235,0.79388601,212,8.962 +1.745,0.80235776,215,6.019,2.285,0.799796694,215,7.985,2.455,0.796939551,215,9.135,2.635,0.794081421,215,9.774 +0.865,0.80196003,218,6.207,1.285,0.799201579,217,8.054,1.635,0.796541821,216,9.529,2.035,0.79378337,216,10.677 +0.185,0.800183568,223,5.9,0.485,0.797425117,221,7.609,0.915,0.794765359,221,9.155,1.335,0.792105601,221,10.488 +-0.275,0.80185739,232,5.007,0.085,0.799098939,229,6.562,0.505,0.796439181,229,7.764,0.935,0.793779423,229,8.714 +-0.535,0.80175771,230,4.68,0.185,0.799296324,236,6.107,0.515,0.796439181,240,6.925,0.835,0.793779423,240,7.455 +-0.655,0.800771774,228,5.285,0.485,0.798607451,249,6.423,0.715,0.795750308,256,6.994,0.935,0.792990871,256,7.366 +-0.575,0.803729583,214,4.76,0.685,0.80156526,252,5.307,0.835,0.798609425,263,5.692,1.035,0.795751295,263,5.978 +-0.575,0.802546262,243,4.839,0.235,0.800084875,261,5.564,0.335,0.797128053,267,6.057,0.435,0.794269924,267,6.453 +-0.575,0.801363928,250,4.918,-0.215,0.798605477,272,5.821,-0.165,0.795648655,279,6.422,-0.065,0.792691833,279,6.919 +-0.835,0.802151493,249,6.287,-0.115,0.799690106,273,7.323,-0.135,0.796633605,280,7.616,-0.165,0.793676783,280,7.633 +-0.595,0.799688132,262,5.265,-0.115,0.797028374,282,6.611,-0.115,0.794071552,288,6.974,-0.065,0.791016038,288,6.949 +0.485,0.800973106,286,4.244,0.185,0.797817913,288,4.674,0.065,0.794663706,289,5.297,-0.065,0.791607205,289,6.017 +1.685,0.799893412,305,4.353,1.385,0.796738219,304,4.417,1.065,0.793484333,304,4.479,0.735,0.790329139,304,4.56 +2.745,0.798615347,303,4.294,2.385,0.795361461,302,4.358,2.065,0.792206267,302,4.41,1.735,0.789051073,302,4.481 +3.905,0.797043178,317,3.58,3.485,0.793788305,316,3.617,3.185,0.790633111,316,3.66,2.935,0.787477918,316,3.708 +5.965,0.798429805,320,1.458,5.485,0.795175919,317,1.482,5.135,0.792019738,316,1.499,4.835,0.788864545,316,1.517 +6.945,0.798728843,319,1.339,6.585,0.795573649,315,1.344,6.285,0.792418455,313,1.361,6.035,0.789361954,313,1.378 +7.145,0.798927214,355,2.667,6.785,0.795771034,354,2.668,6.485,0.79261584,354,2.664,6.235,0.789559339,354,2.667 +7.245,0.800405625,33,2.489,6.885,0.797250432,32,2.48,6.585,0.79419393,32,2.456,6.335,0.791038737,32,2.419 +7.025,0.801686652,73,2.36,6.785,0.798630151,76,2.411,6.535,0.795474957,78,2.368,6.335,0.792418455,78,2.32 +6.805,0.802868986,136,2.231,6.685,0.799911177,129,2.332,6.485,0.796854676,133,2.289,6.335,0.793798174,133,2.221 +5.905,0.8033585,221,4.819,6.085,0.80050037,223,5.504,5.955,0.797542561,225,6.018,5.835,0.794487047,225,6.483 +4.565,0.805325438,239,6.158,4.685,0.802368616,246,7.313,4.685,0.799410807,249,8.296,4.735,0.796552677,249,9.17 +3.405,0.803645695,263,6.039,3.585,0.800787565,265,7.293,3.665,0.797929435,266,8.405,3.735,0.794972613,266,9.358 +2.645,0.804233901,235,5.077,2.885,0.801376758,251,6.087,3.135,0.798617321,255,6.994,3.435,0.795857883,255,7.703 +1.985,0.804329632,240,5.989,2.585,0.801769553,253,7.57,2.955,0.799011103,257,8.247,3.335,0.796351345,257,8.387 +1.785,0.804527017,242,5.672,2.385,0.801965951,258,7.135,2.835,0.799306193,262,7.833,3.335,0.796646435,262,8.059 +1.585,0.804032568,208,6.247,3.085,0.802066617,235,7.125,3.435,0.799406859,241,7.122,3.835,0.796648409,241,6.711 +1.405,0.803539107,222,5.93,3.085,0.801672835,246,7.016,3.485,0.799013077,252,7.201,3.835,0.796352332,252,6.949 +1.165,0.804524056,224,6.564,2.785,0.802559092,248,7.758,3.235,0.799899334,255,8.089,3.735,0.797239576,255,7.97 +1.105,0.803636812,239,5.751,2.185,0.801373797,260,7.026,2.705,0.798812731,266,7.695,3.235,0.796152973,266,8.05 +1.505,0.804032568,226,5.176,2.435,0.801669874,254,6.147,2.755,0.798911424,261,6.54,3.035,0.796152973,261,6.652 +1.905,0.804329632,237,4.611,2.685,0.801868246,268,5.277,2.815,0.799010116,275,5.396,2.935,0.796151986,275,5.264 +1.845,0.802555144,229,6.425,3.585,0.800787565,260,6.552,3.605,0.797830743,266,6.096,3.635,0.794971626,266,5.561 +2.345,0.801078707,239,5.503,4.085,0.799310141,270,6.018,4.255,0.796452998,278,5.998,4.435,0.793594868,278,5.779 +3.265,0.801081668,191,3.133,3.685,0.79842191,222,3.409,4.015,0.795762151,236,3.63,4.335,0.793002714,236,3.698 +5.645,0.797541574,208,2.132,5.285,0.79438638,211,2.312,5.205,0.791428571,216,2.703,5.135,0.788471749,216,3.232 +8.445,0.797255366,268,2.32,8.085,0.794100173,267,2.411,7.785,0.791043671,267,2.506,7.535,0.787888478,267,2.617 +10.445,0.796868492,279,2.529,10.085,0.793811004,278,2.589,9.755,0.790754503,277,2.654,9.435,0.787698001,277,2.746 +12.245,0.797170491,262,2.608,11.885,0.794113003,261,2.678,11.585,0.791056501,261,2.752,11.335,0.788,261,2.835 +13.345,0.798159388,271,3.471,12.985,0.795102887,270,3.558,12.685,0.792045398,269,3.62,12.435,0.788988897,269,3.698 +13.945,0.797866272,248,3.946,13.585,0.794808784,248,4.032,13.285,0.791850975,248,4.104,13.035,0.788793486,248,4.193 +13.885,0.799541081,259,5.364,13.585,0.796484579,259,5.475,13.285,0.793428078,259,5.554,13.035,0.790469282,259,5.651 +12.555,0.800523069,246,5.86,12.285,0.797465581,247,6.315,12.015,0.79440908,248,6.659,11.735,0.791451271,248,6.979 +11.225,0.801504071,234,6.356,10.985,0.79844757,236,7.155,10.735,0.795391068,236,7.764,10.535,0.792433259,236,8.307 +9.365,0.802286701,218,7.229,9.185,0.7992302,220,8.262,8.985,0.796272391,222,9.145,8.835,0.793215889,222,9.943 +7.765,0.802871947,233,7.556,7.585,0.799815445,235,8.647,7.565,0.796858623,236,9.727,7.535,0.793900814,236,10.795 +6.605,0.802670614,228,7.04,6.485,0.799713792,234,8.311,6.865,0.797053047,237,9.598,7.235,0.794393289,237,10.766 +5.625,0.80109055,218,7.516,5.685,0.79823242,224,9.102,6.335,0.795770047,228,10.21,7.035,0.793209968,228,10.934 +5.205,0.80237059,216,7.546,5.685,0.799809524,224,9.536,6.315,0.797248458,227,10.753,6.935,0.794786084,227,11.38 +4.545,0.802171231,214,7.189,5.385,0.799709845,225,9.2,5.985,0.797247471,229,10.407,6.535,0.794686405,229,10.984 +3.985,0.800197385,216,7.675,4.885,0.79783469,225,9.833,5.565,0.795373304,229,11.118,6.235,0.79291093,229,11.767 +3.585,0.801378732,222,6.703,4.485,0.799016038,233,8.696,5.135,0.796455959,237,9.914,5.835,0.793993585,237,10.558 +3.365,0.800983962,223,5.94,4.385,0.798719961,241,7.56,4.955,0.796158895,247,8.543,5.535,0.793598816,247,9.071 +3.365,0.802561066,229,5.692,4.685,0.800495435,253,6.908,5.165,0.797835677,260,7.616,5.635,0.795175919,260,8 +3.535,0.80088527,232,5.483,4.735,0.798721934,260,6.552,5.075,0.795962497,268,7.103,5.435,0.793302739,268,7.326 +3.705,0.799210461,235,5.265,4.785,0.796947446,268,6.186,4.985,0.794188009,277,6.59,5.235,0.791428571,277,6.652 +3.825,0.800591167,230,6.296,5.385,0.798625216,264,6.819,5.455,0.795767086,272,6.955,5.535,0.792908956,272,6.949 +4.325,0.800198372,235,6.029,5.885,0.79823242,263,6.957,5.935,0.795374291,270,7.3,6.035,0.792516161,270,7.425 +5.545,0.799808537,217,3.153,5.485,0.796851715,236,3.815,5.635,0.793993585,245,4.548,5.835,0.791234148,245,5.353 +7.885,0.799620035,211,2.687,7.585,0.796463854,213,2.836,7.315,0.793407353,214,2.989,7.035,0.790350851,214,3.172 +9.885,0.796275352,252,3.461,9.585,0.793217863,252,3.548,9.255,0.79006267,252,3.63,8.935,0.787006168,252,3.737 +11.545,0.7975623,267,4.789,11.185,0.794406119,267,4.892,10.885,0.791349618,267,4.962,10.535,0.788293116,267,5.066 +12.845,0.798059709,269,6.495,12.485,0.795002221,269,6.611,12.165,0.791945719,269,6.708,11.835,0.788888231,269,6.82 +13.445,0.797666913,262,6.376,13.085,0.794610412,262,6.493,12.755,0.791552924,262,6.56,12.435,0.788496422,262,6.662 +13.645,0.798555144,264,6.187,13.285,0.795497656,264,6.305,12.985,0.792539847,264,6.373,12.735,0.789483346,264,6.473 +13.585,0.799638786,261,6.346,13.285,0.796582285,261,6.483,12.985,0.793623489,261,6.59,12.735,0.790566987,261,6.711 +12.725,0.799635825,246,6.505,12.485,0.796579324,245,7.066,12.225,0.793522823,245,7.497,11.935,0.790565014,245,7.851 +11.865,0.799534172,209,6.673,11.685,0.796576363,212,7.649,11.455,0.793519862,213,8.395,11.235,0.790562053,213,8.991 +10.105,0.803274611,215,7.199,9.985,0.80021811,217,8.36,9.835,0.797260301,218,9.411,9.735,0.794303479,218,10.399 +8.705,0.803664446,221,6.981,8.585,0.800706637,224,8.153,8.565,0.797748828,226,9.283,8.535,0.794890698,226,10.389 +7.485,0.804546755,213,7.318,7.485,0.801589933,218,8.736,7.665,0.798830496,221,10.082,7.835,0.795972366,221,11.301 +6.625,0.802867999,213,7.457,6.685,0.800009869,219,9.023,7.085,0.797350111,222,10.467,7.535,0.794689366,222,11.747 +6.085,0.802964717,216,7.169,6.385,0.800206267,223,8.914,6.885,0.797645201,226,10.279,7.435,0.795084135,226,11.321 +5.565,0.803258821,200,7.467,6.285,0.800797434,210,9.408,6.765,0.798235381,214,10.684,7.235,0.795575623,214,11.45 +4.985,0.802369603,198,7.972,5.885,0.800006908,204,10.317,6.385,0.797445843,207,11.7,6.935,0.794786084,207,12.411 +4.445,0.802860104,196,7.328,5.285,0.800497409,200,9.566,5.835,0.797936343,203,10.891,6.435,0.795376264,203,11.618 +4.285,0.802860104,198,7.318,5.185,0.800596102,203,9.309,5.665,0.797936343,206,10.437,6.135,0.795276585,206,11.063 +4.205,0.802761411,197,6.763,5.285,0.800497409,204,8.41,5.705,0.797837651,209,9.263,6.135,0.795276585,209,9.725 +4.115,0.803056501,205,7.02,5.285,0.800892179,216,8.499,5.685,0.79823242,220,9.263,6.035,0.795571675,220,9.755 +4.025,0.803450284,202,7.288,5.285,0.801285961,214,8.598,5.665,0.798626203,220,9.253,6.035,0.795966445,220,9.774 +3.925,0.803548976,197,8.994,5.785,0.80178041,208,10.791,6.315,0.799220331,213,11.404,6.835,0.796659265,213,11.618 +4.765,0.802171231,211,9.013,5.485,0.799611152,216,11.562,6.235,0.797248458,219,13.258,7.035,0.794787071,219,14.295 +7.305,0.802673575,214,8.329,7.185,0.799715766,217,9.803,7.435,0.796956329,218,11.157,7.735,0.794197878,218,12.471 +10.485,0.80150111,218,9.152,10.185,0.798444609,218,9.606,9.885,0.795388108,219,9.954,9.535,0.792231927,219,10.339 +12.545,0.798058722,218,8.656,12.185,0.795001234,218,8.983,11.885,0.791944732,218,9.253,11.535,0.788887244,218,9.536 +13.745,0.79835776,219,9.688,13.385,0.795301258,219,9.922,13.065,0.79224377,219,10.092,12.735,0.789187269,219,10.3 +14.345,0.796388848,218,9.727,13.985,0.793331359,218,9.951,13.665,0.79037355,218,10.111,13.335,0.787316062,218,10.29 +14.585,0.798163336,220,9.251,14.285,0.795106834,220,9.457,13.955,0.792050333,220,9.608,13.635,0.789091537,220,9.784 +14.585,0.797769553,220,8.716,14.285,0.794712065,220,8.934,13.985,0.791754256,220,9.085,13.735,0.788697755,220,9.259 +14.245,0.799444362,220,8.766,13.885,0.796386874,220,9.131,13.615,0.793330373,220,9.391,13.335,0.790371577,220,9.646 +13.775,0.799442388,210,8.022,13.535,0.796484579,211,8.736,13.295,0.793428078,211,9.303,13.035,0.790469282,211,9.824 +13.305,0.799539107,196,7.278,13.185,0.796581298,198,8.351,12.985,0.793623489,199,9.214,12.835,0.79066568,199,10.002 +12.005,0.801210955,202,7.605,11.885,0.798253146,205,8.677,11.765,0.795295337,206,9.638,11.635,0.792337528,206,10.567 +10.745,0.802488034,214,8.775,10.685,0.799530225,216,9.981,10.585,0.796573402,217,11.068,10.535,0.793615593,217,12.144 +10.185,0.803175919,211,8.151,10.185,0.800219097,213,9.378,10.115,0.797261288,214,10.516,10.035,0.794402171,214,11.648 +9.485,0.800216136,202,9.093,9.485,0.797358006,205,10.416,9.485,0.79449889,206,11.65,9.535,0.791542068,206,12.877 +8.585,0.796171725,195,9.767,8.585,0.793214903,197,11.127,8.585,0.790355786,198,12.39,8.535,0.787497656,198,13.65 +7.745,0.796168764,201,10.887,7.685,0.793211942,202,12.274,7.635,0.790254133,203,13.554,7.535,0.787395016,203,14.86 +7.105,0.79803997,202,11.185,6.985,0.795082161,203,12.55,6.885,0.792124352,204,13.761,6.835,0.78916753,204,14.999 +6.305,0.796459906,205,11.334,6.185,0.793502097,206,12.639,6.035,0.790445596,207,13.791,5.835,0.787487787,207,14.949 +5.165,0.793794226,208,11.968,4.985,0.790737725,210,13.272,4.815,0.787682211,210,14.403,4.635,0.784724402,210,15.534 +4.325,0.794579817,222,10.421,4.085,0.791523316,222,11.572,3.885,0.788466815,223,12.578,3.735,0.7854113,223,13.601 +4.525,0.79369356,220,11.958,4.285,0.790538367,221,13.163,4.075,0.787481865,221,14.195,3.835,0.784425364,221,15.256 +4.725,0.792708611,214,13.505,4.485,0.78965211,215,14.754,4.265,0.786496916,215,15.823,4.035,0.783539107,215,16.902 +4.085,0.791523316,207,14.061,3.785,0.788466815,208,15.703,3.615,0.785410313,208,17.165,3.435,0.782353812,208,18.637 +4.845,0.791131508,208,15.925,4.485,0.787976314,209,16.928,4.215,0.784919812,209,17.776,3.935,0.781764619,209,18.686 +5.445,0.791528251,215,16.52,5.085,0.788373057,215,17.136,4.785,0.785217863,215,17.559,4.535,0.782160375,215,18.002 +5.005,0.789752776,219,15.944,4.585,0.786497903,219,16.563,4.255,0.783441401,219,17.027,3.935,0.780286208,219,17.517 +5.005,0.79024525,226,14.427,4.585,0.786991364,226,14.863,4.235,0.783835184,226,15.202,3.835,0.780778682,226,15.574 +4.805,0.790047866,228,14.655,4.385,0.786891685,228,15.041,4.065,0.783736491,228,15.3,3.735,0.780679003,228,15.603 +4.745,0.789258327,237,14.17,4.385,0.786103133,237,14.527,4.065,0.78294794,237,14.768,3.735,0.779890452,237,15.038 +4.445,0.790539354,241,12.593,4.085,0.787383173,241,12.906,3.755,0.784227979,241,13.1,3.435,0.781171478,241,13.333 +3.945,0.790931162,249,11.929,3.585,0.787775968,249,12.234,3.255,0.784620775,249,12.41,2.935,0.781465581,249,12.62 +3.145,0.792407599,267,11.641,2.785,0.789251419,267,11.967,2.485,0.786096225,267,12.163,2.235,0.783039724,267,12.382 +1.615,0.793978781,284,11.8,1.285,0.790724895,284,12.224,0.975,0.787569701,284,12.479,0.635,0.784414508,284,12.758 +0.085,0.795452258,320,11.968,-0.215,0.792297064,320,12.471,-0.545,0.789043178,320,12.805,-0.865,0.785886997,320,13.135 +-2.115,0.795148285,327,12.613,-2.415,0.791894399,326,13.084,-2.745,0.788739206,326,13.396,-3.065,0.78548532,326,13.72 +-3.455,0.798297557,324,13.128,-3.815,0.794944979,324,13.687,-4.115,0.791691093,324,14.038,-4.465,0.788535899,324,14.404 +-4.315,0.79760375,326,12.395,-4.615,0.794350851,326,13.084,-4.915,0.791194671,327,13.525,-5.165,0.787941772,327,13.968 +-4.915,0.797799161,328,11.314,-5.215,0.794545275,328,12.195,-5.485,0.791291389,328,12.775,-5.765,0.788037503,328,13.333 +-5.415,0.798289662,331,10.372,-5.715,0.795035776,332,11.256,-5.985,0.791782877,332,11.867,-6.265,0.788528991,332,12.441 +-5.975,0.800850728,336,9.142,-6.215,0.797596842,336,10.021,-6.485,0.794342956,336,10.664,-6.765,0.79108907,336,11.281 +-6.275,0.800652356,345,7.764,-6.515,0.79739847,345,8.617,-6.765,0.794243277,346,9.322,-6.965,0.790990377,346,10.002 +-6.535,0.800848754,349,7.357,-6.715,0.797594868,349,8.212,-6.965,0.794341969,350,8.938,-7.165,0.791088083,350,9.646 +-6.835,0.801734024,352,6.763,-7.015,0.798579817,353,7.748,-7.215,0.795325931,354,8.573,-7.465,0.792170738,354,9.378 +-7.095,0.803211448,353,7.268,-7.215,0.800057242,354,8.38,-7.385,0.796804342,355,9.332,-7.565,0.793649149,355,10.23 +-7.795,0.803701949,0,6.604,-7.915,0.80044905,1,7.639,-8.085,0.797293856,2,8.523,-8.265,0.794040957,2,9.378 +-8.495,0.804093758,6,5.93,-8.615,0.800938564,7,6.898,-8.795,0.797685665,7,7.724,-8.965,0.794431779,7,8.525 +-8.595,0.80478263,17,5.801,-8.715,0.801529731,18,6.591,-8.945,0.798276832,18,7.221,-9.165,0.795022946,18,7.802 +-8.415,0.805572169,25,7.615,-8.715,0.80221959,25,7.935,-9.045,0.798867012,25,8.178,-9.365,0.795514434,25,8.426 +-7.955,0.801828769,31,7.536,-8.315,0.79847619,31,7.758,-8.635,0.795123612,31,7.912,-8.965,0.791771034,31,8.099 +-7.055,0.802226499,25,7.199,-7.415,0.798873921,25,7.333,-7.745,0.795521342,26,7.428,-8.065,0.792267456,26,7.554 +-5.855,0.801540587,24,6.931,-6.215,0.798188009,24,7.056,-6.565,0.794934123,24,7.152,-6.965,0.791581545,24,7.266 +-4.655,0.801545522,22,6.584,-5.015,0.798192943,22,6.7,-5.365,0.794939057,22,6.777,-5.665,0.791586479,22,6.89 +-3.495,0.801648162,29,6.891,-3.915,0.798295584,29,7.007,-4.245,0.795041698,29,7.093,-4.565,0.791787811,29,7.207 +-2.455,0.802046879,38,7.496,-2.815,0.798792993,38,7.639,-3.135,0.795539107,38,7.754,-3.465,0.792285221,38,7.881 +-1.655,0.802443622,42,7.952,-2.015,0.799189736,42,8.123,-2.335,0.79593585,42,8.257,-2.665,0.792681964,42,8.406 +-1.255,0.804022699,46,7.774,-1.615,0.800670121,46,7.995,-1.945,0.797514927,46,8.148,-2.265,0.794260054,46,8.327 +-2.065,0.805990624,33,6.872,-2.365,0.802736738,33,7.313,-2.635,0.799482852,34,7.635,-2.865,0.796328645,34,7.96 +-2.875,0.807959536,33,5.969,-3.115,0.804804342,35,6.621,-3.335,0.801550456,35,7.113,-3.565,0.798395263,35,7.594 +-3.395,0.809534666,25,6.158,-3.515,0.806379472,27,6.957,-3.665,0.803225265,28,7.556,-3.765,0.800070072,28,8.149 +-3.755,0.809927461,22,5.414,-3.815,0.80687096,27,6.226,-3.865,0.803716753,29,6.866,-3.965,0.800661239,29,7.494 +-4.175,0.810516654,11,5.265,-4.115,0.807462127,21,6.097,-4.085,0.804406612,25,6.708,-4.065,0.801351098,25,7.326 +-4.235,0.811797681,12,4.155,-4.115,0.808841846,32,4.803,-4.135,0.805687639,38,5.436,-4.165,0.802631137,38,6.146 +-4.255,0.81031927,348,4.452,-4.015,0.807363434,16,4.585,-4.035,0.80430792,25,4.834,-4.065,0.801252406,25,5.244 +-4.695,0.812289169,352,4.551,-4.215,0.809530718,24,4.872,-4.295,0.806376511,31,5.13,-4.365,0.803222304,31,5.492 +-4.835,0.811105848,357,4.403,-4.415,0.808347397,29,4.684,-4.495,0.80519319,37,5.011,-4.565,0.802137676,37,5.452 +-5.195,0.810808784,354,4.73,-4.715,0.808050333,26,4.961,-4.765,0.804896126,33,5.218,-4.865,0.801840612,33,5.581 +-5.735,0.812087836,24,5.751,-5.315,0.809329386,44,6.69,-5.235,0.806274858,49,7.32,-5.165,0.803219344,49,7.921 +-6.535,0.812183568,24,6.316,-6.115,0.809425117,42,7.461,-5.765,0.806567974,48,8.356,-5.465,0.803809524,48,9.15 +-6.875,0.811196644,350,5.255,-6.665,0.808240809,17,6.068,-6.315,0.805383666,27,6.748,-5.965,0.802526524,27,7.435 +-7.215,0.810111029,4,4.184,-7.215,0.806956822,26,4.674,-6.865,0.804099679,31,5.13,-6.465,0.801341229,31,5.71 +-7.515,0.808138169,353,3.173,-7.515,0.805082655,24,3.488,-7.085,0.802325191,31,3.847,-6.665,0.799566741,31,4.411 +-7.915,0.80803849,323,2.41,-7.915,0.804884283,2,2.49,-7.245,0.802324204,14,2.644,-6.565,0.799764125,14,3.093 +-7.195,0.80587318,345,4.105,-7.615,0.802420923,347,4.328,-7.315,0.79956378,348,4.488,-6.965,0.796706637,348,4.6 +-5.555,0.803316062,334,3.114,-5.915,0.799963484,334,3.202,-6.165,0.796709598,334,3.265,-6.465,0.793456699,334,3.331 +-2.595,0.802933136,335,2.538,-3.015,0.79967925,335,2.589,-3.315,0.796425364,335,2.614,-3.565,0.793171478,335,2.637 +-0.595,0.803532198,5,3.074,-1.015,0.80017962,4,3.123,-1.315,0.797023439,4,3.137,-1.565,0.79377054,4,3.162 +0.705,0.802452504,11,3.52,0.285,0.799198618,11,3.597,-0.045,0.795944732,11,3.63,-0.365,0.792690846,11,3.678 +2.105,0.802753516,31,2.687,1.685,0.799498643,31,2.728,1.365,0.796343449,31,2.762,1.035,0.793089563,31,2.796 +3.645,0.803843079,29,1.815,3.285,0.800589193,29,1.828,2.955,0.797434,29,1.855,2.635,0.794180113,29,1.874 +3.845,0.805322477,21,2.965,3.485,0.802068591,21,3.044,3.185,0.798913397,21,3.098,2.935,0.795758204,21,3.162 +4.415,0.806211695,344,4.313,4.385,0.803254873,350,4.734,4.195,0.800198372,352,4.824,4.035,0.797043178,352,4.818 +4.985,0.807199605,321,5.662,5.285,0.804440168,332,6.423,5.205,0.801483346,336,6.55,5.135,0.798426844,336,6.473 +4.125,0.810054774,303,6.564,4.785,0.807494695,318,7.352,4.955,0.804636566,324,7.132,5.135,0.801778436,324,6.572 +2.745,0.809852455,291,6.386,3.585,0.807391068,308,7.076,4.105,0.804830989,316,6.915,4.635,0.802171231,316,6.503 +2.505,0.81034493,293,5.315,3.885,0.808280286,327,5.821,4.265,0.805520849,338,5.771,4.635,0.802861091,338,5.621 +2.425,0.812118431,294,4.74,3.385,0.809756723,329,4.813,3.785,0.806998273,341,4.479,4.235,0.804338515,341,4.104 +1.585,0.809356033,297,5.573,2.485,0.806993338,323,5.554,2.965,0.80433358,332,4.775,3.435,0.801673822,332,3.876 +0.425,0.811026894,296,6.227,1.385,0.808665186,318,6.335,1.935,0.806005428,325,5.386,2.535,0.803445349,325,4.193 +-0.555,0.80905206,291,6.525,0.585,0.806789045,311,6.542,1.205,0.804228966,317,5.376,1.835,0.8016679,317,3.985 +-1.115,0.80776906,297,6.158,0.085,0.805604737,319,6.176,0.785,0.803044658,325,5.09,1.535,0.800583272,325,3.797 +-1.355,0.808063163,291,5.513,-0.215,0.805800148,316,5.149,0.615,0.803339748,322,3.916,1.435,0.800977054,322,2.558 +-1.695,0.808456945,298,5.325,-0.615,0.80619393,319,5.119,0.205,0.803732544,324,3.966,1.035,0.801271157,324,2.627 +-3.235,0.807761165,323,4.908,-2.215,0.80539847,339,4.832,-1.425,0.802938071,345,4.104,-0.665,0.800476684,345,3.37 +-4.775,0.807064397,303,4.492,-3.815,0.804702689,343,4.546,-3.065,0.80214261,357,4.242,-2.365,0.799681224,357,4.104 +-4.995,0.807458179,272,3.907,-3.615,0.805393536,308,2.678,-2.735,0.802933136,324,1.697,-1.865,0.800570442,324,1.725 +-4.735,0.804502344,265,3.242,-3.115,0.802636072,308,2.54,-1.915,0.800471749,327,1.677,-0.665,0.798307427,327,2.112 +-3.235,0.80283247,256,2.667,-2.515,0.800272391,272,2.056,-1.065,0.79830644,283,1.184,0.435,0.796340489,283,1.477 +0.445,0.802352825,282,1.408,0.085,0.799098939,278,1.403,1.005,0.796737232,273,1.085,1.935,0.79447323,273,0.654 +3.705,0.80059018,345,1.368,3.285,0.797336294,339,1.334,3.035,0.7941811,336,1.243,2.835,0.791124599,336,1.11 +6.145,0.802866025,359,1.735,5.785,0.799710831,356,1.66,5.485,0.796555638,354,1.559,5.235,0.793400444,354,1.437 +7.005,0.800799408,24,2.995,6.585,0.797545522,24,2.955,6.285,0.794488034,23,2.851,6.035,0.79133284,23,2.726 +8.205,0.801099433,44,2.35,7.785,0.79784456,46,2.322,7.485,0.794788058,46,2.249,7.235,0.791731557,46,2.171 +9.345,0.802188009,54,2.053,8.985,0.799031828,56,2.026,8.685,0.795975327,57,1.973,8.435,0.792918826,57,1.903 +9.985,0.803372317,50,1.745,9.685,0.800315815,52,1.7,9.415,0.797259314,54,1.608,9.135,0.794202813,54,1.497 +9.835,0.804358253,28,2.291,9.685,0.801301752,30,2.125,9.615,0.798343943,31,1.746,9.535,0.795387121,31,1.348 +9.685,0.80524451,360,2.836,9.685,0.802385394,2,2.55,9.815,0.799527264,359,1.884,9.935,0.796669134,359,1.209 +9.185,0.80612978,341,3.024,9.185,0.803172958,340,2.678,9.365,0.800413521,333,2.269,9.535,0.797654083,333,2.052 +8.765,0.808494449,274,1.706,8.885,0.805636319,258,1.838,9.065,0.802876881,248,2.308,9.235,0.800018752,248,2.924 +9.145,0.808495435,238,2.776,9.385,0.805637306,226,3.4,9.455,0.802779176,222,4.163,9.535,0.799921046,222,4.907 +8.845,0.810367629,205,6.257,9.685,0.808004935,200,7.461,9.635,0.805047126,198,8.533,9.535,0.802089317,198,9.507 +6.765,0.806023193,198,10.293,7.485,0.803560819,194,12.382,7.735,0.800802369,192,14.107,8.035,0.798042931,192,15.683 +5.105,0.807889465,186,10.957,5.585,0.805230693,184,13.005,6.315,0.80276832,183,14.906,7.035,0.800306933,183,16.753 +4.865,0.805917592,186,10.798,5.285,0.803159141,185,12.728,6.235,0.800895139,184,14.442,7.235,0.798632124,184,16.02 +5.005,0.806213669,186,9.955,5.485,0.803553911,184,11.878,6.385,0.801191216,183,13.732,7.335,0.798927214,183,15.425 +4.905,0.807100913,192,9.281,5.385,0.804441155,190,11.127,6.285,0.80207846,188,13.091,7.235,0.799814458,188,14.939 +4.465,0.806113003,197,9.717,4.885,0.803354552,195,11.552,5.915,0.801091537,194,13.663,6.935,0.798926227,194,15.732 +4.345,0.805225759,195,10.005,4.885,0.802566,192,11.948,5.835,0.800301999,191,13.909,6.835,0.798038983,191,15.703 +4.225,0.804338515,198,10.293,4.885,0.801876141,195,12.343,5.765,0.799513447,194,14.156,6.635,0.797150753,194,15.673 +4.325,0.804535899,201,10.382,4.985,0.801974833,198,12.531,5.835,0.799612139,198,14.205,6.735,0.797249445,198,15.484 +5.245,0.805426104,199,9.737,5.485,0.802666667,198,11.612,6.215,0.80020528,198,13.268,6.935,0.797743893,198,14.612 +7.605,0.800801382,206,8.091,7.485,0.797843573,206,9.289,7.665,0.795084135,207,10.536,7.835,0.792226992,207,11.737 +9.965,0.801893906,209,6.406,9.785,0.798837404,211,6.997,9.585,0.795879595,212,7.487,9.435,0.792823094,212,7.95 +11.725,0.801012583,219,5.226,11.485,0.797956082,221,5.722,11.235,0.794998273,221,6.116,11.035,0.791941772,221,6.463 +13.185,0.799539107,227,5.662,12.885,0.796482605,227,5.88,12.615,0.79352381,228,6.047,12.335,0.790467308,228,6.245 +14.545,0.799247964,233,6.852,14.185,0.796190476,233,7.016,13.885,0.793133975,233,7.132,13.535,0.790077473,233,7.276 +15.085,0.798559092,238,8.091,14.785,0.795502591,238,8.281,14.455,0.792446089,238,8.395,14.135,0.789487293,238,8.535 +14.985,0.798657784,238,8.498,14.685,0.795601283,238,8.726,14.385,0.792642487,238,8.859,14.035,0.789585986,238,9.021 +14.485,0.799543055,231,7.824,14.185,0.796486553,232,8.271,13.885,0.793430052,232,8.563,13.535,0.790471256,232,8.823 +13.585,0.801314582,211,7.863,13.435,0.798356773,212,8.677,13.195,0.795398964,212,9.283,12.935,0.792342462,212,9.784 +12.685,0.803086109,208,7.903,12.685,0.800226992,210,9.082,12.515,0.797269183,211,9.993,12.335,0.794311374,211,10.746 +10.965,0.801995559,208,7.982,11.085,0.799137429,211,9.339,11.005,0.796278312,213,10.476,10.935,0.79332149,213,11.499 +9.465,0.803272638,212,8.974,9.585,0.800512213,215,10.455,9.585,0.797555391,217,11.729,9.535,0.794696274,217,12.897 +8.325,0.799621021,219,8.884,8.385,0.796664199,222,10.287,8.385,0.793805083,223,11.552,8.435,0.790946953,223,12.778 +7.625,0.800998766,227,8.458,7.685,0.798140637,230,9.744,7.635,0.795182828,231,10.91,7.535,0.792225019,231,12.054 +7.225,0.80060301,233,6.544,7.285,0.79774488,237,7.609,7.185,0.794787071,239,8.582,7.035,0.79173057,239,9.546 +7.045,0.802376511,249,4.224,6.985,0.799419689,255,4.961,6.835,0.796363188,256,5.722,6.735,0.793405379,256,6.493 +7.005,0.802179127,213,1.309,6.885,0.799222304,257,1.69,6.685,0.796165803,263,2.21,6.535,0.793109302,263,2.746 +6.265,0.801289909,177,2.776,6.685,0.798630151,201,2.253,6.485,0.795573649,211,2.141,6.335,0.792517148,211,2.23 +5.205,0.800103627,189,5.107,6.585,0.798136689,202,4.714,6.385,0.795080188,207,4.419,6.235,0.792023686,207,4.322 +4.125,0.80226795,207,6.207,5.985,0.80050037,220,6.315,5.865,0.797542561,225,6.205,5.735,0.79448606,225,6.255 +4.025,0.799901308,194,6.842,5.435,0.797935357,205,7.402,5.335,0.794977547,209,7.379,5.235,0.791922033,209,7.326 +3.925,0.797634345,199,7.486,4.885,0.795370343,204,8.499,4.815,0.792413521,206,8.553,4.735,0.789357019,206,8.387 +3.225,0.796547742,210,7.486,4.185,0.79428374,206,9.349,4.235,0.791326918,207,9.963,4.335,0.788468789,207,9.983 +3.245,0.799603257,217,6.961,3.185,0.796646435,216,8.301,3.455,0.793886997,216,9.697,3.735,0.79112756,216,10.954 +5.285,0.796455959,231,6.217,4.985,0.793399457,232,6.473,4.715,0.790244264,232,6.787,4.435,0.787187762,232,7.197 +6.645,0.795376264,275,5.751,6.285,0.792319763,275,5.87,5.985,0.789164569,275,5.978,5.735,0.786108068,275,6.107 +7.145,0.795378238,315,6.971,6.785,0.792223045,314,7.076,6.455,0.789067851,314,7.122,6.135,0.786010363,314,7.207 +7.145,0.795969405,328,7.328,6.785,0.792814212,327,7.422,6.455,0.78975771,327,7.478,6.135,0.786602517,327,7.554 +7.145,0.794294597,333,7.734,6.785,0.791138416,333,7.847,6.455,0.787983222,333,7.912,6.135,0.784926721,333,8 +7.145,0.795180854,337,7.843,6.785,0.79202566,337,7.965,6.455,0.788969159,337,8.05,6.135,0.785813965,337,8.159 +7.145,0.796364175,340,7.278,6.785,0.793208981,339,7.402,6.455,0.790151493,339,7.478,6.135,0.786996299,339,7.594 +6.985,0.797054034,340,5.979,6.685,0.793996546,340,6.087,6.385,0.790841352,340,6.166,6.035,0.787784851,340,6.255 +6.945,0.798532445,323,4.978,6.735,0.795475944,325,5.238,6.445,0.792418455,326,5.357,6.135,0.789361954,326,5.442 +6.905,0.800109548,304,3.986,6.785,0.797053047,311,4.388,6.515,0.793996546,313,4.548,6.235,0.790841352,313,4.62 +5.945,0.802471256,302,6.425,6.185,0.799711818,309,7.57,5.985,0.796656304,311,7.941,5.835,0.793599803,311,7.97 +4.705,0.803058475,300,7.457,4.885,0.800200345,306,8.953,4.865,0.797243523,309,10.102,4.835,0.794285714,309,10.994 +3.905,0.803942758,311,7.824,4.085,0.801084629,317,9.477,4.185,0.798127807,319,10.782,4.335,0.795269677,319,11.876 +3.705,0.80216827,322,5.513,3.885,0.799310141,331,6.72,4.065,0.796452011,335,7.773,4.235,0.793593881,335,8.753 +3.285,0.802264989,316,6.941,3.885,0.799703923,333,7.837,3.955,0.796747101,339,8.188,4.035,0.793888971,339,8.446 +2.945,0.805122132,320,4.879,3.485,0.802561066,349,5.415,3.435,0.799505551,357,5.672,3.435,0.796548729,357,5.898 +3.385,0.8037434,332,1.577,3.385,0.800786578,40,1.828,3.285,0.797731063,55,2.525,3.235,0.794674562,55,3.321 +3.345,0.804630644,308,0.645,3.285,0.80157513,72,0.702,3.185,0.798617321,90,1.49,3.035,0.795560819,90,2.33 +3.465,0.804828029,139,1.22,3.285,0.801772514,126,1.888,3.235,0.798716013,124,2.673,3.235,0.795759191,124,3.499 +3.285,0.80561658,171,1.715,3.285,0.802658771,140,2.233,3.205,0.799603257,135,2.851,3.135,0.796547742,135,3.48 +3.275,0.806109055,2,1.081,3.185,0.803052554,91,1.532,3.085,0.799997039,95,2.072,3.035,0.796941525,95,2.637 +3.265,0.806502837,183,0.446,3.085,0.803447323,135,0.82,2.955,0.800390822,128,1.292,2.835,0.79733432,128,1.794 +2.885,0.803150259,157,2.985,2.885,0.800193437,146,3.281,2.785,0.797136936,143,3.63,2.735,0.794081421,143,3.975 +2.765,0.803544041,212,2.072,3.185,0.800884283,174,2.006,3.085,0.797828769,165,2.131,3.035,0.794772267,165,2.28 +2.905,0.799799655,222,1.795,3.385,0.797139896,197,1.69,3.315,0.794182087,187,1.628,3.235,0.791126573,187,1.695 +4.745,0.798425857,177,1.775,4.385,0.795270664,177,1.838,4.085,0.792114483,176,1.914,3.835,0.788960276,176,2.002 +6.845,0.797940291,158,1.299,6.485,0.794785097,160,1.334,6.165,0.791628917,162,1.371,5.835,0.788473723,162,1.428 +8.145,0.798141623,24,0.307,7.785,0.79498643,17,0.267,7.485,0.791929928,12,0.217,7.235,0.788774735,12,0.178 +8.945,0.79725734,18,1.349,8.585,0.794200839,17,1.314,8.285,0.791045645,16,1.253,8.035,0.787988157,16,1.209 +9.145,0.79765211,30,3.272,8.785,0.794496916,30,3.271,8.485,0.791440415,29,3.236,8.235,0.788383913,29,3.222 +9.345,0.797850481,18,3.272,8.985,0.794792993,18,3.281,8.685,0.791637799,17,3.265,8.435,0.788581298,17,3.252 +9.385,0.799033802,23,3.242,9.085,0.795976314,23,3.251,8.785,0.792919812,23,3.236,8.535,0.789863311,23,3.232 +8.825,0.799228226,45,3.758,8.585,0.796171725,45,4.042,8.325,0.793115223,45,4.153,8.035,0.790058722,45,4.183 +8.265,0.799423637,67,4.274,8.085,0.796465828,68,4.823,7.855,0.793409326,69,5.061,7.635,0.790352825,69,5.125 +8.005,0.801394523,104,2.41,7.885,0.798436714,101,2.935,7.665,0.795380212,101,3.216,7.435,0.792323711,101,3.301 +7.745,0.804152973,170,1.448,7.685,0.801196151,153,1.561,7.515,0.79813965,150,1.657,7.335,0.795083148,150,1.715 +7.085,0.802869973,244,3.133,7.385,0.800110535,252,2.856,7.235,0.797152726,254,2.693,7.035,0.794096225,254,2.647 +5.665,0.803849988,238,5.969,6.985,0.801785344,259,6.018,6.885,0.798827535,263,5.929,6.835,0.795870713,263,5.918 +4.385,0.802466321,249,7.318,5.585,0.800301999,271,8.894,5.755,0.797542561,277,9.52,5.935,0.794684431,277,9.596 +3.985,0.803351591,263,7.695,4.885,0.800988897,280,9.161,5.185,0.798230447,287,9.835,5.535,0.795471009,287,10.092 +4.045,0.802070565,262,6.941,5.185,0.79980755,287,7.926,5.435,0.797048113,295,8.109,5.735,0.794289662,295,7.931 +4.125,0.803548976,266,5.553,5.085,0.801285961,298,6.068,5.335,0.798526524,308,6.156,5.535,0.795767086,308,6.017 +3.745,0.802562053,262,5.94,4.885,0.800299038,296,6.097,5.165,0.797540587,305,5.712,5.435,0.794879842,305,5.165 +3.565,0.803843079,269,5.265,4.585,0.801579077,303,5.287,4.835,0.798721934,312,4.863,5.035,0.795962497,312,4.332 +3.955,0.802956822,268,4.234,4.735,0.800594128,297,3.963,4.885,0.797735998,306,3.502,5.035,0.794877868,306,3.093 +4.345,0.802170244,269,3.213,4.885,0.799609178,309,2.639,4.935,0.796652356,319,2.151,5.035,0.793794226,319,1.864 +4.465,0.800790526,248,2.628,4.885,0.798130767,259,1.729,4.915,0.795271651,254,1.075,4.935,0.792314829,254,0.625 +4.565,0.800691833,244,2.925,5.285,0.798230447,243,2.293,5.285,0.795372317,236,1.943,5.335,0.792415495,236,1.725 +4.745,0.799313101,232,2.172,5.585,0.796950407,238,1.917,5.535,0.793992598,230,1.756,5.535,0.791035776,230,1.626 +6.425,0.796657291,205,2.618,6.185,0.793502097,207,3.133,6.065,0.790544288,207,3.443,5.935,0.787587466,207,3.559 +8.745,0.795285468,258,2.548,8.385,0.792130274,257,2.639,8.085,0.789072786,257,2.733,7.835,0.786016284,257,2.845 +10.285,0.795980262,285,3.361,9.985,0.79292376,284,3.439,9.665,0.789867259,283,3.512,9.335,0.786809771,283,3.598 +11.545,0.796181594,302,3.312,11.185,0.793125093,301,3.37,10.885,0.790068591,301,3.423,10.535,0.787011103,301,3.489 +12.485,0.795494695,307,3.213,12.185,0.792438194,306,3.261,11.865,0.789381693,305,3.295,11.535,0.786422897,305,3.351 +13.045,0.796285221,316,3.738,12.685,0.793228719,315,3.795,12.385,0.79027091,314,3.828,12.035,0.787213422,314,3.886 +12.985,0.796581298,336,4.551,12.685,0.793524796,335,4.625,12.385,0.790566,335,4.666,12.035,0.787509499,335,4.719 +13.095,0.797468542,340,4.76,12.885,0.79441204,340,5.109,12.625,0.791454231,339,5.357,12.335,0.78839773,339,5.571 +13.205,0.79825808,346,4.958,13.085,0.795300271,346,5.593,12.855,0.792342462,346,6.047,12.635,0.789383666,346,6.424 +12.705,0.800523069,320,4.016,12.585,0.79756526,329,4.457,12.355,0.794607451,330,4.824,12.135,0.791649642,330,5.165 +11.105,0.801405379,278,6.019,11.585,0.798843326,290,6.898,11.455,0.795886504,293,7.349,11.335,0.792928695,293,7.603 +9.185,0.801890945,282,7.119,9.485,0.799132494,286,8.607,9.685,0.796373057,289,9.687,9.935,0.79361362,289,10.488 +8.105,0.803957562,297,7.853,8.585,0.801396496,301,9.625,8.665,0.798538367,304,10.743,8.735,0.79567925,304,11.44 +7.105,0.802081421,311,7.288,7.585,0.799520355,316,9.013,7.565,0.796562546,319,10.18,7.535,0.793605724,319,11.004 +6.505,0.803064397,307,7.139,6.985,0.800503331,318,8.558,6.865,0.797447816,321,9.421,6.735,0.794490007,321,9.993 +5.845,0.803259808,306,7.199,6.385,0.800698742,319,8.588,6.285,0.797740933,322,9.283,6.235,0.794784111,322,9.655 +5.525,0.803061436,307,5.91,5.885,0.800302985,322,6.957,5.865,0.797345176,327,7.478,5.835,0.794388354,327,7.722 +5.065,0.802764372,303,6.049,5.485,0.800005922,322,6.74,5.465,0.797048113,327,6.965,5.435,0.794189983,327,7.058 +4.405,0.802860104,308,6.217,4.885,0.800200345,327,6.848,4.865,0.797243523,332,7.014,4.835,0.794285714,332,7.068 +4.975,0.80227091,311,5.007,5.335,0.79951246,325,5.465,5.235,0.796554651,328,5.554,5.135,0.793596842,328,5.532 +5.545,0.801681717,324,3.798,5.785,0.79892228,349,4.081,5.615,0.795865778,354,4.084,5.435,0.792809277,354,3.985 +5.425,0.800004935,287,3.183,5.785,0.797345176,322,2.688,5.615,0.794288675,329,2.368,5.435,0.791232174,329,2.151 +4.885,0.799411794,302,3.391,5.485,0.79694942,341,3.241,5.365,0.793893906,346,2.959,5.235,0.790936097,346,2.796 +4.905,0.7975396,341,2.34,4.785,0.794581791,360,3.014,4.705,0.791623982,8,3.236,4.635,0.78866716,8,3.172 +7.205,0.796462867,316,0.853,6.785,0.793208981,314,0.88,6.455,0.790151493,313,0.908,6.135,0.786996299,313,0.942 +8.445,0.795185788,311,1.963,8.085,0.792030595,310,1.996,7.755,0.788973106,309,2.032,7.435,0.785817913,309,2.082 +9.545,0.797259314,331,2.538,9.185,0.794202813,329,2.569,8.865,0.791047619,329,2.585,8.535,0.787990131,329,2.627 +10.545,0.794404145,8,2.4,10.185,0.791347644,7,2.392,9.865,0.788290155,7,2.377,9.535,0.785233654,7,2.379 +11.045,0.795194671,28,3.411,10.685,0.792039477,28,3.429,10.365,0.788981989,28,3.413,10.035,0.785925487,28,3.41 +11.245,0.795392055,26,3.48,10.885,0.792236862,25,3.498,10.585,0.789279053,25,3.502,10.335,0.786221564,25,3.499 +11.185,0.796081915,25,3.708,10.885,0.793025413,24,3.755,10.585,0.789968912,24,3.749,10.335,0.787011103,24,3.757 +11.925,0.797760671,16,3.401,11.685,0.79470417,17,3.548,11.415,0.791745374,17,3.532,11.135,0.788688872,17,3.46 +12.665,0.799438441,12,3.084,12.485,0.796480632,15,3.34,12.235,0.79342413,15,3.315,12.035,0.790367629,15,3.152 +12.505,0.79825512,284,2.291,12.385,0.795396003,299,2.312,12.135,0.792339502,303,2.328,11.935,0.789381693,303,2.359 +11.385,0.801011596,240,5.295,11.685,0.798350851,251,5.682,11.515,0.795393042,253,5.939,11.335,0.792435233,253,6.225 +9.605,0.801399457,237,6.168,9.785,0.79864002,245,7.343,9.915,0.795880582,247,8.395,10.035,0.793022453,247,9.418 +8.385,0.802085369,233,7.863,8.685,0.799425611,240,9.447,9.065,0.796764866,242,10.91,9.435,0.794105107,242,12.273 +7.665,0.798731804,227,8.518,8.085,0.796170738,234,10.317,8.535,0.793509993,237,11.996,9.035,0.790948927,237,13.522 +7.005,0.800208241,227,8.736,7.485,0.797647175,233,10.653,8.015,0.795086109,236,12.489,8.535,0.792525043,236,14.176 +6.565,0.800403652,226,9.023,6.985,0.797743893,231,11.048,7.585,0.79528152,234,12.982,8.235,0.792720454,234,14.741 +6.205,0.799318036,221,9.965,6.685,0.796658278,226,12.175,7.365,0.794195904,229,14.087,8.035,0.79183321,229,15.703 +5.985,0.799514434,224,9.896,6.585,0.79705206,228,12.195,7.235,0.794491981,230,13.959,7.835,0.792029608,230,15.306 +5.905,0.80079546,224,9.688,6.685,0.798334074,229,12.056,7.235,0.795773008,232,13.495,7.835,0.793211942,232,14.364 +5.715,0.79852751,216,9.043,6.435,0.796066124,221,11.305,6.945,0.793505058,225,12.588,7.435,0.790943992,225,13.284 +5.525,0.796259561,225,8.399,6.185,0.793798174,231,10.554,6.665,0.791237108,234,11.68,7.135,0.78857735,234,12.193 +5.345,0.797935357,219,8.28,6.185,0.795572662,226,10.643,6.565,0.792911917,230,11.68,6.935,0.790252159,230,11.896 +5.845,0.798133728,219,6.544,5.785,0.795176906,223,7.906,6.185,0.792516161,226,9.194,6.535,0.789856403,226,10.399 +7.985,0.797353072,235,5.949,7.685,0.794295584,236,6.157,7.435,0.791239082,237,6.471,7.235,0.788182581,237,6.89 +9.945,0.796374044,256,7.119,9.585,0.793217863,256,7.362,9.285,0.790161362,256,7.566,9.035,0.787104861,256,7.812 +11.545,0.794408093,269,8.012,11.185,0.791350604,269,8.202,10.885,0.788294103,269,8.356,10.535,0.785335307,269,8.525 +12.645,0.793918579,275,7.02,12.285,0.790763385,274,7.155,11.985,0.787804589,274,7.261,11.735,0.784748088,274,7.395 +13.545,0.794710091,276,6.564,13.185,0.791652603,275,6.69,12.885,0.788694794,275,6.777,12.535,0.785638293,275,6.9 +14.145,0.79421959,277,6.296,13.785,0.791162102,276,6.414,13.485,0.788204293,276,6.491,13.235,0.785146805,276,6.602 +14.085,0.79520454,295,6.842,13.785,0.792246731,294,6.957,13.455,0.789189243,294,7.034,13.135,0.786132741,294,7.138 +13.185,0.7963849,318,6.237,12.885,0.793327412,318,6.335,12.585,0.79027091,318,6.383,12.335,0.787214409,318,6.453 +12.855,0.798157414,302,4.67,12.585,0.795199605,302,4.852,12.285,0.792143104,302,4.982,12.035,0.789086603,302,5.105 +12.525,0.800029608,288,3.114,12.285,0.796973106,290,3.38,11.985,0.794015297,290,3.581,11.735,0.790957809,290,3.757 +11.025,0.79844757,253,5.533,10.785,0.795391068,255,6.068,10.515,0.792432272,255,6.412,10.235,0.789375771,255,6.691 +9.425,0.802286701,258,6.148,9.185,0.7992302,259,6.789,8.915,0.796074019,260,7.27,8.635,0.793017518,260,7.703 +8.125,0.799916112,259,6.237,7.885,0.79685961,260,7.076,7.635,0.793803109,261,7.813,7.435,0.790746607,261,8.555 +7.165,0.800800395,244,5.464,6.985,0.797743893,247,6.394,6.885,0.794786084,248,7.339,6.835,0.791828275,248,8.307 +6.445,0.800403652,246,5.702,6.385,0.797445843,249,6.908,6.565,0.794587713,251,8.099,6.735,0.791828275,251,9.269 +6.045,0.800105601,261,4.482,5.985,0.797148779,263,5.346,6.185,0.794389341,265,6.304,6.435,0.791629904,265,7.306 +5.465,0.800990871,281,4.234,5.585,0.798132741,287,5.119,5.605,0.795274611,291,5.82,5.635,0.792317789,291,6.394 +4.665,0.800495435,307,5.107,4.785,0.797637306,319,6.087,4.665,0.794580804,323,6.511,4.535,0.791623982,323,6.662 +3.685,0.801970886,326,5.612,3.685,0.799013077,337,6.423,3.565,0.795957562,343,6.728,3.435,0.792901061,343,6.781 +2.885,0.801376758,338,5.335,2.885,0.798418949,348,6.147,2.765,0.795363434,353,6.56,2.635,0.792405625,353,6.81 +2.385,0.801867259,323,5.692,2.385,0.798910437,331,6.582,2.265,0.795853935,335,6.935,2.135,0.792798421,335,7.098 +1.885,0.802358747,320,6.049,1.885,0.799302245,329,7.007,1.765,0.796246731,333,7.32,1.635,0.793190229,333,7.375 +1.405,0.8003849,328,4.75,1.285,0.797329386,336,5.663,1.165,0.794272884,341,6.096,1.035,0.79121737,341,6.335 +1.545,0.799006168,334,4.492,1.185,0.795849988,336,4.753,0.935,0.792694794,338,5.031,0.735,0.789540587,338,5.313 +2.045,0.802852208,9,4.591,1.685,0.799597335,9,4.704,1.365,0.796343449,9,4.794,1.035,0.793188256,9,4.897 +2.805,0.798517641,18,4.611,2.385,0.795262768,18,4.684,2.065,0.792107575,18,4.745,1.735,0.788952381,18,4.818 +3.745,0.798619294,36,4.264,3.385,0.795464101,36,4.319,3.065,0.792308907,36,4.36,2.735,0.789152726,36,4.421 +4.805,0.797637306,41,3.5,4.385,0.79438342,41,3.548,4.065,0.791227239,41,3.581,3.735,0.788072045,41,3.628 +5.945,0.798923267,66,3.223,5.585,0.795767086,67,3.251,5.255,0.792611892,67,3.285,4.935,0.789456699,67,3.321 +6.945,0.798433753,58,3.302,6.585,0.795277572,58,3.33,6.255,0.792122378,58,3.364,5.935,0.789065877,58,3.41 +7.645,0.798337034,62,3.589,7.285,0.795181841,62,3.627,6.955,0.792125339,63,3.66,6.635,0.788969159,63,3.708 +8.045,0.799916112,49,3.233,7.685,0.796759931,50,3.271,7.385,0.793604737,50,3.295,7.035,0.790548236,50,3.321 +8.355,0.801494202,49,3.441,8.085,0.7984377,49,3.716,7.795,0.795282507,50,3.828,7.535,0.792225019,50,3.866 +8.665,0.803072292,59,3.649,8.485,0.800114483,61,4.16,8.215,0.796959289,62,4.36,7.935,0.793902788,62,4.411 +8.505,0.804845793,79,3.51,8.385,0.801888971,77,4.101,8.135,0.798831483,77,4.37,7.935,0.795774981,77,4.471 +8.445,0.806225512,81,3.034,8.385,0.803169998,81,3.656,8.135,0.800113496,82,4.015,7.935,0.797056995,82,4.253 +8.445,0.807014064,105,2.687,8.385,0.804057242,97,3.35,8.205,0.80100074,97,3.877,8.035,0.798042931,97,4.332 +8.425,0.806521589,149,1.983,8.485,0.803564767,120,2.589,8.435,0.800606958,118,3.226,8.435,0.797748828,118,3.817 +8.005,0.805928448,178,4.155,8.785,0.803467061,159,4.516,8.835,0.800608932,158,5.061,8.935,0.797749815,158,5.66 +6.665,0.807106834,190,7.199,8.585,0.805339255,184,8.924,8.935,0.80267851,185,9.707,9.335,0.800018752,185,10.002 +5.405,0.803356526,194,10.074,7.085,0.801489267,195,13.262,7.885,0.799126573,196,14.738,8.735,0.796763879,196,15.088 +4.765,0.802664693,197,11.096,5.485,0.800202319,196,13.756,6.435,0.797939304,196,15.892,7.435,0.795675302,196,17.546 +4.665,0.803157168,193,11.78,5.085,0.800497409,192,14.171,5.985,0.798134715,192,16.75,6.835,0.795870713,192,19.212 +4.745,0.803649642,197,11.889,4.985,0.800792499,195,13.875,5.665,0.798330126,194,15.892,6.335,0.795868739,194,17.963 +5.195,0.803355539,190,11.205,5.435,0.800498396,189,13.114,5.955,0.79793733,188,14.995,6.535,0.795376264,188,16.902 +5.645,0.803061436,193,10.521,5.885,0.800204293,191,12.353,6.265,0.797543548,190,14.097,6.635,0.79488379,190,15.851 +5.925,0.802471256,190,11.423,5.985,0.799613126,190,13.183,6.315,0.796853689,189,14.985,6.635,0.79419393,189,16.882 +7.605,0.802378485,198,11.78,7.485,0.799322971,197,13.222,7.435,0.796365162,197,14.58,7.435,0.79340834,197,15.98 +10.125,0.800810264,203,11.185,9.885,0.797753763,203,12.363,9.635,0.794697261,203,13.387,9.435,0.79164076,203,14.364 +12.345,0.800127313,210,13.317,11.985,0.796972119,210,13.924,11.685,0.793915618,210,14.373,11.435,0.790859117,210,14.83 +13.345,0.796483592,209,12.781,12.985,0.793427091,209,13.321,12.685,0.790369603,209,13.732,12.435,0.787411794,209,14.166 +14.145,0.79776758,210,12.514,13.785,0.794612386,210,12.906,13.485,0.79165359,210,13.219,13.235,0.788597089,210,13.541 +14.545,0.797276092,212,12.296,14.185,0.79421959,212,12.63,13.865,0.791162102,212,12.864,13.535,0.788105601,212,13.115 +14.545,0.797473476,215,11.284,14.185,0.794416975,215,11.582,13.865,0.791359487,215,11.788,13.535,0.788401678,215,12.025 +14.245,0.797571182,221,10.828,13.885,0.794513694,221,11.137,13.585,0.791457192,221,11.345,13.335,0.788400691,221,11.589 +13.645,0.798259067,222,11.155,13.285,0.795202566,222,11.622,12.985,0.792145078,222,11.936,12.735,0.789187269,222,12.273 +13.435,0.799736491,214,10.412,13.135,0.79667999,215,11.108,12.865,0.793623489,215,11.64,12.535,0.790566987,215,12.144 +13.225,0.80111621,219,9.668,12.985,0.798059709,220,10.604,12.735,0.7951019,220,11.335,12.535,0.792045398,220,12.015 +11.525,0.797068838,217,10.649,11.285,0.794012337,218,11.671,11.035,0.791054528,219,12.509,10.835,0.787998026,219,13.294 +10.125,0.798838391,226,9.331,9.885,0.79578189,227,10.278,9.635,0.792725389,227,11.068,9.435,0.78976758,227,11.836 +8.925,0.796370096,240,9.926,8.685,0.793313595,240,10.93,8.415,0.790257094,240,11.779,8.135,0.787200592,240,12.62 +7.925,0.800309894,243,8.478,7.685,0.7971547,244,9.398,7.435,0.794098199,244,10.2,7.235,0.791041698,244,11.004 +6.965,0.799222304,240,7.843,6.785,0.796165803,241,8.746,6.565,0.793109302,241,9.549,6.335,0.7900528,241,10.369 +6.165,0.799711818,260,6.723,5.985,0.796656304,258,7.57,5.785,0.793599803,258,8.365,5.535,0.790641007,258,9.17 +5.365,0.800004935,305,7.04,5.185,0.796948433,305,7.896,4.935,0.793891932,305,8.553,4.735,0.790736738,305,9.15 +3.785,0.799309154,317,9.341,3.485,0.79615396,318,10.06,3.165,0.792998766,318,10.555,2.835,0.789843573,318,10.994 +2.185,0.799599309,318,9.569,1.885,0.796444115,319,10.297,1.585,0.793288922,319,10.822,1.335,0.790133728,319,11.341 +1.585,0.801765606,320,9.003,1.285,0.798610412,321,9.783,1.015,0.795455218,321,10.378,0.735,0.792300025,321,10.954 +1.305,0.801370836,329,8.884,1.035,0.79811695,330,9.685,0.765,0.794961757,330,10.259,0.535,0.791806563,330,10.815 +1.025,0.800876388,357,8.766,0.785,0.797721194,357,9.576,0.515,0.794566,357,10.141,0.235,0.791410807,357,10.677 +0.385,0.8028453,0,7.784,0.085,0.799690106,0,8.39,-0.215,0.79643622,0,8.819,-0.465,0.793281026,0,9.219 +0.145,0.801760671,11,6.009,-0.215,0.798506785,11,6.167,-0.515,0.795252899,11,6.284,-0.865,0.792096718,11,6.434 +0.445,0.800381939,6,5.612,0.085,0.797128053,6,5.702,-0.245,0.793971873,6,5.781,-0.565,0.790717987,6,5.879 +1.045,0.800285221,14,6.247,0.685,0.797031335,14,6.344,0.335,0.793777449,14,6.422,0.035,0.790622255,14,6.523 +1.645,0.79762645,20,6.564,1.285,0.794372564,20,6.661,0.955,0.791216383,20,6.718,0.635,0.788061189,20,6.82 +2.345,0.799895386,21,6.108,1.985,0.7966415,21,6.196,1.635,0.793486306,21,6.254,1.335,0.79023242,21,6.344 +3.005,0.797631384,12,4.66,2.585,0.794376511,12,4.724,2.255,0.791221318,12,4.765,1.935,0.787967432,12,4.828 +3.645,0.800393782,12,3.51,3.285,0.797237602,12,3.558,2.955,0.794082408,12,3.581,2.635,0.790927214,12,3.628 +4.145,0.801282013,4,3.956,3.785,0.798028127,4,4.012,3.455,0.794872934,4,4.045,3.135,0.79171774,4,4.094 +4.245,0.801874167,9,3.004,3.885,0.798620281,9,3.044,3.585,0.795464101,8,3.078,3.335,0.792309894,8,3.123 +5.185,0.803257834,10,2.806,4.885,0.800101653,8,2.975,4.595,0.796946459,7,3.068,4.335,0.793791266,7,3.113 +6.125,0.804640513,37,2.598,5.885,0.80148532,34,2.905,5.615,0.798330126,33,3.058,5.335,0.795273624,33,3.103 +5.605,0.806807797,353,3.114,5.485,0.803751295,3,3.4,5.215,0.800596102,5,3.581,4.935,0.797440908,5,3.727 +4.625,0.808972119,1,3.818,4.685,0.80611399,10,4.506,4.485,0.802958796,13,4.893,4.335,0.799903282,13,5.135 +4.105,0.809068838,20,3.262,3.985,0.806013323,32,3.795,3.785,0.80285813,36,4.252,3.535,0.799801628,36,4.659 +3.565,0.809066864,54,4.175,3.385,0.80601135,63,4.605,3.185,0.802856156,66,4.962,3.035,0.799700962,66,5.294 +2.905,0.808177646,66,4.799,2.785,0.805121145,74,5.188,2.615,0.801966938,75,5.416,2.435,0.798910437,75,5.601 +2.125,0.809061929,87,5.652,2.185,0.806105107,90,6.364,2.015,0.802949914,91,6.649,1.835,0.799894399,91,6.771 +1.545,0.80925734,100,5.444,1.785,0.80639921,99,6.671,1.615,0.803342709,99,7.152,1.435,0.800188502,99,7.256 +1.465,0.809059956,113,5.612,1.885,0.806301505,105,6.789,1.705,0.803245004,104,7.162,1.535,0.80008981,104,7.147 +1.625,0.808074019,131,6.187,2.285,0.80551394,115,7.007,2.115,0.802457439,114,7.043,1.935,0.799303232,114,6.8 +2.065,0.809850481,123,5.791,2.785,0.807389094,107,6.335,2.565,0.804233901,105,6.284,2.335,0.801078707,105,6.067 +2.635,0.808669134,79,4.829,2.935,0.805910683,79,5.277,2.725,0.80275549,77,5.376,2.535,0.799600296,77,5.383 +3.205,0.807488774,70,3.857,3.085,0.804432272,77,4.21,2.885,0.801278066,76,4.479,2.735,0.798221564,76,4.699 +2.805,0.806895633,90,3.123,2.985,0.803939798,96,3.508,2.785,0.800883296,95,3.719,2.535,0.797728103,95,3.856 +2.585,0.805219837,75,2.449,2.885,0.8024604,83,2.767,2.705,0.799305206,83,2.979,2.535,0.796249692,83,3.202 +2.665,0.803346657,132,1.715,2.785,0.800389835,99,2.342,2.615,0.79733432,98,2.614,2.435,0.794277819,98,2.805 +3.945,0.802661732,83,1.993,3.585,0.799505551,84,2.046,3.285,0.796350358,84,2.101,3.035,0.793195164,84,2.171 +5.245,0.801384653,76,2.806,4.885,0.798130767,76,2.826,4.565,0.794975574,77,2.851,4.235,0.791819393,77,2.875 +7.005,0.80158796,71,2.33,6.585,0.798431779,72,2.332,6.255,0.795276585,73,2.328,5.935,0.792121392,73,2.32 +8.745,0.800904022,39,1.884,8.385,0.797748828,40,1.868,8.065,0.794592647,40,1.835,7.735,0.791536146,40,1.804 +9.245,0.800807303,39,3.312,8.885,0.79765211,39,3.32,8.565,0.794594621,39,3.315,8.235,0.791439428,39,3.301 +9.345,0.801004688,33,4.333,8.985,0.797849494,33,4.368,8.685,0.794792006,33,4.38,8.435,0.791735505,33,4.401 +9.185,0.802384407,42,4.571,8.885,0.799326918,42,4.635,8.565,0.796171725,43,4.666,8.235,0.793115223,43,4.709 +9.175,0.802679497,62,3.996,8.935,0.799622995,62,4.17,8.645,0.796566494,62,4.222,8.335,0.7934113,62,4.263 +9.165,0.802975574,94,3.431,8.985,0.799919072,91,3.706,8.735,0.796862571,91,3.778,8.535,0.79380607,91,3.817 +8.905,0.805142857,138,2.34,8.785,0.802186035,125,2.629,8.585,0.799129534,124,2.802,8.435,0.796073032,124,2.914 +8.845,0.808395756,140,2.043,8.785,0.805438934,128,2.431,8.635,0.802382433,129,2.723,8.535,0.799424624,129,2.954 +8.785,0.807016038,18,2.082,8.785,0.804058228,41,2.006,8.705,0.801101406,48,1.776,8.635,0.798143597,48,1.507 +8.365,0.810069578,10,1.924,8.485,0.807211448,34,1.888,8.415,0.804254626,39,1.618,8.335,0.801296817,39,1.269 +7.805,0.80888527,11,3.55,7.985,0.80602714,26,3.765,7.935,0.803070318,28,3.64,7.935,0.800112509,28,3.37 +7.185,0.808587219,53,2.291,7.485,0.805828769,56,2.994,7.415,0.80287096,57,3.167,7.335,0.799913151,57,3.133 +6.945,0.809276092,93,2.142,7.185,0.806517641,72,3.073,7.135,0.803559832,71,3.492,7.035,0.800602023,71,3.608 +6.865,0.810655811,120,1.963,6.985,0.807797681,89,2.984,6.965,0.804840859,85,3.532,6.935,0.80188305,85,3.668 +6.925,0.809473476,122,2.132,6.985,0.806516654,99,3.083,6.965,0.803558845,95,3.66,6.935,0.800602023,95,3.906 +6.825,0.811740439,85,1.557,6.885,0.808783617,88,2.569,6.885,0.805825808,87,3.295,6.935,0.802868986,87,3.727 +6.645,0.808980015,340,2.598,6.735,0.806120898,8,3.162,6.695,0.803065384,20,3.67,6.635,0.800108562,20,4.084 +6.465,0.806218604,23,3.639,6.585,0.803360474,44,3.745,6.505,0.800403652,51,4.045,6.435,0.79734715,51,4.441 +5.605,0.805230693,30,3.084,6.085,0.802570935,52,3.281,6.105,0.799613126,58,3.571,6.135,0.796754996,58,3.965 +5.385,0.804046385,76,2.36,6.285,0.801683691,80,2.925,6.285,0.798726869,79,3.275,6.335,0.795770047,79,3.708 +5.745,0.802372564,89,1.477,5.985,0.799613126,82,2.915,6.035,0.796656304,80,3.867,6.035,0.793797187,80,4.263 +7.945,0.801197138,134,1.448,7.585,0.798040957,133,1.512,7.255,0.794984456,132,1.588,6.935,0.791829262,132,1.685 +10.305,0.798543301,143,1.259,9.885,0.795388108,145,1.304,9.565,0.792330619,145,1.361,9.235,0.789274118,145,1.428 +11.645,0.798350851,79,1.596,11.285,0.79529435,81,1.591,10.985,0.792236862,83,1.569,10.735,0.78918036,83,1.556 +12.045,0.799436467,58,3.659,11.685,0.796281273,58,3.676,11.365,0.793223785,59,3.67,11.035,0.790167283,59,3.668 +12.445,0.799043671,58,4.363,12.085,0.79598717,58,4.398,11.755,0.792929682,59,4.4,11.435,0.78987318,59,4.421 +12.985,0.799439428,76,4.175,12.685,0.796382926,77,4.23,12.365,0.793326425,77,4.252,12.035,0.790268937,77,4.283 +13.485,0.800427338,85,3.788,13.185,0.797468542,86,3.844,12.885,0.79441204,87,3.867,12.535,0.791355539,87,3.906 +13.965,0.80180903,86,3.659,13.785,0.798851221,88,3.864,13.525,0.795892425,89,3.976,13.235,0.792835924,89,4.045 +14.445,0.803190723,122,3.53,14.385,0.800331606,120,3.884,14.165,0.797275105,120,4.074,13.935,0.794317296,120,4.183 +13.485,0.804567481,178,4.571,13.785,0.801906736,186,5,13.685,0.798948927,189,5.307,13.535,0.79608981,189,5.621 +12.045,0.804661239,223,6.515,12.585,0.802100173,234,7.767,12.665,0.799241056,237,8.809,12.735,0.796481619,237,9.685 +10.645,0.804952381,247,7.595,11.485,0.802687392,259,9.171,11.715,0.799927955,262,10.279,11.935,0.797169504,262,11.033 +9.565,0.803962497,243,7.804,10.885,0.801896867,260,9.606,11.165,0.799138416,264,10.506,11.435,0.796477671,264,10.706 +8.865,0.804059215,232,7.338,10.785,0.802389341,253,9.042,11.035,0.799728596,258,9.766,11.335,0.796970146,258,9.745 +8.165,0.804549716,212,6.723,10.385,0.803078214,240,7.639,10.665,0.800318776,247,8.089,10.935,0.797659018,247,8.228 +7.205,0.803757217,202,7.923,10.085,0.80278115,225,8.607,10.355,0.800022699,230,8.819,10.635,0.797361954,230,9.061 +6.425,0.802965704,200,8.329,9.785,0.802287688,215,9.181,10.035,0.799528251,219,9.372,10.335,0.7967698,219,9.655 +6.125,0.803162102,198,8.557,9.785,0.802681471,208,9.447,9.955,0.799824328,212,9.51,10.135,0.797063903,212,9.675 +5.965,0.804541821,201,8.131,9.985,0.804259561,208,8.775,10.035,0.801401431,212,8.72,10.035,0.798542314,212,8.843 +6.035,0.803950654,197,8.022,9.785,0.803470022,206,8.42,9.745,0.800611892,210,8.198,9.735,0.797654083,210,8.168 +6.105,0.803359487,189,7.923,9.585,0.802780163,193,8.074,9.455,0.799723661,197,7.675,9.335,0.796765852,197,7.484 +5.545,0.801681717,192,9.39,9.685,0.801499136,184,10.11,9.515,0.798442635,186,9.707,9.335,0.795484826,186,9.418 +6.625,0.799911177,198,8.528,8.485,0.798143597,195,10.752,8.585,0.795383173,195,11.522,8.735,0.792525043,195,11.41 +8.185,0.801296817,193,6.644,8.185,0.798339008,194,7.935,8.315,0.795579571,195,9.016,8.435,0.792721441,195,9.943 +11.185,0.799138416,201,7.526,10.885,0.796080928,201,7.856,10.585,0.793024426,201,8.138,10.335,0.789967925,201,8.426 +13.045,0.797567234,215,10.223,12.685,0.794509746,215,10.485,12.385,0.791453245,216,10.664,12.035,0.788494449,216,10.875 +13.745,0.797076733,222,10.768,13.385,0.794019245,222,11.029,13.065,0.790962744,222,11.206,12.735,0.787905255,222,11.42 +13.945,0.795894399,229,11.532,13.585,0.792836911,230,11.809,13.285,0.789879102,230,11.996,13.035,0.786822601,230,12.203 +13.945,0.796288182,233,11.522,13.585,0.79323168,233,11.819,13.285,0.790273871,233,12.005,13.035,0.787216383,233,12.233 +13.685,0.796583272,230,11.076,13.385,0.79352677,230,11.384,13.065,0.790469282,230,11.591,12.735,0.787511473,230,11.817 +13.285,0.797962003,232,9.569,12.985,0.794905502,232,9.932,12.685,0.791946706,232,10.18,12.435,0.788890205,232,10.429 +12.395,0.799733531,228,9.212,12.185,0.796677029,228,9.961,11.925,0.79371922,229,10.545,11.635,0.790661732,229,11.103 +11.505,0.801406366,228,8.845,11.385,0.798448557,229,9.991,11.165,0.795490748,229,10.92,10.935,0.792434246,229,11.767 +9.685,0.799231187,221,8.25,9.685,0.796373057,223,9.507,9.515,0.793415248,225,10.526,9.335,0.790358747,225,11.45 +8.125,0.801789292,219,8.18,8.185,0.798930175,223,9.576,8.165,0.795973353,224,10.782,8.135,0.793114236,224,11.916 +6.965,0.800602023,217,7.992,7.085,0.797743893,221,9.497,7.255,0.794984456,224,10.822,7.435,0.792126326,224,12.074 +6.125,0.801486306,208,8.032,6.485,0.798826548,215,9.635,6.815,0.796165803,219,10.841,7.135,0.793407353,219,11.856 +5.505,0.799611152,210,8.716,5.985,0.797050086,217,10.604,6.385,0.794390328,220,11.966,6.835,0.79173057,220,13.036 +4.945,0.801186282,211,8.706,5.485,0.798625216,217,10.623,5.915,0.795965458,220,12.025,6.335,0.793404392,220,13.125 +4.585,0.801382679,214,8.309,5.185,0.798821614,220,10.139,5.565,0.796161855,223,11.502,5.935,0.79350111,223,12.629 +4.225,0.801775475,214,8.2,4.885,0.799214409,220,10.031,5.285,0.796554651,223,11.285,5.735,0.793894893,223,12.253 +3.885,0.800393782,210,7.595,4.785,0.798032075,218,9.378,5.135,0.795272638,222,10.427,5.535,0.792612879,222,11.113 +3.585,0.802364668,204,7.189,4.485,0.800001974,213,8.716,4.835,0.797243523,218,9.46,5.235,0.794582778,218,9.883 +3.695,0.801378732,195,7.05,4.535,0.799016038,204,8.321,4.725,0.796158895,209,8.78,4.935,0.793399457,209,8.932 +3.805,0.800393782,170,6.911,4.585,0.797932396,181,7.935,4.605,0.795074266,186,8.099,4.635,0.792116457,186,7.98 +3.565,0.796252652,180,6.901,3.685,0.793394523,181,8.37,3.885,0.79053738,183,9.312,4.035,0.787777942,183,9.933 +4.625,0.796847767,198,6.495,4.385,0.793791266,200,7.313,4.205,0.790735751,201,8.119,4.035,0.78767925,201,8.952 +6.385,0.79803701,230,6.842,6.085,0.794980508,230,7.135,5.785,0.791825315,230,7.379,5.535,0.788768813,230,7.643 +7.785,0.794789045,243,6.733,7.485,0.791633851,243,6.878,7.165,0.78857735,243,6.994,6.835,0.78542117,243,7.138 +9.045,0.794201826,233,6.911,8.685,0.791145324,233,7.046,8.365,0.787990131,233,7.132,8.035,0.784932642,233,7.256 +10.205,0.794107081,248,7.496,9.785,0.790951887,248,7.639,9.485,0.787895386,248,7.724,9.235,0.784837898,248,7.841 +10.905,0.794799901,260,8.091,10.485,0.791643721,260,8.252,10.185,0.788587219,260,8.356,9.935,0.785530718,260,8.476 +11.305,0.794899581,270,7.952,10.885,0.791842092,270,8.103,10.585,0.788785591,270,8.198,10.335,0.78572909,270,8.317 +11.445,0.794900567,282,7.903,11.085,0.791744387,282,8.054,10.755,0.788687886,282,8.148,10.435,0.785631384,282,8.268 +11.345,0.796280286,290,6.505,10.985,0.793222798,289,6.631,10.685,0.790166297,289,6.708,10.435,0.787109795,289,6.8 +11.705,0.797858377,317,5.414,11.435,0.794801875,317,5.761,11.145,0.791744387,317,5.998,10.835,0.788786578,317,6.225 +12.065,0.799436467,338,4.323,11.885,0.796478658,341,4.882,11.615,0.793422156,342,5.297,11.335,0.790365655,342,5.641 +11.365,0.801110289,325,1.963,11.185,0.79815248,344,2.342,10.935,0.795095978,348,2.683,10.735,0.792039477,348,3.014 +9.785,0.802583765,219,4.184,10.085,0.799824328,227,3.963,9.935,0.796866519,229,3.68,9.835,0.79390871,229,3.41 +7.785,0.80444905,245,6.862,8.385,0.801888971,255,8.173,8.455,0.799029854,259,8.75,8.535,0.796171725,259,8.922 +6.605,0.803262768,256,8.091,6.785,0.800404639,261,9.546,7.065,0.797743893,263,10.91,7.335,0.794984456,263,12.183 +6.105,0.803359487,254,8.438,6.285,0.800501357,257,9.863,6.705,0.797841599,259,11.118,7.135,0.795180854,259,12.203 +5.685,0.803357513,255,8.944,5.985,0.800599062,259,10.554,6.515,0.798037997,261,12.104,7.035,0.795476931,261,13.541 +5.525,0.803258821,250,7.853,5.885,0.800499383,255,9.359,6.515,0.798037997,258,10.753,7.135,0.795575623,258,11.995 +5.485,0.804638539,257,7.427,6.085,0.802077473,264,8.943,6.665,0.799516408,267,10.171,7.235,0.796956329,267,11.232 +5.505,0.803652603,255,6.872,6.885,0.80158796,275,8.489,7.165,0.798828522,282,9.283,7.435,0.796167777,282,9.616 +5.385,0.805229706,271,6.227,6.585,0.803065384,298,7.283,6.635,0.800108562,305,7.764,6.735,0.797249445,305,7.97 +4.815,0.803945719,288,6.584,5.835,0.801682704,305,7.372,5.885,0.798824574,310,7.468,5.935,0.795866765,310,7.306 +4.245,0.802761411,293,6.941,5.085,0.800300025,312,7.471,5.135,0.797441895,317,7.172,5.235,0.794485073,317,6.642 +3.545,0.802758451,302,5.176,4.085,0.800197385,328,5.781,4.205,0.797339255,335,5.623,4.335,0.794481125,335,5.145 +3.245,0.802067604,292,4.68,3.785,0.799407846,320,4.951,3.955,0.796648409,327,4.528,4.135,0.793791266,327,3.995 +3.845,0.800098692,290,1.973,3.485,0.796942512,294,2.125,3.665,0.794084382,301,2.131,3.835,0.791227239,301,2.151 +6.145,0.800106588,313,1.715,5.785,0.796950407,314,1.739,5.455,0.793795213,314,1.756,5.135,0.79064002,314,1.784 +7.945,0.800211202,318,1.725,7.585,0.797056008,317,1.739,7.255,0.793899827,317,1.756,6.935,0.790843326,317,1.774 +8.745,0.798834444,317,2.806,8.385,0.795678263,316,2.836,8.065,0.792621762,315,2.851,7.735,0.789466568,315,2.885 +9.445,0.799427585,349,3.808,9.085,0.796272391,348,3.844,8.755,0.793214903,348,3.847,8.435,0.790059709,348,3.876 +9.945,0.799725635,13,3.917,9.585,0.796668147,13,3.953,9.255,0.793512953,12,3.966,8.935,0.790456452,12,3.985 +10.345,0.799430545,25,4.115,9.985,0.796374044,24,4.151,9.685,0.79321885,24,4.163,9.435,0.790162349,24,4.203 +10.545,0.800614853,34,4.085,10.185,0.797458673,34,4.141,9.885,0.794402171,34,4.173,9.535,0.791344683,34,4.223 +10.325,0.802683444,30,4.036,10.085,0.799626943,29,4.328,9.795,0.796570442,29,4.488,9.535,0.79351394,29,4.59 +10.105,0.804851715,34,3.986,9.985,0.801795213,33,4.526,9.715,0.798738712,33,4.804,9.435,0.795682211,33,4.967 +9.845,0.804554651,49,2.717,9.785,0.801597829,42,3.32,9.515,0.798541327,40,3.64,9.235,0.795483839,40,3.757 +9.705,0.807906242,63,2.657,9.585,0.804849741,54,3.162,9.315,0.80179324,51,3.403,9.035,0.798638046,51,3.489 +9.505,0.80810264,25,1.23,9.385,0.805144831,40,1.69,9.135,0.80208833,40,1.914,8.935,0.798933136,40,2.022 +9.245,0.809087589,239,0.436,9.185,0.80612978,121,0.208,8.935,0.802974587,97,0.444,8.735,0.799918085,97,0.585 +8.685,0.810169257,281,1.963,8.985,0.807410807,314,1.423,8.735,0.804354305,323,1.174,8.535,0.801297804,323,1.081 +7.925,0.809378732,289,3.371,8.585,0.806916358,323,2.856,8.385,0.803859857,329,2.446,8.235,0.800803356,329,2.211 +7.425,0.809179373,287,3.242,8.085,0.806717987,328,2.836,7.955,0.803661485,337,2.417,7.835,0.800703676,337,2.082 +7.245,0.809474463,313,2.757,7.485,0.806715026,358,2.915,7.435,0.803758204,9,2.93,7.435,0.800801382,9,2.726 +6.925,0.80819245,350,1.537,6.985,0.805234641,38,2.233,7.015,0.802376511,47,2.664,7.035,0.799419689,47,2.696 +6.665,0.810063657,64,1.815,6.785,0.807205527,76,2.896,6.865,0.804248705,77,3.472,6.935,0.801390575,77,3.569 +7.315,0.809573156,47,1.854,7.285,0.806517641,63,2.293,7.225,0.803559832,67,2.585,7.135,0.80060301,67,2.716 +7.965,0.808983962,332,1.894,7.785,0.805927461,353,1.68,7.585,0.802871947,5,1.687,7.435,0.799815445,5,1.854 +7.365,0.807799655,303,2.965,7.485,0.804941525,316,2.392,7.335,0.801983716,324,2.012,7.235,0.798927214,324,1.854 +6.905,0.806811744,295,2.429,7.385,0.804250679,322,1.838,7.235,0.801194177,333,1.539,7.035,0.798137676,333,1.546 +6.845,0.803460153,296,2.033,7.085,0.80060301,346,1.927,6.955,0.797645201,359,1.805,6.835,0.7945887,359,1.973 +8.805,0.803368369,9,1.19,8.385,0.800114483,9,1.186,8.065,0.797056995,9,1.194,7.735,0.793901801,9,1.199 +9.645,0.8005132,358,2.082,9.285,0.797357019,357,2.095,8.955,0.794300518,357,2.111,8.635,0.791145324,357,2.131 +10.645,0.80160079,15,2.519,10.285,0.798444609,14,2.53,9.955,0.795388108,14,2.545,9.635,0.792331606,14,2.568 +11.805,0.801899827,2,2.32,11.385,0.798744634,2,2.332,11.065,0.795687145,2,2.338,10.735,0.792531952,2,2.369 +12.345,0.799733531,351,2.915,11.985,0.79657735,350,2.945,11.665,0.793520849,350,2.959,11.335,0.790464347,350,2.994 +12.645,0.801804096,3,3.342,12.285,0.798648902,3,3.37,11.985,0.795592401,3,3.393,11.735,0.792534912,3,3.43 +13.785,0.802301505,73,4.343,13.485,0.799244017,74,4.398,13.185,0.796187515,74,4.429,12.935,0.793229706,74,4.471 +13.715,0.80308907,42,3.867,13.535,0.800131261,44,4.289,13.285,0.797074759,45,4.548,13.035,0.794018258,45,4.659 +13.645,0.803778929,68,3.391,13.585,0.800920799,68,4.18,13.385,0.79796299,69,4.666,13.235,0.794906489,69,4.848 +13.665,0.809299778,73,2.003,13.485,0.806243277,77,2.51,13.335,0.803285468,79,2.92,13.235,0.800327659,79,3.242 +13.545,0.809890945,146,0.704,13.485,0.807031828,110,1.127,13.335,0.803975327,109,1.509,13.235,0.801017518,109,1.824 +13.265,0.811170984,212,1.666,13.385,0.808312855,183,1.532,13.265,0.805355046,173,1.657,13.135,0.802397237,173,1.874 +12.305,0.810576857,236,3.074,13.085,0.808114483,229,2.49,13.035,0.805256353,224,2.239,13.035,0.802298544,224,2.211 +11.245,0.808207254,228,4.571,12.685,0.806240316,229,4.002,12.735,0.803382186,227,3.729,12.835,0.800523069,227,3.727 +10.225,0.809287935,225,5.325,12.385,0.807816432,233,5.01,12.485,0.804958302,233,4.794,12.535,0.802100173,233,4.828 +9.305,0.809481372,216,6.713,12.485,0.808605971,230,6.987,12.535,0.805746854,231,6.984,12.535,0.802888724,231,7.138 +8.645,0.809184308,212,6.465,12.185,0.808604984,229,6.839,12.265,0.805745867,232,6.935,12.335,0.802887737,232,7.197 +8.265,0.808,207,6.217,11.985,0.807519368,230,6.493,12.065,0.804661239,234,6.629,12.135,0.801803109,234,6.969 +8.065,0.807604244,200,5.543,11.785,0.807124599,224,5.356,11.865,0.804266469,230,5.357,11.935,0.801407353,230,5.641 +8.485,0.807507525,188,5.563,11.485,0.806532445,212,5.317,11.535,0.803673328,219,5.238,11.535,0.800815199,219,5.383 +8.905,0.807410807,202,5.573,11.185,0.805939304,219,5.277,11.205,0.803081174,225,5.11,11.235,0.800223045,225,5.125 +8.665,0.807606218,210,7.09,11.785,0.80672983,224,7.323,11.735,0.803773008,228,7.448,11.735,0.800816186,228,7.742 +8.625,0.806127807,207,7.566,11.985,0.805351098,219,8.301,11.835,0.802393289,222,8.543,11.735,0.79943548,222,8.902 +9.985,0.80465433,208,6.951,10.585,0.802093264,214,8.36,10.605,0.799235134,216,9.322,10.635,0.796376018,216,9.943 +12.025,0.802788058,204,6.217,11.785,0.799731557,204,6.839,11.565,0.796773748,205,7.359,11.335,0.793717246,205,7.851 +13.685,0.802596595,218,7.893,13.385,0.799540094,218,8.202,13.085,0.796482605,218,8.444,12.835,0.793426104,218,8.704 +14.485,0.80092376,223,9.479,14.185,0.797866272,223,9.803,13.885,0.794908463,223,10.052,13.535,0.791850975,223,10.31 +14.745,0.797769553,218,10.084,14.385,0.794713052,219,10.446,14.085,0.791655564,219,10.713,13.835,0.788697755,219,11.004 +14.745,0.79875549,215,9.232,14.385,0.795698988,216,9.536,14.085,0.7926415,216,9.776,13.835,0.789584999,216,10.032 +14.585,0.796981002,213,9.003,14.285,0.793923513,213,9.378,13.985,0.790965704,213,9.658,13.735,0.787909203,213,9.943 +14.125,0.797275105,210,8.627,13.885,0.794317296,211,9.22,13.585,0.791259808,211,9.638,13.335,0.788301999,211,10.002 +13.615,0.797174439,203,9.271,13.435,0.79421663,204,10.159,13.195,0.791258821,204,10.851,12.935,0.788202319,204,11.489 +13.105,0.796976067,210,9.916,12.985,0.79411695,210,11.088,12.815,0.791159141,210,12.075,12.635,0.788201332,210,12.986 +11.065,0.79844757,224,10.897,10.885,0.795489761,225,12.106,10.685,0.792531952,225,13.12,10.535,0.78947545,225,14.097 +9.265,0.800807303,225,9.47,9.085,0.797750802,226,10.614,8.885,0.794792993,227,11.591,8.735,0.791736491,227,12.55 +7.865,0.797648162,217,10.084,7.685,0.79459166,219,11.315,7.515,0.791633851,220,12.4,7.335,0.78857735,220,13.502 +6.865,0.797644214,220,10.114,6.685,0.7945887,222,11.335,6.535,0.791532198,222,12.449,6.335,0.788574389,222,13.591 +5.965,0.795571675,225,9.519,5.785,0.792613866,227,10.653,5.615,0.789557365,227,11.69,5.435,0.786500864,227,12.748 +5.325,0.794878855,231,8.24,5.085,0.791822354,231,9.26,4.915,0.788766839,232,10.2,4.735,0.785710338,232,11.162 +4.665,0.795764125,246,6.415,4.485,0.792707624,246,7.293,4.285,0.789651123,247,8.109,4.035,0.786594621,247,8.962 +4.065,0.79526869,251,5.85,3.885,0.792213175,253,6.75,3.705,0.789255366,254,7.616,3.535,0.786198865,254,8.496 +3.505,0.792704663,242,6.059,3.385,0.789746854,247,7.125,3.265,0.786690353,250,8.079,3.135,0.783733531,250,9.001 +3.045,0.793688626,241,5.761,2.985,0.790730817,249,6.799,2.915,0.787773995,252,7.695,2.835,0.784717493,252,8.535 +3.245,0.792604984,257,5.592,3.185,0.789647175,265,6.611,3.045,0.78659166,268,7.448,2.935,0.783633851,268,8.238 +3.445,0.791521342,283,5.434,3.385,0.788563533,287,6.414,3.185,0.785508019,289,7.211,3.035,0.782451517,289,7.94 +2.745,0.792209228,311,7.07,2.385,0.789053047,313,7.58,2.085,0.785897853,313,7.971,1.835,0.782841352,313,8.337 +2.285,0.791616087,321,7.952,1.985,0.788460893,321,8.252,1.665,0.785304713,322,8.494,1.335,0.782149519,322,8.734 +1.245,0.791513447,337,8.825,0.885,0.788259561,337,9.062,0.565,0.785104367,337,9.233,0.235,0.781948187,337,9.447 +1.045,0.790723908,345,8.726,0.685,0.787568715,345,8.924,0.365,0.784314829,345,9.056,0.035,0.781159635,345,9.229 +1.345,0.790331113,339,9.737,0.985,0.787174932,339,9.961,0.665,0.784019738,339,10.102,0.335,0.780864545,339,10.28 +1.345,0.788951394,334,10.451,0.985,0.785795213,334,10.663,0.665,0.78264002,334,10.802,0.335,0.779484826,334,10.974 +1.245,0.788556625,331,11.433,0.885,0.785400444,331,11.681,0.565,0.78224525,330,11.828,0.235,0.779090057,330,12.015 +1.205,0.789147792,332,13.247,0.785,0.785892919,332,13.558,0.455,0.782737725,332,13.761,0.135,0.779582531,332,14.007 +1.045,0.789344189,334,13.267,0.685,0.786188996,334,13.618,0.365,0.783033802,334,13.84,0.035,0.779877622,334,14.106 +0.845,0.790920306,337,13.089,0.485,0.78766642,337,13.479,0.185,0.784511226,337,13.712,-0.065,0.781356033,337,13.988 +0.565,0.794073526,339,12.752,0.235,0.790918332,339,13.331,-0.065,0.787664446,340,13.702,-0.365,0.784509252,340,14.087 +0.285,0.797226746,349,12.414,-0.015,0.794071552,349,13.173,-0.315,0.790817666,349,13.692,-0.565,0.787662472,349,14.196 +-0.415,0.794956822,348,13.019,-0.715,0.791801628,348,13.983,-0.985,0.788646435,348,14.61,-1.265,0.785491241,348,15.197 +-0.875,0.79761658,346,12.117,-1.115,0.794462374,346,13.064,-1.415,0.791208488,346,13.732,-1.665,0.788053294,346,14.354 +-1.275,0.796925734,340,12.286,-1.515,0.79377054,341,13.282,-1.785,0.790615347,341,13.998,-2.065,0.787460153,341,14.701 +-1.575,0.798107081,345,12.246,-1.815,0.794853195,345,13.272,-2.085,0.791698001,346,14.008,-2.365,0.788542808,346,14.721 +-1.875,0.798993338,343,12.157,-2.115,0.795838145,344,13.163,-2.385,0.792682951,344,13.89,-2.665,0.789429065,344,14.602 +-1.915,0.798303479,351,12.573,-2.215,0.795049593,351,13.568,-2.485,0.791894399,352,14.265,-2.765,0.788739206,352,14.949 +-2.015,0.80007698,1,11.929,-2.315,0.796823094,1,12.877,-2.585,0.793569208,2,13.544,-2.865,0.790414014,2,14.206 +-2.175,0.800273378,6,11.542,-2.415,0.797019492,7,12.531,-2.695,0.793864298,8,13.268,-2.965,0.790611399,8,13.978 +-2.475,0.799779916,9,10.768,-2.715,0.796624722,10,11.76,-2.965,0.793370836,11,12.509,-3.165,0.790215643,11,13.244 +-2.675,0.801749815,17,9.936,-2.915,0.798595608,18,10.94,-3.165,0.795341722,19,11.729,-3.465,0.792186528,19,12.51 +-2.805,0.80125734,23,8.131,-3.015,0.798102147,24,9.013,-3.265,0.794848261,24,9.727,-3.465,0.791693067,24,10.429 +-2.935,0.800763879,28,6.326,-3.115,0.797608685,31,7.086,-3.365,0.794453491,32,7.724,-3.565,0.791200592,32,8.357 +-2.915,0.802340982,23,5.345,-3.215,0.799087096,26,6.087,-3.465,0.795931902,27,6.757,-3.665,0.792678016,27,7.415 +-2.515,0.802539354,22,5.206,-2.815,0.799285468,22,5.504,-3.115,0.796130274,23,5.761,-3.465,0.792876388,23,6.027 +-1.815,0.80195016,37,4.66,-2.115,0.798696274,37,4.773,-2.445,0.795442388,37,4.863,-2.765,0.792287195,37,4.996 +-0.955,0.801658031,48,4.373,-1.315,0.798404145,49,4.447,-1.615,0.795150259,49,4.488,-1.965,0.791896373,49,4.56 +-0.155,0.799985196,39,3.074,-0.515,0.79673131,40,3.113,-0.835,0.793477424,40,3.137,-1.165,0.79032223,40,3.182 +1.205,0.800286208,54,2.142,0.785,0.797031335,55,2.164,0.435,0.793777449,55,2.19,0.035,0.790622255,55,2.221 +2.045,0.800979028,42,2.112,1.685,0.797823834,42,2.135,1.385,0.794569948,42,2.141,1.035,0.791413768,42,2.161 +2.345,0.799994078,34,3.629,1.985,0.796740192,34,3.656,1.665,0.793584999,34,3.68,1.335,0.790331113,34,3.708 +2.745,0.799010116,30,4.016,2.385,0.795854922,30,4.062,2.065,0.792698742,30,4.084,1.735,0.789543548,30,4.124 +2.945,0.799109795,29,4.591,2.585,0.795953615,29,4.655,2.285,0.792699729,29,4.696,2.035,0.789643227,29,4.748 +2.785,0.801277079,17,4.085,2.485,0.798121885,17,4.279,2.185,0.794966691,17,4.39,1.935,0.791811498,17,4.491 +2.625,0.803544041,5,3.58,2.385,0.800388848,7,3.913,2.085,0.797233654,7,4.084,1.835,0.793979768,7,4.223 +2.365,0.80147249,342,1.815,2.185,0.798318283,352,2.154,1.885,0.795163089,353,2.338,1.535,0.792006908,353,2.439 +2.165,0.805907723,263,2.271,1.985,0.802753516,281,2.194,1.715,0.799598322,283,2.17,1.435,0.796442142,283,2.181 +1.845,0.80639921,225,2.628,1.785,0.803343696,235,2.411,1.515,0.800188502,235,2.318,1.235,0.797033309,235,2.25 +1.565,0.808862571,224,2.291,1.685,0.805905749,232,2.125,1.485,0.802751542,231,2.032,1.335,0.799596348,231,1.943 +1.465,0.806200839,232,3.639,1.885,0.803442388,235,3.548,1.865,0.800485566,236,3.512,1.835,0.797430052,236,3.46 +1.405,0.806102147,218,4.284,2.485,0.803839132,224,4.368,2.535,0.800882309,226,4.419,2.535,0.797925487,226,4.451 +0.925,0.807973353,211,5.83,3.085,0.806502837,224,6.325,3.105,0.803546015,227,6.501,3.135,0.800588206,227,6.662 +-0.035,0.803928942,197,7.298,2.785,0.802952874,210,8.084,2.955,0.800094745,215,8.04,3.135,0.797237602,215,7.99 +-0.255,0.803040711,216,8.359,2.385,0.801867259,229,9.833,2.865,0.799207501,235,10.22,3.335,0.796547742,235,10.458 +-0.235,0.803336788,221,8.587,2.285,0.802063657,243,10.287,2.935,0.799503578,251,11.098,3.535,0.796942512,251,11.648 +-0.345,0.802942018,225,7.695,1.935,0.801570195,244,9.26,2.645,0.799108808,251,10.092,3.335,0.796547742,251,10.667 +-0.455,0.802645941,226,6.802,1.585,0.801075746,256,8.232,2.355,0.79861436,264,9.085,3.135,0.796251665,264,9.685 +0.265,0.8028453,243,7.377,2.185,0.801176413,273,8.716,2.665,0.798516654,280,9.549,3.135,0.795856896,280,10.191 +1.205,0.800187515,250,6.386,2.285,0.7979245,275,7.856,2.665,0.795263755,283,8.78,3.035,0.792603997,283,9.437 +2.425,0.80236072,276,5.89,2.485,0.799403898,283,7.086,2.665,0.796545769,286,8.188,2.835,0.793786331,286,9.19 +4.485,0.798917345,268,3.837,4.185,0.795762151,269,4.2,3.955,0.792606958,270,4.666,3.735,0.789550456,270,5.224 +6.885,0.796165803,276,4.571,6.585,0.793010609,275,4.763,6.285,0.789954108,275,4.952,6.035,0.786798914,275,5.165 +8.645,0.796369109,308,5.236,8.285,0.793312608,307,5.366,7.985,0.790157414,307,5.475,7.735,0.787099926,307,5.611 +9.645,0.796766839,319,5.107,9.285,0.793611646,318,5.208,8.985,0.790555144,317,5.278,8.735,0.787497656,317,5.373 +10.285,0.795093018,321,5.404,9.985,0.792036516,320,5.504,9.665,0.788980015,320,5.583,9.335,0.785922527,320,5.69 +10.485,0.794797927,327,5.92,10.185,0.791741426,326,6.018,9.885,0.788684925,325,6.087,9.535,0.785627436,325,6.186 +9.945,0.795684185,342,5.245,9.585,0.792528004,341,5.336,9.285,0.789471503,341,5.396,9.035,0.786415001,341,5.472 +9.535,0.795978288,15,3.917,9.235,0.792823094,15,4.062,8.935,0.789765606,14,4.133,8.635,0.786709104,14,4.183 +9.125,0.796272391,25,2.588,8.885,0.793215889,28,2.787,8.585,0.790159388,28,2.871,8.335,0.787004194,28,2.905 +8.965,0.79765211,335,2.251,8.785,0.794595608,344,2.253,8.515,0.791539107,343,2.19,8.235,0.788482605,343,2.141 +9.165,0.801989637,298,1.468,8.985,0.798933136,313,1.453,8.765,0.795975327,311,1.559,8.535,0.792918826,311,1.745 +8.225,0.799621021,277,4.165,8.585,0.796960276,294,4.417,8.355,0.794002467,297,4.597,8.135,0.790945966,297,4.788 +6.485,0.800008882,278,5.711,7.085,0.797546509,297,6.591,7.005,0.794589687,302,6.994,6.935,0.791631878,302,7.167 +5.325,0.801285961,283,6.525,5.985,0.798824574,302,7.402,5.915,0.795866765,309,7.675,5.835,0.792909943,309,7.732 +4.885,0.799609178,282,5.513,5.485,0.797048113,307,6.157,5.335,0.794090303,314,6.422,5.235,0.791034789,314,6.543 +4.485,0.800691833,275,5.037,5.085,0.798131754,304,5.485,4.885,0.795075253,310,5.712,4.735,0.792018752,310,5.859 +4.125,0.799408833,291,5.354,4.485,0.796749075,313,5.969,4.265,0.793593881,317,6.274,4.035,0.79053738,317,6.453 +3.245,0.798716013,303,5.295,3.485,0.795956575,322,5.929,3.285,0.792901061,326,6.087,3.035,0.78984456,326,6.047 +2.245,0.799501604,302,4.819,2.485,0.796742166,328,5.119,2.315,0.793586973,334,4.992,2.135,0.790530471,334,4.719 +1.855,0.797528744,322,4.789,2.185,0.794769307,335,4.941,2.025,0.791713792,338,4.656,1.835,0.788657291,338,4.273 +1.465,0.795457192,299,4.75,1.885,0.792797434,324,4.753,1.735,0.789740933,327,4.311,1.535,0.786684431,327,3.826 +0.585,0.795848014,273,4.512,1.185,0.793286948,306,3.963,1.135,0.790330126,312,3.324,1.035,0.787372317,312,2.776 +0.485,0.79427091,273,4.69,0.485,0.791313101,286,5.514,0.635,0.788455959,289,5.465,0.835,0.785597829,289,4.986 +1.605,0.792796447,274,4.294,1.185,0.789541574,274,4.605,1.085,0.786584752,274,4.863,1.035,0.783528251,274,5.145 +3.305,0.791619048,302,4.046,2.885,0.788365162,301,4.16,2.585,0.785209968,300,4.232,2.335,0.782153467,300,4.332 +4.245,0.791129534,334,5.692,3.885,0.78797434,333,5.761,3.565,0.784819146,332,5.781,3.235,0.781663953,332,5.829 +4.105,0.789749815,347,7.238,3.685,0.786593634,347,7.323,3.365,0.783438441,347,7.349,3.035,0.780283247,347,7.405 +4.005,0.789946213,348,7.645,3.585,0.786790032,348,7.748,3.255,0.783634838,348,7.803,2.935,0.780479645,348,7.881 +4.105,0.788763879,343,7.238,3.685,0.785607698,343,7.352,3.365,0.782452504,343,7.418,3.035,0.779297311,343,7.504 +4.045,0.789355046,340,7.536,3.685,0.786198865,340,7.659,3.335,0.783043671,340,7.754,3.035,0.779888478,340,7.871 +3.645,0.791423637,337,8.309,3.285,0.788267456,337,8.469,2.955,0.785112263,337,8.573,2.635,0.781957069,337,8.704 +3.185,0.794477177,341,8.399,2.885,0.791321984,341,8.775,2.575,0.78816679,341,9.026,2.235,0.785110289,341,9.279 +2.725,0.797531705,340,8.488,2.485,0.794475204,340,9.082,2.185,0.79132001,341,9.48,1.935,0.788164816,341,9.854 +1.885,0.796740192,343,9.271,1.585,0.793584999,344,9.902,1.285,0.790429805,344,10.299,1.035,0.787274611,344,10.667 +0.985,0.801073773,352,9.113,0.685,0.797918579,353,9.783,0.385,0.794664693,353,10.23,0.035,0.791509499,353,10.647 +0.385,0.800184555,358,7.675,0.085,0.797029361,358,8.163,-0.215,0.793775475,358,8.513,-0.465,0.790620281,358,8.843 +-0.215,0.800378979,8,7.695,-0.515,0.797125093,9,8.252,-0.785,0.793969899,9,8.602,-1.065,0.790814705,9,8.942 +-0.775,0.799983222,2,5.94,-1.015,0.796828029,3,6.562,-1.285,0.793574143,4,7.053,-1.565,0.790418949,4,7.504 +-1.235,0.800376018,9,5.117,-1.415,0.797220824,11,5.84,-1.665,0.79406563,11,6.422,-1.965,0.790811744,11,6.949 +-1.695,0.799289415,1,5.384,-1.815,0.796233901,3,6.295,-2.015,0.793078707,5,6.905,-2.165,0.789923513,5,7.395 +-2.295,0.799287441,355,6.415,-2.415,0.79623094,357,7.56,-2.545,0.793076733,359,8.454,-2.665,0.790020232,359,9.17 +-2.895,0.798890698,356,6.743,-3.015,0.795736491,357,7.906,-3.035,0.792778682,359,9.016,-3.065,0.789723168,359,10.002 +-3.255,0.799480878,6,6.406,-3.315,0.796425364,7,7.501,-3.385,0.793368863,9,8.543,-3.465,0.790313348,9,9.536 +-3.845,0.799182828,2,6.118,-3.965,0.796028621,3,7.105,-4.015,0.792973106,6,7.991,-4.065,0.789916605,6,8.843 +-4.435,0.798884777,345,5.83,-4.615,0.79573057,348,6.7,-4.635,0.792675056,351,7.448,-4.665,0.789618554,351,8.149 +-4.415,0.799476931,343,5.801,-4.715,0.796223045,346,6.582,-4.835,0.793067851,348,7.32,-4.965,0.789913644,348,8.02 +-3.755,0.800366149,351,6.009,-4.115,0.797112263,352,6.344,-4.415,0.793858377,352,6.629,-4.665,0.790604491,352,6.919 +-3.055,0.799777942,359,7.209,-3.415,0.796523069,359,7.402,-3.745,0.793269183,359,7.556,-4.065,0.790015297,359,7.752 +-2.255,0.797710338,2,7.427,-2.615,0.794456452,2,7.59,-2.945,0.791301258,2,7.695,-3.265,0.788047372,2,7.841 +-1.395,0.796432272,8,6.961,-1.815,0.793079694,8,7.066,-2.115,0.7899245,8,7.152,-2.465,0.786669627,8,7.266 +-0.555,0.795942758,10,6.505,-0.915,0.792688872,10,6.601,-1.245,0.789532692,10,6.678,-1.565,0.786278806,10,6.781 +0.205,0.795945719,10,5.067,-0.215,0.792690846,10,5.139,-0.545,0.78943696,10,5.189,-0.865,0.786281767,10,5.264 +0.845,0.797031335,360,5.92,0.485,0.793876141,360,6.008,0.165,0.790622255,360,6.067,-0.165,0.787467061,360,6.146 +1.345,0.796048359,355,6.207,0.985,0.792892179,355,6.305,0.665,0.789638293,355,6.373,0.335,0.786483099,355,6.473 +1.685,0.797527757,353,6.088,1.385,0.794273871,353,6.186,1.065,0.791118678,353,6.264,0.735,0.787963484,353,6.364 +2.005,0.799105848,345,6.624,1.735,0.795950654,345,7.046,1.435,0.79279546,345,7.349,1.135,0.789640266,345,7.633 +2.325,0.800683938,320,7.169,2.085,0.797627436,321,7.906,1.815,0.794472243,322,8.425,1.535,0.791317049,322,8.892 +1.465,0.802751542,318,8.617,1.285,0.799596348,319,9.586,1.035,0.796441155,320,10.368,0.835,0.793285961,320,11.093 +0.705,0.807183814,325,8.934,0.585,0.804029608,326,10.05,0.385,0.800874414,327,11.019,0.235,0.79771922,327,11.965 +0.205,0.806196891,332,7.308,0.085,0.803041698,334,8.449,-0.015,0.799986183,335,9.47,-0.165,0.796929682,335,10.449 +-0.115,0.80599852,351,6.991,-0.115,0.803040711,353,8.291,-0.165,0.799985196,355,9.332,-0.165,0.796929682,355,10.23 +-0.135,0.806589687,7,5.711,-0.015,0.803632865,14,6.759,-0.165,0.800477671,16,7.507,-0.265,0.797422156,16,8.129 +-0.235,0.805800148,8,5.335,-0.115,0.802844313,17,6.226,-0.315,0.799689119,20,6.856,-0.465,0.796533925,20,7.385 +-0.335,0.807673328,353,5.275,-0.215,0.804716506,7,5.969,-0.445,0.801561313,10,6.402,-0.665,0.798406119,10,6.791 +-0.715,0.808361214,353,6.118,-0.415,0.805504071,5,6.967,-0.645,0.802348877,8,7.339,-0.865,0.799193684,8,7.623 +-1.255,0.806979521,355,6.822,-0.715,0.804319763,5,8.173,-0.945,0.801165556,8,8.642,-1.165,0.79791167,8,8.852 +-1.875,0.807667407,351,6.961,-1.215,0.805008636,2,8.568,-1.385,0.801853442,5,9.115,-1.565,0.798698248,5,9.299 +-2.605,0.805397483,338,6.178,-2.215,0.802639033,338,7.659,-2.235,0.799583518,341,8.602,-2.265,0.796528004,341,9.249 +-3.335,0.803226252,332,5.404,-3.215,0.80026943,337,6.74,-3.085,0.797313595,341,8.079,-2.965,0.794455465,341,9.19 +-3.315,0.805394523,328,4.849,-3.315,0.802339008,333,6.137,-3.195,0.799382186,338,7.191,-3.065,0.796426351,338,8 +-2.815,0.804509252,345,3.917,-3.115,0.801255366,346,4.437,-3.085,0.798199852,349,5.13,-3.065,0.795144338,349,5.829 +-2.015,0.80293511,8,3.391,-2.315,0.799681224,10,3.518,-2.615,0.796427338,10,3.66,-2.965,0.793173452,10,3.817 +-0.455,0.801758697,28,2.38,-0.815,0.798503824,28,2.421,-1.135,0.795249938,28,2.476,-1.465,0.791996052,28,2.548 +1.205,0.800877375,10,2.429,0.785,0.797623489,10,2.471,0.455,0.794467308,10,2.496,0.135,0.791213422,10,2.548 +2.645,0.800587219,35,2.221,2.285,0.797332346,35,2.233,1.935,0.794177153,35,2.249,1.535,0.790923267,35,2.28 +4.265,0.801381693,44,1.468,3.785,0.79812682,44,1.472,3.435,0.794971626,44,1.48,3.035,0.791716753,44,1.487 +4.845,0.800988897,9,1.477,4.485,0.797833703,8,1.472,4.165,0.79467851,8,1.47,3.835,0.791522329,8,1.467 +5.345,0.800596102,7,1.239,4.985,0.797440908,5,1.245,4.665,0.794285714,5,1.233,4.335,0.791130521,5,1.229 +5.385,0.801188256,5,1.596,5.085,0.798033062,4,1.591,4.785,0.794877868,3,1.578,4.535,0.79182038,3,1.566 +5.555,0.80178041,19,1.577,5.285,0.798625216,17,1.571,5.015,0.795470022,16,1.519,4.735,0.792412534,16,1.447 +5.725,0.802273871,76,1.547,5.485,0.79921737,73,1.552,5.235,0.796062176,73,1.46,5.035,0.793005675,73,1.318 +5.585,0.804835924,256,0.416,5.285,0.80168073,243,0.464,5.085,0.798624229,242,0.621,4.935,0.795567728,242,0.853 +5.665,0.807990131,331,0.327,5.485,0.804933629,297,0.277,5.315,0.801779423,274,0.483,5.135,0.798722921,274,0.793 +5.605,0.807201579,259,2.152,5.485,0.804145078,256,2.303,5.315,0.801089563,255,2.624,5.135,0.798033062,255,3.024 +5.205,0.805228719,285,1.854,5.385,0.80237059,300,2.056,5.235,0.799315075,300,2.397,5.035,0.796357266,300,2.815 +4.225,0.805619541,245,4.016,4.885,0.803059462,268,3.864,4.815,0.800101653,274,3.916,4.735,0.797046139,274,4.045 +3.325,0.804630644,240,4.908,4.585,0.802466321,277,4.615,4.585,0.799509499,285,4.143,4.535,0.796552677,285,3.698 +2.885,0.805023439,239,4.839,4.385,0.803057488,281,4.18,4.515,0.800199358,290,3.374,4.635,0.797341229,290,2.716 +2.865,0.805023439,244,4.274,4.485,0.803156181,290,3.538,4.615,0.800298051,299,2.831,4.735,0.797439921,299,2.369 +2.865,0.803248951,230,3.718,4.485,0.801283,261,2.352,4.615,0.79842487,265,1.559,4.735,0.795566741,265,1.199 +2.645,0.804431285,238,4.512,4.685,0.802762398,257,3.34,4.735,0.799904268,258,2.624,4.835,0.796947446,258,2.32 +2.695,0.803051567,232,6.207,4.885,0.801482359,247,5.801,4.895,0.798623242,247,5.288,4.935,0.79566642,247,5.026 +2.745,0.801671848,250,7.903,5.085,0.800201332,270,8.262,5.065,0.797243523,271,7.941,5.035,0.794385394,271,7.722 +2.465,0.79831927,235,7.467,4.085,0.796452011,260,8.558,4.205,0.793593881,266,9.036,4.335,0.790735751,266,9.15 +2.465,0.802163336,218,6.634,2.885,0.799503578,228,7.995,3.265,0.796745127,235,8.691,3.635,0.794084382,235,9.11 +3.705,0.796451024,234,7.07,3.585,0.793493215,236,8.143,3.685,0.790635085,238,9.125,3.835,0.787776955,238,10.052 +5.685,0.797443869,248,5.573,5.385,0.79438638,249,6.008,5.165,0.791231187,249,6.481,4.935,0.788174685,249,7.009 +7.185,0.797842586,264,4.67,6.885,0.794687392,263,4.862,6.585,0.791630891,263,5.041,6.335,0.788475697,263,5.234 +8.545,0.794298544,265,3.808,8.185,0.791143351,265,3.894,7.885,0.788086849,265,3.985,7.535,0.785029361,265,4.084 +10.045,0.794403158,268,4.254,9.685,0.791246978,267,4.348,9.385,0.788190476,267,4.419,9.035,0.785132988,267,4.511 +11.085,0.793223785,275,4.968,10.785,0.790166297,275,5.07,10.455,0.787109795,275,5.149,10.135,0.784052307,275,5.244 +11.445,0.792534912,285,5.573,11.085,0.789378732,284,5.692,10.785,0.786420923,284,5.771,10.535,0.783364421,284,5.859 +11.185,0.793913644,288,6.792,10.885,0.790857143,288,6.957,10.585,0.787799655,287,7.053,10.335,0.784743153,287,7.177 +9.985,0.794500864,338,5.949,9.685,0.791444362,337,6.285,9.395,0.788387861,337,6.491,9.135,0.785331359,337,6.672 +8.785,0.795088083,6,5.117,8.485,0.792031582,6,5.623,8.215,0.78897508,6,5.929,7.935,0.785918579,6,6.166 +7.765,0.793901801,354,4.184,7.585,0.7908453,358,4.615,7.355,0.787788798,359,4.923,7.135,0.784830989,359,5.185 +6.285,0.797544535,333,6.554,5.985,0.794388354,334,7.165,5.815,0.791430545,335,7.724,5.635,0.788375031,335,8.278 +3.985,0.797240563,326,4.462,3.685,0.794085369,326,4.556,3.365,0.790929188,326,4.597,3.035,0.787773995,326,4.639 +2.945,0.795955588,257,3.54,2.585,0.792799408,258,3.656,2.285,0.789644214,258,3.749,2.035,0.78648902,258,3.846 +2.885,0.798517641,250,4.7,2.585,0.795362448,252,4.981,2.315,0.792207254,253,5.258,2.035,0.78905206,253,5.581 +2.965,0.797434,260,4.224,2.785,0.794377498,270,4.823,2.565,0.791320997,273,5.347,2.335,0.788165803,273,5.869 +2.965,0.797631384,306,5.652,2.785,0.794574883,310,6.572,2.565,0.791419689,311,7.33,2.335,0.788363188,311,8.05 +2.165,0.797727116,324,7.665,1.985,0.794670614,326,8.657,1.765,0.791515421,326,9.47,1.535,0.788458919,326,10.24 +1.365,0.796836911,321,7.278,1.185,0.79378041,323,8.281,1.035,0.790723908,325,9.164,0.835,0.787667407,325,10.032 +0.905,0.798313348,321,7.05,0.785,0.795257834,324,8.103,0.735,0.792201332,326,9.007,0.735,0.78924451,326,9.814 +1.275,0.797033309,319,6.832,1.185,0.793977794,322,7.955,1.125,0.791019985,324,8.888,1.035,0.787964471,324,9.685 +1.645,0.795753269,320,6.624,1.585,0.792697755,324,7.807,1.505,0.789739946,326,8.76,1.435,0.786783124,326,9.546 +1.425,0.797724155,318,6.128,1.185,0.794568961,321,7.046,1.135,0.791611152,324,7.882,1.035,0.788555638,324,8.654 +1.945,0.798021219,341,5.245,1.585,0.794866025,341,5.524,1.285,0.791710831,342,5.761,1.035,0.788555638,342,6.027 +2.545,0.799107821,353,4.343,2.185,0.795952628,354,4.427,1.885,0.792797434,354,4.488,1.535,0.789641253,354,4.58 +3.445,0.79802714,4,3.689,3.085,0.79487096,4,3.745,2.755,0.791715766,4,3.778,2.435,0.788560572,4,3.846 +4.405,0.795763138,358,3.956,3.985,0.792509252,358,4.002,3.665,0.789451764,358,4.045,3.335,0.78629657,358,4.094 +5.205,0.795667407,356,3.282,4.785,0.792511226,356,3.32,4.455,0.789356033,356,3.344,4.135,0.786200839,356,3.38 +5.905,0.796754009,354,3.738,5.485,0.793598816,354,3.775,5.165,0.790442635,354,3.808,4.835,0.787287441,354,3.846 +6.345,0.795375278,355,4.194,5.985,0.792220084,355,4.239,5.665,0.789163583,355,4.271,5.335,0.786007402,355,4.322 +6.645,0.796658278,348,4.244,6.285,0.793503084,347,4.289,5.955,0.790445596,348,4.321,5.635,0.787290402,348,4.372 +6.745,0.798925241,352,4.393,6.385,0.795770047,352,4.447,6.085,0.792713546,351,4.479,5.835,0.789558352,351,4.52 +6.635,0.801192203,336,4.313,6.335,0.798135702,336,4.566,6.045,0.794980508,336,4.735,5.735,0.791924007,336,4.877 +6.525,0.803557858,335,4.234,6.285,0.800402665,335,4.694,6.015,0.797346163,335,4.992,5.735,0.79419097,335,5.224 +6.065,0.804837898,329,4.69,5.885,0.801781396,331,5.485,5.615,0.798626203,332,5.958,5.335,0.795569701,332,6.315 +5.565,0.805920553,335,4.194,5.385,0.802765359,336,4.951,5.165,0.799708858,337,5.524,4.935,0.796553664,337,5.968 +4.945,0.807199605,308,4.769,4.885,0.804143104,317,5.455,4.705,0.801086603,320,5.81,4.535,0.798031088,320,6.017 +4.325,0.807591414,301,4.75,4.385,0.804733284,314,5.574,4.315,0.801676783,318,5.83,4.235,0.798719961,318,5.799 +3.645,0.808377992,284,5.533,3.885,0.805519862,302,6.127,3.935,0.80256304,308,6.008,4.035,0.79970491,308,5.631 +3.105,0.807981248,276,5.196,3.585,0.80532149,299,5.643,3.665,0.802364668,306,5.297,3.735,0.799506538,306,4.669 +2.805,0.807782877,266,4.998,3.585,0.80532149,292,4.991,3.635,0.802364668,299,4.212,3.735,0.799407846,299,3.261 +2.625,0.807979275,280,5.444,3.585,0.805617567,304,5.554,3.605,0.802660745,309,4.824,3.635,0.799702936,309,3.896 +2.445,0.807979275,285,5.216,3.285,0.805517888,310,5.663,3.365,0.802561066,315,5.13,3.435,0.799702936,315,4.273 +2.165,0.808273378,295,4.363,2.585,0.80561362,321,4.951,2.705,0.802656797,328,4.794,2.835,0.799798668,328,4.312 +1.925,0.806892672,315,3.58,2.135,0.804034542,328,4.101,2.225,0.801078707,334,4.114,2.335,0.79821959,334,3.936 +1.685,0.805511966,321,2.786,1.685,0.802456452,344,3.251,1.735,0.79949963,353,3.433,1.835,0.796542808,353,3.559 +1.585,0.805215889,232,0.506,1.585,0.802259067,57,0.306,1.685,0.799302245,74,1.095,1.835,0.796444115,74,1.774 +1.545,0.804229953,212,2.538,1.785,0.801470516,192,2.411,1.935,0.798613373,178,2.397,2.035,0.795656551,178,2.478 +3.245,0.804531952,218,1.914,2.885,0.801376758,216,2.036,2.885,0.798320257,212,2.101,2.935,0.795363434,212,2.151 +6.305,0.805725142,299,0.506,5.885,0.802471256,293,0.524,5.565,0.799316062,291,0.552,5.235,0.796160868,291,0.575 +7.445,0.80474414,302,1.358,7.085,0.80158796,299,1.384,6.755,0.798432766,298,1.401,6.435,0.795277572,298,1.418 +8.445,0.804944486,347,1.706,8.085,0.801789292,344,1.7,7.755,0.798633111,343,1.667,7.435,0.795477918,343,1.656 +8.745,0.803368369,3,3.48,8.385,0.800213175,3,3.488,8.065,0.797155687,3,3.482,7.735,0.794000493,3,3.489 +8.945,0.802777202,11,4.66,8.585,0.799622008,11,4.704,8.255,0.796565507,11,4.715,7.935,0.793409326,11,4.739 +9.045,0.803270664,13,4.046,8.685,0.80011547,13,4.071,8.385,0.797057982,13,4.084,8.035,0.793902788,13,4.104 +9.185,0.803370343,9,3.49,8.885,0.800214162,8,3.518,8.565,0.797157661,8,3.532,8.235,0.794002467,8,3.549 +9.125,0.804651369,1,3.986,8.885,0.801594868,1,4.269,8.585,0.79853738,0,4.469,8.335,0.795382186,0,4.649 +9.065,0.806031088,355,4.482,8.885,0.802974587,355,5.03,8.615,0.799918085,355,5.416,8.335,0.796861584,355,5.75 +8.405,0.80583173,342,4.442,8.285,0.802873921,346,5.178,8.035,0.799718727,347,5.712,7.835,0.796662226,347,6.126 +7.965,0.80888527,331,2.885,7.785,0.805829756,340,3.429,7.565,0.802674562,343,3.976,7.335,0.799618061,343,4.471 +7.605,0.808588206,321,3.609,7.485,0.805532692,334,3.973,7.265,0.80247619,338,4.311,7.035,0.799320997,338,4.649 +7.405,0.809967925,309,2.697,7.285,0.806911424,331,2.866,7.085,0.803855909,338,3.068,6.935,0.800799408,338,3.301 +7.185,0.810656797,286,2.538,7.185,0.807699975,315,2.372,6.985,0.804544782,324,2.358,6.835,0.801489267,324,2.419 +7.045,0.809868246,338,2.429,6.985,0.806812731,6,2.777,6.765,0.80375623,12,3.078,6.535,0.800601036,12,3.321 +6.825,0.811641747,16,3.183,6.585,0.808486553,28,3.429,6.335,0.805430052,32,3.66,6.035,0.802274858,32,3.836 +5.985,0.810948927,34,5.464,5.985,0.807992105,44,5.663,5.735,0.804836911,46,5.672,5.535,0.801681717,46,5.69 +5.145,0.809762645,44,5.146,5.385,0.806905502,56,5.544,5.185,0.803849001,59,5.524,5.035,0.800792499,59,5.452 +4.885,0.810451517,43,4.571,5.185,0.807693067,58,4.902,5.015,0.804537873,61,4.913,4.835,0.801481372,61,4.867 +4.585,0.809760671,22,3.401,4.885,0.807002221,54,3.676,4.795,0.803945719,59,3.798,4.735,0.800988897,59,3.876 +4.285,0.809069825,2,2.231,4.585,0.806311374,39,2.451,4.585,0.803353565,45,2.673,4.535,0.800396743,45,2.885 +4.125,0.805914631,310,0.744,4.485,0.803156181,61,0.968,4.485,0.800199358,69,1.361,4.535,0.797341229,69,1.725 +4.085,0.806210708,271,1.081,4.685,0.803649642,302,0.514,4.665,0.80069282,338,0.345,4.635,0.797735011,338,0.595 +5.745,0.805920553,111,0.744,5.385,0.802666667,111,0.781,5.135,0.799610165,110,0.878,4.935,0.796454972,110,1.021 +6.645,0.80405132,62,2.112,6.285,0.800895139,62,2.135,5.985,0.797739946,62,2.141,5.735,0.794584752,62,2.161 +7.905,0.805829756,63,1.785,7.485,0.802673575,63,1.789,7.165,0.799518381,63,1.776,6.835,0.796363188,63,1.774 +8.745,0.802579817,39,2.013,8.385,0.799424624,39,2.006,8.065,0.796367135,38,1.993,7.735,0.793211942,38,1.993 +9.845,0.802878855,41,1.448,9.485,0.799723661,41,1.433,9.165,0.796568468,40,1.411,8.835,0.79351098,40,1.388 +9.985,0.800514187,53,2.836,9.685,0.797456699,53,2.846,9.365,0.794400197,53,2.831,9.035,0.791245004,53,2.835 +10.185,0.800416482,55,3.58,9.885,0.797260301,55,3.607,9.565,0.7942038,55,3.62,9.235,0.791147298,55,3.628 +10.185,0.801500123,45,4.303,9.885,0.79834493,45,4.358,9.565,0.795288428,45,4.38,9.235,0.79223094,45,4.421 +10.325,0.802683444,31,4.135,10.085,0.799626943,30,4.398,9.785,0.796570442,30,4.538,9.535,0.79351394,30,4.649 +10.465,0.803965458,40,3.966,10.285,0.800908956,40,4.437,10.015,0.797852455,39,4.696,9.735,0.794795954,39,4.877 +10.205,0.802387367,60,2.181,10.085,0.799429558,55,2.698,9.815,0.796274365,54,2.989,9.535,0.793217863,54,3.113 +10.065,0.807611152,60,1.339,9.885,0.804555638,58,1.828,9.635,0.80149815,56,2.101,9.435,0.798441648,56,2.211 +9.965,0.80721737,311,0.734,9.785,0.804259561,17,0.919,9.535,0.801104367,24,1.134,9.335,0.798047866,24,1.259 +9.805,0.806723908,249,0.684,9.685,0.803766099,220,0.257,9.435,0.800709598,176,0.138,9.235,0.797653096,176,0.169 +9.405,0.806721934,246,2.291,9.585,0.803962497,239,1.858,9.355,0.800905996,236,1.628,9.135,0.797849494,236,1.457 +9.285,0.808101653,246,2.122,9.585,0.805440908,245,1.7,9.415,0.802385394,244,1.47,9.235,0.799328892,244,1.259 +9.285,0.807115717,246,1.854,9.585,0.804357266,255,1.423,9.485,0.801399457,256,1.194,9.335,0.798441648,256,1.011 +9.385,0.808101653,240,1.477,9.685,0.805441895,257,0.988,9.585,0.802385394,259,0.789,9.535,0.799428571,259,0.674 +9.365,0.806032075,257,1.765,9.785,0.803470022,295,1.344,9.665,0.800414508,302,1.282,9.535,0.797456699,302,1.348 +9.285,0.807707871,269,1.914,9.585,0.804948433,312,1.591,9.485,0.801990624,321,1.588,9.335,0.799032815,321,1.685 +8.855,0.805832717,286,2.915,9.185,0.803074266,315,2.658,9.095,0.800116457,321,2.644,9.035,0.797159635,321,2.736 +8.425,0.803958549,296,3.927,8.785,0.801298791,325,3.726,8.705,0.798340982,331,3.699,8.635,0.795383173,331,3.797 +8.185,0.803070318,295,2.925,8.185,0.800113496,324,2.579,8.165,0.797156674,331,2.496,8.135,0.794297557,331,2.597 +8.125,0.802281767,294,1.765,8.185,0.799423637,333,1.384,8.135,0.796465828,342,1.332,8.035,0.793509006,342,1.487 +8.265,0.799226252,248,1.19,8.085,0.796170738,253,1.107,8.035,0.793311621,251,1.016,8.035,0.790353812,251,0.971 +9.845,0.799232174,340,0.387,9.485,0.79607698,332,0.395,9.185,0.793019492,328,0.414,8.935,0.78996299,328,0.456 +11.045,0.799334814,326,0.863,10.685,0.796277325,321,0.87,10.385,0.793122132,320,0.888,10.035,0.79006563,320,0.902 +11.745,0.798351838,23,1.517,11.385,0.79529435,22,1.502,11.065,0.792237849,22,1.48,10.735,0.78918036,22,1.447 +12.145,0.795888478,9,3.153,11.785,0.792830989,9,3.172,11.455,0.789774488,8,3.167,11.135,0.786717987,8,3.182 +12.445,0.795593388,31,3.401,12.085,0.792438194,31,3.429,11.785,0.789479398,31,3.423,11.535,0.786422897,31,3.43 +12.745,0.795791759,39,3.114,12.385,0.792735258,39,3.133,12.085,0.78967777,39,3.127,11.835,0.786719961,39,3.123 +12.845,0.796481619,32,3.56,12.485,0.793326425,32,3.587,12.185,0.790368616,32,3.601,11.935,0.787312114,32,3.608 +13.135,0.796581298,27,2.945,12.835,0.793524796,26,3.073,12.545,0.790468295,26,3.117,12.235,0.787509499,26,3.133 +13.425,0.796680977,8,2.32,13.185,0.793624476,13,2.55,12.915,0.790666667,14,2.644,12.635,0.787610165,14,2.667 +13.565,0.801117197,285,2.181,13.385,0.798060696,293,2.105,13.085,0.795102887,295,2.042,12.835,0.792046385,295,2.002 +12.545,0.80200148,276,5.602,12.785,0.799340735,282,6.147,12.565,0.796284234,282,6.402,12.335,0.793326425,282,6.622 +10.825,0.80061584,269,7.288,10.885,0.797756723,271,8.499,10.765,0.794798914,272,9.559,10.635,0.791842092,272,10.538 +9.665,0.802780163,266,7.685,9.785,0.800020725,270,9.082,9.835,0.797161609,272,10.328,9.935,0.794303479,272,11.479 +9.185,0.802285714,269,7.883,9.485,0.799526277,277,9.349,9.635,0.796766839,281,10.368,9.835,0.794007402,281,11.123 +9.005,0.801989637,271,7.427,9.485,0.799427585,285,8.538,9.615,0.796569455,291,8.967,9.735,0.793810017,291,9.041 +8.705,0.800904022,270,6.822,9.485,0.79854034,290,7.649,9.565,0.795682211,298,7.724,9.635,0.792824081,298,7.415 +8.505,0.801494202,267,6.415,9.585,0.799329879,294,6.759,9.535,0.79637207,302,6.471,9.535,0.793415248,302,5.938 +8.365,0.800804342,270,5.761,9.685,0.798738712,303,5.87,9.515,0.795682211,310,5.534,9.335,0.792724402,310,5.105 +8.225,0.801395509,277,5.117,9.485,0.799329879,314,5.168,9.265,0.796272391,320,4.992,9.035,0.793216876,320,4.768 +7.995,0.800507279,289,4.531,8.985,0.798243277,308,4.368,8.775,0.795186775,312,4.104,8.535,0.792130274,312,3.856 +7.765,0.799619048,267,3.946,8.485,0.797157661,294,3.577,8.285,0.79410116,297,3.226,8.035,0.791142364,297,2.954 +7.365,0.799223291,258,4.006,8.385,0.796960276,280,3.676,8.185,0.793903775,280,3.354,8.035,0.790945966,280,2.994 +7.385,0.799223291,239,3.064,8.585,0.797058969,266,2.994,8.415,0.79410116,265,2.851,8.235,0.791044658,265,2.706 +8.545,0.795284481,227,3.411,8.785,0.792623736,249,4.042,8.665,0.789666913,250,4.35,8.535,0.786709104,250,4.59 +10.845,0.797165556,269,3.708,10.485,0.794108068,269,3.894,10.215,0.791051567,268,4.104,9.935,0.787995065,268,4.352 +13.345,0.795399951,276,6.187,12.985,0.792342462,276,6.335,12.685,0.789285961,276,6.461,12.435,0.786327165,276,6.622 +14.945,0.796784604,272,8.081,14.585,0.793728103,272,8.271,14.285,0.790769307,272,8.395,14.035,0.787712805,272,8.555 +15.745,0.793337281,265,9.717,15.385,0.790279793,265,9.942,15.085,0.787321984,265,10.082,14.835,0.784364175,265,10.25 +15.745,0.794224525,259,10.302,15.385,0.791265729,259,10.544,15.085,0.788209228,259,10.693,14.835,0.785251419,259,10.885 +15.485,0.794618307,247,10.57,15.185,0.791560819,247,10.841,14.865,0.78860301,247,11.019,14.535,0.785545522,247,11.212 +14.785,0.793826795,237,11.254,14.485,0.790868986,237,11.631,14.165,0.787811498,237,11.887,13.835,0.784853689,237,12.154 +14.175,0.795106834,236,10.798,13.935,0.792148038,237,11.503,13.645,0.789091537,237,12.035,13.335,0.786133728,237,12.55 +13.565,0.796287195,226,10.342,13.385,0.793428078,227,11.374,13.135,0.790371577,227,12.193,12.935,0.787413768,227,12.937 +12.265,0.796283247,225,10.649,12.085,0.793226746,226,11.829,11.855,0.790268937,227,12.814,11.635,0.787311128,227,13.769 +11.165,0.797955095,227,10.441,10.985,0.794997286,229,11.631,10.815,0.792039477,229,12.666,10.635,0.789081668,229,13.67 +10.465,0.798544288,230,10.352,10.285,0.795487787,232,11.523,10.115,0.792529978,232,12.538,9.935,0.789572169,232,13.551 +10.005,0.800316802,226,9.936,9.885,0.797358993,228,11.009,9.685,0.794401184,229,11.936,9.535,0.791344683,229,12.828 +9.565,0.797259314,225,10.035,9.385,0.794301505,228,11.118,9.205,0.791343696,229,12.035,9.035,0.788288182,229,12.937 +9.305,0.796864545,226,9.301,9.185,0.793808043,228,10.367,9.015,0.790850234,229,11.285,8.835,0.787892425,229,12.173 +8.905,0.797158648,228,9.063,8.785,0.794200839,230,10.09,8.585,0.79124303,231,10.97,8.435,0.788187515,231,11.817 +7.965,0.795282507,219,8.319,7.785,0.792226005,221,9.22,7.585,0.789268196,222,9.973,7.435,0.786212682,222,10.716 +6.825,0.794292623,212,8.835,6.585,0.791236121,214,9.714,6.355,0.78817962,215,10.447,6.135,0.785123119,215,11.152 +5.625,0.794782137,208,10.352,5.385,0.791725635,209,11.305,5.165,0.788669134,210,12.084,4.935,0.785612633,210,12.877 +5.095,0.793794226,212,9.499,4.885,0.790737725,213,10.515,4.745,0.787779916,214,11.394,4.635,0.784822107,214,12.263 +4.565,0.792905009,213,8.647,4.385,0.789848507,215,9.724,4.335,0.786891685,217,10.703,4.335,0.783934863,217,11.648 +4.785,0.791328892,220,8.428,4.485,0.788173698,222,9.339,4.385,0.785215889,224,10.18,4.335,0.782259067,224,11.043 +6.685,0.79419393,252,8.141,6.385,0.791137429,253,8.469,6.135,0.788080928,254,8.859,5.935,0.785024426,254,9.348 +8.845,0.793018505,274,9.212,8.485,0.789962003,274,9.576,8.185,0.786904515,274,9.875,7.935,0.783848014,274,10.201 +10.145,0.793219837,282,10.798,9.785,0.790163336,282,11.108,9.485,0.787106834,282,11.325,9.235,0.784049346,282,11.569 +11.045,0.792040464,280,11.363,10.685,0.788982976,280,11.661,10.365,0.785926474,280,11.867,10.035,0.782869973,280,12.094 +11.645,0.791943745,278,11.8,11.285,0.788788552,278,12.096,10.985,0.785829756,278,12.292,10.735,0.782773254,278,12.52 +12.245,0.792340489,279,12.137,11.885,0.789283,279,12.442,11.585,0.786226499,279,12.657,11.335,0.783267703,279,12.887 +12.645,0.791454231,273,11.611,12.285,0.788396743,273,11.908,11.985,0.785340242,273,12.114,11.735,0.782382433,273,12.342 +12.645,0.791750308,267,11.849,12.285,0.78869282,267,12.175,11.985,0.785636319,267,12.39,11.735,0.78267851,267,12.629 +12.045,0.792240809,259,11.958,11.685,0.789183321,259,12.343,11.385,0.78612682,259,12.607,11.035,0.783169011,259,12.877 +11.785,0.792437207,251,11.78,11.485,0.789379719,251,12.432,11.185,0.786323217,252,12.874,10.835,0.783365408,252,13.304 +11.525,0.792633605,247,11.611,11.285,0.789577103,247,12.511,10.985,0.786618307,247,13.15,10.735,0.783561806,247,13.72 +9.825,0.796077967,249,11.046,9.585,0.793119171,250,12.056,9.315,0.79006267,250,12.844,9.035,0.787006168,250,13.581 +8.525,0.796566494,249,9.351,8.285,0.793509006,250,10.297,8.035,0.790452504,251,11.088,7.835,0.787396003,251,11.856 +7.625,0.798435727,260,8.161,7.385,0.795379225,262,9.121,7.165,0.792322724,262,9.963,6.935,0.789266223,262,10.805 +6.825,0.796165803,257,6.882,6.585,0.793109302,261,7.827,6.415,0.7900528,262,8.711,6.235,0.787094991,262,9.596 +6.105,0.797839625,244,5.563,5.985,0.794783124,251,6.443,5.835,0.791825315,253,7.172,5.735,0.788768813,253,7.831 +5.305,0.79694942,226,5.662,5.185,0.793892919,235,6.601,5.135,0.790936097,239,7.28,5.035,0.787978288,239,7.841 +4.605,0.796651369,207,6.019,4.485,0.79369356,215,7.155,4.565,0.790835431,219,7.793,4.635,0.787977301,219,8.168 +4.005,0.796155934,196,6.782,3.885,0.793100419,201,8.163,4.065,0.790340982,206,8.691,4.235,0.787482852,206,8.773 +3.465,0.792605971,190,6.842,3.285,0.78954947,192,8.034,3.485,0.78669134,196,8.612,3.735,0.783931902,196,8.922 +3.105,0.795167037,184,6.237,2.985,0.792110535,187,7.254,3.085,0.789252406,190,8.129,3.235,0.786395263,190,8.892 +3.045,0.794477177,189,6.763,2.985,0.791519368,194,7.896,3.045,0.788661239,196,8.878,3.135,0.785803109,196,9.745 +2.985,0.793885023,183,7.288,2.985,0.790928201,185,8.548,3.015,0.788070072,188,9.628,3.035,0.785112263,188,10.587 +2.625,0.791814458,194,8.607,2.385,0.788659265,196,9.843,2.315,0.785701456,197,10.97,2.235,0.782744634,197,12.104 +3.885,0.792114483,208,11.036,3.585,0.788959289,209,11.612,3.315,0.785901801,209,12.124,3.035,0.7828453,209,12.709 +5.685,0.791430545,216,13.793,5.385,0.788374044,216,14.329,5.085,0.78521885,216,14.689,4.835,0.782162349,216,15.078 +7.045,0.789364915,216,13.704,6.685,0.786209721,217,14.3,6.365,0.78315322,217,14.748,6.035,0.779997039,217,15.217 +8.045,0.789663953,219,13.019,7.685,0.786508759,219,13.44,7.365,0.783452258,219,13.752,7.035,0.780394769,219,14.097 +8.905,0.788878362,225,12.851,8.485,0.785723168,225,13.232,8.165,0.78266568,225,13.515,7.835,0.779609178,225,13.829 +9.245,0.790358747,227,12.355,8.885,0.787301258,227,12.669,8.565,0.784244757,227,12.883,8.235,0.781188256,227,13.125 +8.645,0.790849247,223,11.671,8.285,0.787694054,223,11.948,7.955,0.784636566,223,12.144,7.635,0.781580064,223,12.362 +7.685,0.789761658,214,11.344,7.385,0.786705157,214,11.651,7.065,0.783549963,214,11.858,6.735,0.780492475,214,12.084 +6.745,0.78985739,200,11.264,6.385,0.786701209,200,11.602,6.085,0.783644708,200,11.818,5.835,0.780588206,200,12.064 +6.785,0.790942018,208,10.263,6.485,0.78788453,209,10.841,6.195,0.784828029,209,11.236,5.935,0.781771527,209,11.628 +6.825,0.792124352,197,9.271,6.585,0.789067851,198,10.08,6.315,0.78601135,198,10.664,6.035,0.782856156,198,11.182 +5.225,0.792020725,179,8.894,4.985,0.788964224,180,9.882,4.735,0.78580903,180,10.664,4.535,0.782752529,180,11.38 +4.025,0.79053738,179,8.528,3.785,0.787480878,179,9.556,3.565,0.784424377,179,10.467,3.335,0.781367876,179,11.37 +3.165,0.792111522,183,9.301,2.985,0.789055021,183,10.436,2.815,0.785999507,183,11.473,2.635,0.783041698,183,12.54 +2.865,0.790829509,188,8.557,2.685,0.787773008,188,9.635,2.515,0.784716506,189,10.654,2.335,0.781660005,189,11.717 +2.765,0.789547496,184,8.418,2.585,0.786589687,185,9.457,2.415,0.783533185,185,10.398,2.235,0.780476684,185,11.37 +2.865,0.788365162,180,7.873,2.685,0.78530866,180,8.924,2.535,0.782252159,181,9.894,2.335,0.77929435,181,10.905 +3.205,0.788760918,171,8.468,3.085,0.785803109,173,9.635,2.935,0.782746607,173,10.644,2.835,0.779788798,173,11.618 +3.105,0.787182828,172,10.372,2.985,0.784126326,173,11.612,2.815,0.781169504,174,12.657,2.635,0.778113003,174,13.66 +3.145,0.787182828,171,9.321,3.085,0.784226005,173,10.495,2.915,0.781169504,174,11.483,2.735,0.778211695,174,12.441 +2.865,0.787379225,184,9.846,2.685,0.784322724,185,11.029,2.455,0.781266223,185,11.966,2.235,0.778209721,185,12.848 +2.745,0.786688379,183,9.37,2.535,0.783632865,183,10.416,2.285,0.780576363,183,11.226,2.035,0.777518875,183,11.995 +2.625,0.786097212,188,8.894,2.385,0.782942018,188,9.793,2.115,0.779885517,188,10.486,1.835,0.776829016,188,11.133 +1.245,0.784515174,191,9.618,0.885,0.781358993,191,10.119,0.585,0.7782038,191,10.506,0.335,0.775147298,191,10.905 +1.145,0.786683444,189,9.351,0.785,0.783527264,189,9.675,0.455,0.78037207,189,9.944,0.135,0.777216876,189,10.25 +1.445,0.783135455,197,12.097,1.085,0.779980262,197,12.442,0.755,0.776825068,197,12.696,0.435,0.77376758,197,12.976 +1.745,0.785896867,204,12.048,1.385,0.782741673,205,12.343,1.065,0.779585492,205,12.548,0.735,0.776430299,205,12.788 +1.945,0.786094251,205,12.93,1.585,0.782939057,205,13.232,1.255,0.779783864,205,13.426,0.935,0.77662867,205,13.65 +1.945,0.784813225,207,13.208,1.585,0.781658031,207,13.529,1.255,0.77850185,207,13.722,0.935,0.775445349,207,13.958 +2.005,0.78461584,208,12.117,1.585,0.781460646,208,12.402,1.255,0.778305453,208,12.568,0.935,0.775150259,208,12.768 +1.945,0.784024673,208,11.125,1.585,0.780869479,208,11.374,1.255,0.777713299,208,11.512,0.935,0.774656797,208,11.698 +1.745,0.784221071,208,10.104,1.385,0.781065877,209,10.317,1.065,0.777909697,209,10.447,0.735,0.774754503,209,10.617 +1.545,0.785502097,214,8.904,1.185,0.782345917,214,9.102,0.865,0.779190723,214,9.224,0.535,0.776035529,214,9.388 +2.085,0.787771034,218,7.04,1.785,0.78461584,219,7.382,1.475,0.78145966,219,7.616,1.135,0.778304466,219,7.841 +2.625,0.789941278,225,5.166,2.385,0.786884777,226,5.672,2.085,0.783729583,226,6.008,1.835,0.780673082,226,6.295 +2.005,0.790234394,242,4.898,1.885,0.787277572,244,5.613,1.665,0.784122378,244,6.126,1.435,0.781065877,244,6.563 +1.245,0.793484333,272,4.244,1.185,0.79052751,274,5.119,0.985,0.787471009,275,5.889,0.835,0.784316802,275,6.592 +0.365,0.790919319,294,5.682,0.185,0.787862818,298,6.661,-0.045,0.784806316,299,7.408,-0.265,0.781651123,299,8.099 +-0.435,0.793379719,323,5.255,-0.615,0.790324204,326,6.107,-0.885,0.787169011,327,6.748,-1.165,0.784013817,327,7.336 +-0.935,0.792687886,324,3.996,-1.115,0.789632371,331,4.655,-1.385,0.786477177,333,5.169,-1.665,0.783321984,333,5.651 +-1.075,0.792096718,339,1.834,-1.315,0.788941525,350,2.194,-1.585,0.785786331,354,2.594,-1.865,0.782631137,354,3.014 +-1.215,0.793081668,342,0.555,-1.515,0.789926474,17,0.87,-1.765,0.786771281,22,1.263,-1.965,0.783616087,22,1.685 +-1.435,0.793080681,293,1.696,-1.615,0.79002418,322,1.7,-1.865,0.786868986,331,1.776,-2.065,0.783714779,331,1.913 +-1.855,0.792684925,271,2.459,-1.915,0.789728103,295,2.273,-2.145,0.786572909,301,2.032,-2.365,0.783417715,301,1.814 +-2.215,0.79307772,274,2.776,-2.215,0.790120898,298,2.629,-2.385,0.786965704,303,2.249,-2.565,0.78391019,303,1.864 +-2.905,0.793765606,268,3.034,-2.815,0.790808784,284,3.123,-3.015,0.78765359,284,2.841,-3.165,0.784597089,284,2.528 +-3.595,0.794452504,308,3.292,-3.415,0.791496669,321,3.617,-3.645,0.788341475,323,3.443,-3.865,0.785186282,323,3.192 +-3.615,0.789426104,284,1.904,-3.615,0.78637059,312,2.392,-3.835,0.783314088,316,2.437,-4.065,0.780158895,316,2.25 +-3.055,0.791497656,342,3.163,-3.415,0.78824377,341,3.212,-3.715,0.785088576,341,3.236,-3.965,0.78183469,341,3.281 +-2.555,0.791697015,332,3.619,-2.915,0.788443129,332,3.666,-3.245,0.785286948,331,3.709,-3.565,0.782033062,331,3.767 +-1.895,0.790023193,337,3.461,-2.315,0.786769307,337,3.508,-2.635,0.783614113,337,3.532,-2.965,0.780360227,337,3.579 +-1.095,0.788942512,342,3.213,-1.515,0.785687639,342,3.241,-1.835,0.782532445,341,3.265,-2.165,0.779377251,341,3.301 +-0.395,0.789634345,347,4.065,-0.815,0.786380459,347,4.111,-1.135,0.783126573,347,4.133,-1.465,0.779971379,347,4.173 +0.305,0.790721934,332,4.373,-0.115,0.787565754,332,4.427,-0.445,0.784311868,332,4.459,-0.765,0.781156674,332,4.511 +0.945,0.789836664,342,4.095,0.585,0.786582778,342,4.16,0.255,0.783427585,342,4.193,-0.065,0.780271404,342,4.243 +1.505,0.792006908,346,3.699,1.085,0.788753022,346,3.765,0.755,0.785597829,347,3.788,0.435,0.782441648,347,3.836 +1.845,0.793092524,345,4.244,1.485,0.78993733,346,4.309,1.165,0.786782137,346,4.341,0.835,0.783625956,346,4.392 +2.065,0.794769307,336,4.482,1.735,0.791515421,336,4.605,1.425,0.788360227,336,4.676,1.135,0.785205033,336,4.758 +2.285,0.796347397,330,4.72,1.985,0.793192203,331,4.902,1.685,0.790036023,331,5.011,1.435,0.786880829,331,5.135 +2.085,0.797332346,310,4.581,1.785,0.794177153,312,5.02,1.515,0.791021959,313,5.307,1.235,0.787865778,313,5.551 +1.925,0.800978041,312,4.125,1.685,0.797823834,315,4.684,1.415,0.794668641,316,5.11,1.135,0.791513447,316,5.482 +1.725,0.799696028,309,5.592,1.485,0.796540834,311,6.325,1.215,0.793386627,312,6.974,0.935,0.790230447,312,7.633 +1.425,0.800779669,323,6.009,1.185,0.797624476,324,6.839,0.985,0.794469282,325,7.665,0.835,0.791413768,325,8.506 +1.205,0.800482605,317,6.078,1.085,0.797328399,322,7.204,0.985,0.79437059,325,8.138,0.835,0.791315075,325,8.981 +0.885,0.799397977,320,5.989,0.885,0.796440168,326,7.244,0.915,0.793483346,330,8.227,0.935,0.790526524,330,9.1 +0.465,0.799494695,318,6.435,0.585,0.796537873,325,7.827,0.685,0.793679743,329,8.809,0.835,0.790723908,329,9.626 +-0.075,0.798802862,322,6.782,-0.015,0.79584604,326,8.222,0.135,0.79298791,329,9.411,0.335,0.79012978,329,10.419 +-0.815,0.796927708,327,6.782,-0.815,0.793871207,331,8.034,-0.715,0.791013077,333,9.105,-0.665,0.788056255,333,10.062 +-1.075,0.798798914,335,6.455,-1.015,0.795842092,343,7.57,-1.015,0.79288527,347,8.336,-0.965,0.789829756,347,8.981 +-1.055,0.798503824,322,6.277,-1.115,0.795447323,324,7.303,-1.135,0.792391809,327,8.119,-1.165,0.789434986,327,8.852 +-1.035,0.798109055,323,6.108,-1.215,0.795052554,326,7.026,-1.265,0.791997039,329,7.892,-1.365,0.788941525,329,8.724 +-0.975,0.79801135,321,7.05,-1.215,0.794856156,324,7.945,-1.295,0.791799655,325,8.79,-1.365,0.78874414,325,9.586 +-0.015,0.79801431,341,8.468,-0.315,0.794859117,342,8.785,-0.585,0.791703923,342,9.046,-0.865,0.788548729,342,9.358 +0.945,0.795849001,353,7.833,0.585,0.792693807,353,8.094,0.255,0.789439921,353,8.306,-0.065,0.786284727,353,8.545 +2.045,0.798218604,8,7.367,1.685,0.794964717,8,7.53,1.365,0.791809524,8,7.655,1.035,0.78865433,8,7.812 +3.145,0.796547742,354,7.169,2.785,0.793292869,354,7.313,2.455,0.790137676,354,7.418,2.135,0.786982482,354,7.564 +4.245,0.795860844,356,7.982,3.885,0.79270565,356,8.133,3.565,0.789550456,356,8.227,3.235,0.786395263,356,8.377 +5.245,0.796752036,352,8.349,4.885,0.79349815,352,8.499,4.565,0.790440661,352,8.612,4.235,0.787285468,352,8.753 +6.045,0.79606415,357,8.875,5.685,0.792908956,357,9.052,5.365,0.789753763,358,9.184,5.035,0.786697261,358,9.348 +6.745,0.796165803,357,9.103,6.385,0.793009623,357,9.309,6.065,0.789953121,357,9.46,5.735,0.786797927,357,9.636 +7.085,0.79803997,355,9.331,6.785,0.794982482,355,9.576,6.455,0.791827288,355,9.766,6.135,0.788672095,355,9.983 +7.055,0.798433753,348,7.794,6.785,0.795377251,348,8.262,6.485,0.792222058,347,8.592,6.235,0.789165556,347,8.922 +7.025,0.798828522,347,6.267,6.785,0.795771034,347,6.937,6.515,0.79261584,347,7.428,6.235,0.789559339,347,7.861 +6.505,0.80434641,346,4.928,6.385,0.801388601,346,5.722,6.165,0.798233407,347,6.383,5.935,0.795176906,347,6.989 +5.985,0.805330373,323,5.186,5.985,0.802372564,330,5.989,5.815,0.799317049,332,6.501,5.635,0.796260548,332,6.909 +5.145,0.805918579,320,5.602,5.385,0.803060449,328,6.927,5.335,0.800103627,331,7.537,5.335,0.797146805,331,7.752 +4.885,0.808776709,322,4.908,5.185,0.806017271,336,5.771,5.165,0.803060449,343,6.136,5.135,0.80010264,343,6.325 +4.685,0.806311374,314,4.898,5.285,0.803750308,342,5.159,5.185,0.800694794,350,5.179,5.035,0.797736985,350,5.214 +4.985,0.806509746,313,2.806,5.285,0.803750308,1,2.797,5.135,0.800693807,14,2.969,5.035,0.797638293,14,3.212 +5.005,0.806312361,323,2.747,5.185,0.803454231,5,2.589,5.015,0.80039773,18,2.683,4.835,0.797342216,18,2.865 +4.965,0.807199605,328,2.538,5.085,0.804341475,11,2.392,4.885,0.801186282,23,2.466,4.735,0.79812978,23,2.597 +4.665,0.805916605,328,2.062,4.785,0.803058475,22,2.056,4.585,0.799904268,35,2.22,4.435,0.796847767,35,2.379 +4.485,0.806606464,20,1.636,4.485,0.80355095,58,2.332,4.285,0.800494449,66,2.802,4.035,0.797437947,66,3.014 +3.865,0.806998273,41,2.479,3.835,0.803942758,49,2.994,3.685,0.800886257,52,3.403,3.535,0.797829756,52,3.698 +3.245,0.807390081,83,3.322,3.185,0.804334567,84,3.656,3.085,0.801278066,86,4.015,3.035,0.798222551,86,4.382 +2.665,0.804332593,106,2.39,2.785,0.801474463,89,2.668,2.765,0.798418949,89,2.989,2.735,0.79546114,89,3.351 +2.245,0.803246978,173,2.717,2.785,0.800685912,131,2.787,2.815,0.79772909,127,2.959,2.835,0.794772267,127,3.222 +3.485,0.801772514,203,1.319,3.185,0.798617321,182,1.433,3.265,0.795660498,167,1.608,3.335,0.792802369,167,1.864 +6.405,0.800107575,148,0.863,5.985,0.796951394,151,0.899,5.665,0.7937962,153,0.947,5.335,0.790641007,153,1.011 +8.445,0.800213175,285,0.327,8.085,0.797056995,270,0.366,7.755,0.793901801,266,0.404,7.435,0.7908453,266,0.476 +9.285,0.801497163,51,2.747,8.985,0.798341969,51,2.747,8.665,0.795285468,52,2.703,8.335,0.792129287,52,2.667 +9.645,0.79864002,49,4.026,9.285,0.795484826,49,4.042,8.955,0.792427338,50,4.045,8.635,0.789272144,50,4.035 +10.245,0.797656057,64,4.422,9.885,0.794500864,65,4.457,9.565,0.791443375,65,4.469,9.235,0.788386874,65,4.491 +10.845,0.799136442,68,4.512,10.485,0.795981248,69,4.556,10.165,0.792924747,69,4.577,9.835,0.789867259,69,4.61 +11.145,0.798743647,78,5.236,10.785,0.795686158,78,5.327,10.485,0.792629657,79,5.376,10.235,0.789573156,79,5.452 +11.505,0.799533185,77,4.403,11.235,0.796476684,78,4.664,10.955,0.793420183,79,4.844,10.735,0.790363681,79,4.996 +11.865,0.800225019,85,3.57,11.685,0.797267209,88,4.002,11.435,0.794210708,90,4.301,11.235,0.791251912,90,4.54 +11.625,0.80603997,152,4.234,11.685,0.803180854,148,4.605,11.455,0.800124352,148,4.824,11.235,0.797067851,148,5.006 +10.245,0.80692228,218,6.693,10.485,0.804162842,225,7.896,10.415,0.801205033,228,8.76,10.335,0.798346904,228,9.427 +8.385,0.804254626,226,8.21,8.685,0.801495189,234,9.833,8.955,0.798834444,237,11.137,9.235,0.796075993,237,12.154 +7.165,0.805630397,219,7.685,7.585,0.802969652,227,9.527,8.205,0.800508265,229,10.723,8.835,0.798045892,229,11.41 +6.365,0.8046415,207,7.486,7.385,0.802279793,218,9.517,7.935,0.799718727,221,10.634,8.535,0.797256353,221,11.142 +5.665,0.802371577,207,8.141,7.285,0.800504318,216,10.465,7.835,0.797943252,218,11.71,8.435,0.795383173,218,12.263 +5.265,0.802567974,203,9.152,7.185,0.800899087,208,12.056,7.815,0.798436714,210,13.554,8.435,0.79597434,210,14.097 +5.185,0.804736245,201,9.132,7.585,0.803364421,202,11.918,8.165,0.800803356,204,12.992,8.735,0.798340982,204,13.115 +5.285,0.802567974,206,9.579,7.985,0.801394523,207,11.671,8.515,0.798833457,210,12.262,9.035,0.796272391,210,12.233 +5.525,0.804244757,202,9.866,8.285,0.803169998,205,11.849,8.685,0.800509252,207,12.311,9.035,0.797849494,207,12.173 +5.275,0.802961757,197,9.628,7.885,0.801788305,202,11.414,8.285,0.799128547,206,11.68,8.735,0.796467802,206,11.41 +5.025,0.801679743,204,9.38,7.485,0.80030792,205,10.979,7.885,0.797746854,208,11.039,8.335,0.795087096,208,10.647 +5.325,0.802271897,201,9.093,7.785,0.800901061,202,10.92,8.065,0.798240316,205,11.216,8.335,0.795480878,205,10.855 +6.265,0.80276832,195,7.962,7.885,0.800802369,199,10.712,8.085,0.798042931,200,11.759,8.335,0.795283494,200,11.598 +8.605,0.80041056,206,7.268,8.485,0.797354059,207,8.37,8.515,0.794495929,208,9.46,8.535,0.791637799,208,10.528 +11.585,0.801801135,218,8.18,11.285,0.798743647,218,8.509,10.985,0.795687145,219,8.78,10.735,0.792630644,219,9.071 +13.585,0.800328645,225,8.766,13.285,0.797272144,225,9.023,12.955,0.794215643,225,9.233,12.635,0.791158154,225,9.457 +14.545,0.798459413,230,9.579,14.185,0.795401925,230,9.813,13.885,0.792345423,230,9.973,13.535,0.789386627,230,10.161 +14.945,0.798066617,229,9.182,14.585,0.795009129,229,9.388,14.285,0.791952628,229,9.529,14.035,0.788994819,229,9.695 +15.085,0.798657784,230,8.617,14.785,0.795601283,230,8.805,14.455,0.792544782,231,8.928,14.135,0.789487293,231,9.08 +14.945,0.799643721,236,7.833,14.585,0.796586232,237,7.995,14.285,0.793529731,237,8.099,14.035,0.790571922,237,8.218 +14.645,0.799741426,232,7.943,14.285,0.796683938,232,8.133,13.985,0.793627436,232,8.257,13.735,0.790668641,232,8.396 +14.275,0.800331606,243,7.457,14.035,0.79737281,243,8.034,13.775,0.794316309,243,8.464,13.535,0.7913585,243,8.823 +13.905,0.800921786,240,6.981,13.785,0.797963977,242,7.945,13.565,0.795006168,242,8.671,13.335,0.791949667,242,9.249 +12.085,0.801999507,239,7.635,12.085,0.799141377,241,9.111,11.955,0.796183568,243,10.328,11.835,0.793324451,243,11.341 +10.625,0.80376906,243,7.615,10.685,0.80091093,247,9.191,10.685,0.798051813,249,10.486,10.735,0.795193684,249,11.499 +9.345,0.804553664,250,8.131,9.585,0.801794226,254,10.011,9.785,0.799034789,256,11.216,10.035,0.796275352,256,11.876 +8.565,0.806325191,254,8.071,9.285,0.803862818,261,10.327,9.535,0.801104367,263,11.246,9.835,0.798443622,263,11.172 +7.845,0.807505551,262,7.873,8.985,0.805340242,271,10.179,9.215,0.802581791,274,11.236,9.435,0.799822354,274,11.331 +7.345,0.806222551,267,7.605,8.485,0.803958549,280,9.586,8.665,0.801199112,284,10.565,8.835,0.798439674,284,10.865 +6.965,0.805728103,274,7.01,7.985,0.803464101,291,8.568,8.085,0.800605971,296,9.322,8.235,0.797747841,296,9.616 +6.765,0.807008142,295,6.574,7.485,0.804546755,312,7.807,7.465,0.801589933,318,8.484,7.435,0.798632124,318,8.852 +6.305,0.806021219,307,6.049,6.785,0.803460153,325,6.947,6.765,0.800502344,330,7.487,6.735,0.797545522,330,7.911 +5.645,0.807695041,310,6.316,6.185,0.805133975,328,7.184,6.235,0.802177153,334,7.675,6.335,0.799319023,334,8.089 +5.285,0.806313348,293,5.692,5.885,0.803752282,316,6.364,5.985,0.800894152,324,6.659,6.035,0.798036023,324,6.89 +4.925,0.804931656,299,5.057,5.585,0.802371577,327,5.544,5.735,0.799513447,336,5.643,5.835,0.796754009,336,5.7 +4.825,0.806903528,278,3.788,5.485,0.804441155,319,3.508,5.635,0.801583025,334,3.196,5.835,0.798724895,334,3.142 +5.065,0.80266568,240,1.616,5.485,0.800005922,316,0.959,5.615,0.797147792,16,1.105,5.735,0.794289662,16,2.032 +7.305,0.802968665,117,0.972,6.885,0.799813472,116,1.067,6.585,0.796658278,116,1.213,6.335,0.793601776,116,1.388 +8.845,0.805537626,91,1.805,8.485,0.802381446,91,1.828,8.185,0.799226252,92,1.855,7.935,0.796169751,92,1.884 +10.245,0.802092277,50,1.696,9.885,0.798936097,51,1.7,9.565,0.795879595,51,1.687,9.235,0.792724402,51,1.685 +10.945,0.801896867,21,2.172,10.585,0.798741673,21,2.164,10.285,0.795685171,21,2.151,10.035,0.79262867,21,2.151 +11.545,0.800125339,30,2.955,11.185,0.797067851,30,2.975,10.865,0.793912657,30,2.959,10.535,0.790855169,30,2.964 +11.945,0.799436467,40,4.145,11.585,0.796378979,40,4.17,11.255,0.793223785,40,4.173,10.935,0.790167283,40,4.193 +12.245,0.799536146,48,4.502,11.885,0.796478658,48,4.546,11.585,0.793422156,48,4.558,11.335,0.790365655,48,4.59 +12.345,0.798747594,50,4.452,11.985,0.795691093,50,4.506,11.685,0.792633605,50,4.528,11.435,0.789577103,50,4.56 +12.825,0.800129287,44,4.254,12.585,0.797171478,44,4.566,12.295,0.79411399,44,4.725,12.035,0.791057488,44,4.818 +13.305,0.80151098,69,4.065,13.185,0.798651863,66,4.635,12.915,0.795595361,66,4.923,12.635,0.79253886,66,5.076 +13.145,0.80584752,95,3.431,13.085,0.802988404,87,3.983,12.835,0.799931902,85,4.291,12.535,0.796875401,85,4.471 +13.105,0.807917098,107,1.656,12.985,0.804960276,91,2.243,12.765,0.801903775,89,2.624,12.535,0.798944979,89,2.845 +12.985,0.807523316,24,1.21,12.985,0.804664199,70,1.799,12.765,0.801607698,74,2.239,12.535,0.798551197,74,2.508 +12.605,0.809591907,315,1.19,12.785,0.80683247,33,1.067,12.585,0.803874661,52,1.391,12.435,0.800818159,52,1.725 +11.845,0.811363434,321,3.371,12.385,0.808802369,359,3.064,12.235,0.80584456,9,2.93,12.035,0.802788058,9,2.885 +10.685,0.809289909,300,5.057,11.885,0.807124599,334,4.704,11.785,0.804167777,341,4.262,11.735,0.801209968,341,3.906 +9.765,0.806722921,296,6.068,11.385,0.804855662,331,5.969,11.315,0.80189884,338,5.564,11.235,0.798941031,338,5.254 +8.865,0.806621268,301,6.128,10.185,0.804556625,333,6.414,10.265,0.801697508,342,6.323,10.335,0.798839378,342,6.087 +7.705,0.805533679,299,5.93,8.785,0.803368369,328,6.216,9.015,0.800510239,338,6.096,9.235,0.797850481,338,5.898 +6.825,0.8059245,302,5.821,7.785,0.803561806,330,6.107,8.085,0.800902048,340,5.889,8.435,0.79814261,340,5.631 +6.035,0.80523168,303,5.94,6.935,0.802868986,327,6.384,7.335,0.800209228,336,6.116,7.735,0.79754947,336,5.67 +5.245,0.804440168,297,6.059,6.085,0.802077473,317,6.671,6.585,0.799516408,325,6.353,7.035,0.796856649,325,5.71 +4.625,0.802171231,291,5.573,4.985,0.799510486,306,6.73,5.515,0.796851715,312,6.659,6.035,0.794290649,312,5.898 +4.885,0.803354552,309,4.968,4.585,0.800199358,310,5.455,4.755,0.797439921,312,5.998,4.935,0.794581791,312,6.444 +5.845,0.800105601,315,4.442,5.485,0.79694942,315,4.625,5.185,0.793794226,315,4.745,4.935,0.790639033,315,4.877 +7.245,0.800997779,323,3.312,6.885,0.797841599,323,3.37,6.565,0.794686405,323,3.413,6.235,0.791629904,323,3.45 +8.945,0.801397483,335,3.272,8.585,0.79824229,335,3.311,8.255,0.795184801,334,3.315,7.935,0.792029608,334,3.351 +10.345,0.798938071,346,3.411,9.985,0.795881569,346,3.449,9.665,0.792824081,346,3.453,9.335,0.789668887,346,3.47 +11.305,0.798941031,356,3.54,10.885,0.795785838,356,3.568,10.585,0.792728349,356,3.571,10.335,0.789671848,356,3.598 +11.805,0.798745621,7,5.454,11.385,0.795689119,6,5.504,11.085,0.792631631,6,5.544,10.835,0.78957513,6,5.601 +12.045,0.798352825,11,5.949,11.685,0.795196644,11,6.028,11.365,0.792140143,11,6.077,11.035,0.789082655,11,6.146 +12.145,0.800817172,12,6.326,11.785,0.797759684,12,6.433,11.455,0.794604491,12,6.491,11.135,0.791547989,12,6.572 +12.305,0.802000493,358,6.534,12.035,0.798943992,358,6.937,11.745,0.795886504,358,7.221,11.435,0.792830002,358,7.475 +12.465,0.803183814,2,6.733,12.285,0.800127313,3,7.441,12.035,0.797169504,3,7.941,11.835,0.794113003,3,8.367 +11.305,0.807122625,8,6.197,11.185,0.804164816,12,6.987,10.935,0.801108315,13,7.556,10.735,0.798051813,13,8.03 +9.865,0.807117691,35,6.882,9.685,0.804061189,37,7.847,9.415,0.801004688,37,8.553,9.135,0.797849494,37,9.13 +8.765,0.807804589,27,5.156,8.585,0.804748088,32,5.831,8.335,0.801691586,33,6.333,8.035,0.798634098,33,6.781 +7.865,0.808687886,31,5.345,7.685,0.805533679,34,6.058,7.435,0.80247619,35,6.56,7.235,0.799420676,35,7.009 +7.005,0.808093758,31,5.067,6.885,0.805037256,35,5.692,6.615,0.801882063,36,6.116,6.335,0.798825561,36,6.463 +6.105,0.80562645,35,5.473,5.985,0.802569948,40,6.206,5.715,0.799414755,41,6.629,5.435,0.796358253,41,6.949 +5.505,0.805820873,33,4.631,5.385,0.802765359,41,5.178,5.135,0.799708858,43,5.524,4.935,0.796553664,43,5.839 +5.045,0.806411054,34,4.918,4.985,0.803355539,45,5.504,4.765,0.800299038,48,5.84,4.535,0.797143844,48,6.156 +4.625,0.805621515,32,5.017,4.685,0.802762398,46,5.672,4.515,0.799608191,51,6.087,4.335,0.79655169,51,6.473 +4.265,0.806802862,52,5.751,4.385,0.803944732,63,6.75,4.285,0.800888231,67,7.537,4.235,0.797832717,67,8.258 +4.135,0.805815939,30,4.67,4.285,0.802958796,46,5.307,4.235,0.800000987,51,5.741,4.235,0.796945472,51,6.146 +4.005,0.804830002,351,3.599,4.185,0.801971873,23,3.854,4.185,0.799015051,34,3.946,4.235,0.796058228,34,4.035 +3.525,0.802857143,335,4.264,3.585,0.799900321,355,4.704,3.635,0.797042191,4,4.518,3.735,0.794085369,4,4.074 +3.785,0.80542117,360,3.49,3.485,0.802167283,2,3.706,3.415,0.799209474,3,3.956,3.335,0.79615396,3,4.193 +4.845,0.804044412,21,3.421,4.485,0.800889218,23,3.479,4.165,0.797734024,23,3.532,3.835,0.794480138,23,3.598 +6.045,0.803162102,62,3.342,5.685,0.799907229,63,3.4,5.365,0.796752036,63,3.443,5.035,0.793596842,63,3.509 +7.245,0.801885023,65,3.292,6.885,0.798728843,66,3.33,6.565,0.795573649,66,3.364,6.235,0.792418455,66,3.41 +8.305,0.800508265,71,3.679,7.885,0.797352085,71,3.726,7.565,0.794196891,72,3.758,7.235,0.79114039,72,3.807 +9.245,0.801299778,44,3.133,8.885,0.798144584,44,3.162,8.565,0.794989391,44,3.176,8.235,0.791931902,44,3.192 +9.945,0.800809277,30,3.58,9.585,0.797654083,31,3.617,9.255,0.79449889,31,3.63,8.935,0.791441401,31,3.668 +10.345,0.800416482,34,3.56,9.985,0.797261288,34,3.587,9.665,0.7942038,34,3.601,9.335,0.791048606,34,3.638 +10.545,0.801994572,43,3.798,10.185,0.798937084,43,3.834,9.885,0.79578189,43,3.857,9.535,0.792725389,43,3.896 +10.585,0.803079201,40,3.431,10.285,0.800021712,40,3.587,9.985,0.796965211,40,3.66,9.735,0.79390871,40,3.727 +10.625,0.804163829,44,3.074,10.385,0.801106341,44,3.34,10.085,0.79804984,45,3.472,9.835,0.794993338,45,3.549 +10.405,0.806429805,32,2.955,10.285,0.803471996,37,3.182,9.985,0.800316802,38,3.255,9.735,0.797260301,38,3.291 +10.365,0.810372564,69,3.51,10.185,0.807316062,68,3.637,9.885,0.804160868,68,3.66,9.535,0.801104367,68,3.708 +10.225,0.808991858,84,2.657,9.985,0.805935357,81,2.757,9.685,0.802780163,81,2.802,9.435,0.799723661,81,2.855 +10.025,0.811061436,96,3.352,9.785,0.808004935,92,3.479,9.515,0.804849741,92,3.571,9.235,0.80179324,92,3.678 +9.825,0.811455218,128,1.914,9.585,0.808398717,114,2.095,9.335,0.805342216,112,2.269,9.035,0.802186035,112,2.409 +9.545,0.811256847,141,2.231,9.485,0.808300025,115,2.392,9.265,0.805144831,113,2.594,9.035,0.80208833,113,2.746 +8.645,0.810662719,179,3.233,9.485,0.808300025,155,2.905,9.285,0.805243523,153,2.989,9.035,0.802186035,153,3.212 +6.965,0.808684925,186,5.682,8.885,0.807016038,175,5.653,8.735,0.803959536,175,5.801,8.535,0.800903035,175,6.166 +4.825,0.806508759,200,8.002,6.985,0.805038243,190,9.349,7.115,0.802180113,189,9.865,7.235,0.799321984,189,10.31 +3.785,0.806800888,198,7.705,5.285,0.804834937,190,10.119,5.985,0.802372564,188,11.266,6.735,0.799911177,188,11.499 +3.565,0.805518875,204,6.971,5.635,0.803849988,190,8.321,6.235,0.801388601,188,8.533,6.835,0.798827535,188,8.159 +3.345,0.804138169,194,6.247,5.985,0.802964717,178,6.512,6.485,0.800403652,176,5.791,7.035,0.797743893,176,4.828 +3.905,0.803942758,195,5.156,7.085,0.803165063,172,5.03,7.285,0.80030792,170,4.183,7.535,0.797548483,170,3.261 +4.825,0.803551937,185,5.007,7.585,0.802477177,161,5.366,7.605,0.799520355,158,4.834,7.635,0.796661239,158,4.193 +6.925,0.801390575,192,4.105,7.885,0.799027881,173,4.951,7.865,0.796169751,169,5.001,7.835,0.793211942,169,4.778 +9.645,0.803075253,183,2.082,9.285,0.799920059,184,2.253,9.115,0.79696225,185,2.644,8.935,0.793905749,185,3.192 +12.145,0.80259166,204,2.32,11.785,0.79943548,205,2.411,11.485,0.796378979,205,2.516,11.235,0.793322477,205,2.637 +14.245,0.800331606,208,2.35,13.885,0.797274118,209,2.431,13.585,0.794217617,209,2.516,13.335,0.791161115,209,2.607 +15.745,0.800730323,204,1.636,15.385,0.79757513,204,1.72,15.065,0.794616334,205,1.786,14.735,0.791559832,205,1.864 +16.045,0.799055514,143,1.438,15.685,0.795999013,147,1.482,15.385,0.792941525,149,1.519,15.035,0.789983716,149,1.566 +16.245,0.799351591,131,1.765,15.885,0.79629509,135,1.789,15.585,0.793238589,136,1.815,15.335,0.790279793,136,1.854 +16.585,0.800043425,175,2.856,16.285,0.796985936,177,2.945,15.985,0.794028127,177,3.009,15.735,0.790971626,177,3.083 +16.625,0.801029361,199,5.236,16.385,0.798070565,201,5.732,16.125,0.795112756,202,6.146,15.835,0.792154947,202,6.533 +16.665,0.80211399,220,7.605,16.485,0.799155194,220,8.519,16.265,0.796197385,220,9.293,16.035,0.793239576,220,9.993 +14.405,0.802499877,223,8.716,14.285,0.799641747,225,10.06,14.135,0.796683938,225,11.354,14.035,0.793726129,225,12.59 +12.825,0.804072045,228,7.298,12.885,0.801213916,230,8.894,12.885,0.798354799,230,10.289,12.935,0.795496669,230,11.479 +11.585,0.802787071,230,7.903,11.885,0.800027634,232,9.932,12.035,0.797268196,232,11.374,12.235,0.794508759,232,12.253 +10.665,0.805839625,224,7.278,11.085,0.803277572,228,9.27,11.335,0.800518135,229,10.753,11.535,0.797758697,229,11.727 +9.645,0.8055396,218,7.199,10.185,0.802978534,224,9.27,10.535,0.800318776,225,10.763,10.835,0.797658031,225,11.707 +8.725,0.804058228,216,6.485,9.385,0.801595855,222,8.439,9.835,0.799034789,224,9.835,10.335,0.796375031,224,10.706 +7.845,0.803464101,208,6.465,8.685,0.801101406,217,8.439,9.205,0.798539354,220,9.677,9.735,0.795979275,220,10.339 +7.105,0.803263755,203,6.515,8.185,0.80100074,211,8.4,8.735,0.798538367,215,9.372,9.335,0.795977301,215,9.725 +6.645,0.801192203,201,6.931,8.085,0.799226252,208,8.746,8.605,0.796665186,213,9.431,9.135,0.794202813,213,9.487 +6.385,0.803064397,201,7.714,8.185,0.801296817,206,9.497,8.635,0.798636072,210,10.023,9.035,0.796075006,210,9.953 +6.035,0.801288922,196,8.111,8.435,0.799917098,202,9.23,8.765,0.79725734,207,9.273,9.035,0.794596595,207,9.11 +5.685,0.799513447,204,8.518,8.685,0.798538367,209,8.953,8.885,0.795778929,214,8.523,9.035,0.793019492,214,8.278 +6.145,0.80237355,208,8.567,9.385,0.801595855,211,9.477,9.515,0.798737725,215,9.253,9.635,0.795978288,215,9.12 +7.605,0.801589933,205,7.119,8.985,0.79952529,210,9.26,9.265,0.796765852,214,10.102,9.535,0.794105107,214,10.042 +9.865,0.801597829,208,6.078,9.685,0.798541327,210,6.888,9.735,0.795683198,212,7.813,9.835,0.792825068,212,8.763 +12.745,0.800326672,224,6.019,12.385,0.797269183,224,6.295,12.085,0.794212682,224,6.55,11.835,0.791155194,224,6.82 +14.785,0.802107081,239,6.911,14.485,0.799149272,239,7.076,14.165,0.796091784,239,7.201,13.835,0.793035283,239,7.356 +16.045,0.799351591,245,7.338,15.685,0.796294103,245,7.481,15.365,0.793237602,245,7.576,15.035,0.790278806,245,7.712 +16.645,0.798367629,246,7.754,16.285,0.795310141,246,7.896,15.985,0.792352332,246,8,15.735,0.789394523,246,8.129 +16.885,0.797776462,240,7.417,16.585,0.794818653,240,7.54,16.255,0.791762151,240,7.626,15.935,0.788803356,240,7.732 +16.785,0.797776462,232,7.605,16.485,0.794719961,232,7.748,16.165,0.791761165,232,7.833,15.835,0.788704663,232,7.94 +16.385,0.798662719,230,8.19,16.085,0.795605231,231,8.36,15.755,0.792548729,231,8.474,15.435,0.789589933,231,8.605 +16.175,0.800238835,227,8.27,15.935,0.797281026,227,8.855,15.645,0.794224525,228,9.332,15.335,0.791265729,228,9.765 +15.965,0.801815939,223,8.339,15.785,0.798857143,224,9.349,15.535,0.795899334,224,10.18,15.335,0.792941525,224,10.924 +14.105,0.802696274,226,8.498,13.985,0.799738465,228,9.704,13.815,0.796780656,229,10.802,13.635,0.793822847,229,11.856 +12.505,0.804169751,230,8.964,12.385,0.801211942,232,10.258,12.335,0.798353812,233,11.512,12.335,0.795494695,233,12.788 +11.245,0.804165803,232,9.162,11.185,0.801306686,234,10.574,11.285,0.798448557,235,11.986,11.335,0.795688132,235,13.422 +10.385,0.805443869,236,9.103,10.385,0.802585739,239,10.554,10.565,0.799826302,240,12.045,10.735,0.796968172,240,13.571 +9.785,0.80238638,237,9.47,9.785,0.799527264,239,10.999,10.035,0.796768813,240,12.558,10.335,0.794108068,240,14.176 +9.385,0.804060202,232,8.637,9.385,0.80110338,235,10.09,9.615,0.798343943,236,11.601,9.835,0.795683198,236,13.175 +8.925,0.802777202,225,8.022,8.985,0.799919072,230,9.467,9.215,0.797159635,232,10.91,9.435,0.794400197,232,12.391 +8.405,0.802480138,223,7.764,8.585,0.799622008,228,9.378,8.935,0.796961263,230,10.92,9.335,0.794301505,230,12.391 +8.025,0.802084382,227,8.081,8.385,0.799424624,232,9.942,8.815,0.796763879,234,11.7,9.235,0.79410412,234,13.294 +7.685,0.803759191,228,8.547,8.285,0.801198125,233,10.633,8.685,0.798538367,236,12.341,9.035,0.795877622,236,13.71 +7.885,0.802872934,227,8.716,8.785,0.800510239,236,10.801,9.095,0.797849494,239,12.104,9.435,0.795090057,239,12.848 +8.085,0.80198569,225,8.875,9.285,0.799821367,238,10.969,9.515,0.797061929,242,11.858,9.735,0.794303479,242,11.995 +8.245,0.802184061,226,9.36,9.085,0.799821367,235,11.691,9.315,0.797061929,238,12.824,9.535,0.794302492,238,13.056 +9.305,0.801990624,225,8.498,9.185,0.799032815,229,9.902,9.385,0.796273378,231,11.266,9.535,0.79351394,231,12.54 +11.485,0.799829262,222,9.717,11.185,0.796771774,223,10.119,10.885,0.793715273,223,10.437,10.535,0.790658771,223,10.766 +12.945,0.800326672,227,9.4,12.585,0.797171478,227,9.645,12.285,0.79411399,227,9.825,12.035,0.791156181,227,10.032 +14.045,0.800823094,237,9.42,13.685,0.797766593,237,9.615,13.385,0.794710091,237,9.756,13.035,0.791652603,237,9.923 +14.945,0.798756477,241,9.351,14.585,0.795698988,241,9.536,14.255,0.792642487,241,9.658,13.935,0.789683691,241,9.814 +15.545,0.797082655,240,9.668,15.185,0.794025167,240,9.853,14.865,0.790968665,240,9.983,14.535,0.788009869,240,10.131 +15.845,0.797378732,243,8.934,15.485,0.79432223,243,9.102,15.165,0.791265729,243,9.214,14.835,0.788306933,243,9.348 +15.745,0.797576116,249,9.321,15.385,0.794518628,249,9.517,15.065,0.791560819,249,9.628,14.735,0.788503331,249,9.765 +15.385,0.798462374,249,8.865,15.085,0.795503578,249,9.042,14.755,0.792447076,249,9.174,14.435,0.789389588,249,9.318 +14.785,0.799741426,232,9.063,14.485,0.796783617,233,9.576,14.185,0.793726129,233,9.973,13.835,0.790669627,233,10.339 +14.185,0.801020479,233,9.251,13.885,0.79806267,233,10.1,13.615,0.795006168,233,10.772,13.335,0.791949667,233,11.361 +12.425,0.801804096,227,9.063,12.185,0.798747594,229,10.05,11.935,0.795690106,229,10.881,11.735,0.792732297,229,11.678 +11.025,0.801503084,230,9.142,10.785,0.798545275,232,10.278,10.615,0.795488774,233,11.345,10.435,0.792530965,233,12.431 +9.965,0.801302739,228,9.539,9.785,0.798246237,230,10.841,9.815,0.795388108,231,12.173,9.835,0.792528991,231,13.551 +9.205,0.803370343,225,9.003,9.085,0.800412534,227,10.406,9.235,0.797554404,228,11.877,9.335,0.794794967,228,13.373 +8.685,0.80228374,222,8.597,8.685,0.799425611,225,10.149,8.935,0.796666173,226,11.779,9.235,0.793906736,226,13.393 +8.265,0.80100074,219,8.537,8.385,0.79814261,222,10.297,8.705,0.795482852,224,12.005,9.035,0.792723415,224,13.601 +7.965,0.800408586,217,9.608,8.085,0.797550456,219,11.355,8.415,0.794889711,220,13.091,8.735,0.792131261,220,14.781 +7.665,0.800998766,216,8.726,7.785,0.798239329,218,10.396,8.085,0.795479891,219,11.996,8.435,0.792820133,219,13.551 +7.365,0.799716753,213,8.696,7.485,0.796858623,215,10.327,7.715,0.794197878,217,11.858,7.935,0.791438441,217,13.313 +6.825,0.799714779,213,8.914,6.885,0.796855662,215,10.633,7.135,0.794097212,217,12.232,7.435,0.791337774,217,13.73 +7.335,0.798138663,221,8.686,7.335,0.795181841,222,10.278,7.615,0.792521095,223,11.828,7.935,0.789762645,223,13.353 +7.845,0.796563533,224,8.458,7.785,0.793606711,227,9.922,8.085,0.790847274,229,11.433,8.435,0.788187515,229,12.966 +8.305,0.797551443,217,8.656,8.185,0.794593634,221,10.139,8.455,0.791932889,223,11.611,8.735,0.789173452,223,13.085 +10.185,0.798937084,227,9.489,9.885,0.795880582,228,10.04,9.615,0.792824081,228,10.605,9.335,0.78976758,228,11.251 +11.885,0.797168517,240,8.815,11.585,0.794112016,240,9.161,11.255,0.791055514,240,9.46,10.935,0.787998026,240,9.765 +13.245,0.796286208,244,7.764,12.885,0.793229706,245,7.926,12.585,0.790172218,245,8.06,12.335,0.787214409,245,8.218 +14.445,0.794023193,256,8.171,14.085,0.790965704,256,8.341,13.785,0.788007895,256,8.444,13.535,0.784951394,256,8.585 +15.345,0.795405872,270,7.635,14.985,0.792448063,270,7.777,14.665,0.789390575,269,7.882,14.335,0.786334074,269,7.99 +15.945,0.793534666,274,7.516,15.585,0.790478164,274,7.649,15.255,0.787519368,274,7.734,14.935,0.784462867,274,7.841 +16.345,0.793733037,273,7.04,15.985,0.790775228,273,7.165,15.665,0.78771774,273,7.251,15.335,0.784759931,273,7.356 +16.545,0.794226499,274,6.911,16.185,0.791169998,273,7.026,15.885,0.788212189,273,7.103,15.535,0.785253393,273,7.207 +16.445,0.794817666,271,6.743,16.085,0.791761165,271,6.868,15.785,0.788803356,271,6.945,15.535,0.785745867,271,7.028 +16.485,0.796099679,265,7.744,16.185,0.79314187,265,8.143,15.885,0.790183074,265,8.405,15.535,0.787126573,265,8.654 +16.525,0.797480385,236,8.746,16.285,0.794521589,237,9.408,15.985,0.79156378,238,9.875,15.735,0.788605971,238,10.27 +13.785,0.798851221,230,9.985,13.485,0.795793733,231,11.029,13.185,0.792737232,232,11.877,12.935,0.789779423,232,12.629 +12.085,0.799732544,233,9.281,11.785,0.796676042,234,10.238,11.515,0.793618554,235,11.088,11.235,0.790660745,235,11.945 +10.925,0.799827288,231,7.645,10.685,0.796770787,234,8.578,10.455,0.793812978,235,9.441,10.235,0.790756477,235,10.32 +10.125,0.801302739,221,6.336,9.885,0.798246237,225,7.115,9.765,0.795288428,227,7.823,9.635,0.792331606,227,8.515 +9.505,0.800216136,215,6.019,9.385,0.797259314,219,6.839,9.415,0.794400197,221,7.547,9.435,0.791542068,221,8.188 +8.985,0.799031828,215,5.999,8.985,0.796173698,221,7.016,9.235,0.793414261,224,7.803,9.535,0.790753516,224,8.446 +8.445,0.799424624,211,6.247,8.985,0.796863558,223,7.787,9.335,0.794202813,229,8.464,9.735,0.791543055,229,8.595 +8.185,0.799324944,218,6.019,9.085,0.79696225,234,7.303,9.385,0.794301505,240,7.773,9.735,0.791641747,240,7.782 +7.925,0.797648162,209,5.949,9.185,0.795582531,233,6.641,9.435,0.792823094,241,6.757,9.735,0.790163336,241,6.681 +7.605,0.799125586,200,5.949,9.285,0.797258327,222,6.176,9.485,0.79449889,229,5.879,9.735,0.791839132,229,5.611 +6.695,0.797644214,220,5.88,8.585,0.79597434,237,6.018,8.765,0.793215889,242,5.662,8.935,0.790456452,242,5.353 +5.785,0.796161855,206,5.811,7.885,0.79469134,231,5.85,8.035,0.79183321,237,5.445,8.235,0.789073773,237,5.085 +6.065,0.796656304,208,6.078,8.285,0.795184801,226,6.335,8.365,0.792425364,232,5.939,8.435,0.789567234,232,5.641 +7.485,0.795773995,204,5.126,8.085,0.793212929,214,6.354,8.235,0.790453491,219,6.807,8.335,0.787694054,219,6.642 +9.745,0.796274365,206,4.194,9.385,0.793119171,208,4.487,9.165,0.79006267,209,4.804,8.935,0.787104861,209,5.165 +11.845,0.794506785,219,5.226,11.485,0.791351591,219,5.327,11.165,0.788393782,219,5.426,10.835,0.785336294,219,5.541 +13.445,0.793329386,221,5.979,13.085,0.790272884,221,6.107,12.755,0.787215396,221,6.195,12.435,0.784257587,221,6.305 +14.645,0.792446089,227,7.586,14.285,0.789389588,227,7.728,13.955,0.7863321,227,7.823,13.635,0.783374291,227,7.95 +15.245,0.792645448,229,8.686,14.885,0.789588946,229,8.845,14.565,0.786630151,229,8.947,14.235,0.783573649,229,9.08 +15.345,0.791561806,226,8.884,14.985,0.788504318,226,9.062,14.665,0.785546509,226,9.155,14.335,0.782587713,226,9.279 +15.245,0.793434,227,9.351,14.885,0.790377498,228,9.536,14.565,0.78732001,228,9.648,14.235,0.784362201,228,9.784 +14.785,0.79333432,226,9.152,14.485,0.790375524,226,9.349,14.165,0.787319023,226,9.47,13.835,0.784360227,226,9.616 +14.505,0.795304219,214,8.954,14.235,0.79234641,214,9.447,13.935,0.789289909,214,9.815,13.635,0.786331113,214,10.151 +14.225,0.797275105,218,8.756,13.985,0.794317296,219,9.556,13.715,0.791260794,219,10.151,13.435,0.788301999,219,10.686 +12.725,0.795693067,214,8.032,12.485,0.792636566,215,9.062,12.285,0.789678756,216,9.954,12.035,0.786720947,216,10.805 +11.465,0.799139403,219,6.911,11.285,0.796181594,223,8.044,11.205,0.793223785,224,9.164,11.135,0.790364668,224,10.29 +10.345,0.79745966,220,7.933,10.285,0.794600543,224,9.349,10.455,0.791841105,226,10.812,10.635,0.789081668,226,12.243 +9.485,0.799723661,221,7.278,9.485,0.796766839,226,8.766,9.865,0.794204787,228,10.388,10.235,0.791545028,228,12.005 +8.925,0.797454725,229,7.149,8.985,0.794596595,233,8.687,9.415,0.792034542,235,10.348,9.835,0.789374784,235,11.945 +8.365,0.796466815,222,7.377,8.485,0.793608685,228,8.963,8.935,0.791047619,231,10.644,9.435,0.788486553,231,12.273 +7.965,0.79656452,218,7.625,8.085,0.793804096,223,9.21,8.485,0.791144338,226,10.91,8.835,0.788582285,226,12.6 +7.365,0.796956329,214,7.139,7.485,0.794098199,220,8.677,7.865,0.791438441,223,10.259,8.235,0.788777696,223,11.807 +6.965,0.797250432,214,7.824,7.085,0.794490994,219,9.546,7.505,0.791831236,221,11.256,7.935,0.78927017,221,12.877 +6.745,0.796855662,217,8.399,6.985,0.794194917,221,10.327,7.415,0.791535159,223,12.203,7.835,0.788974093,223,13.938 +6.495,0.796854676,210,8.884,6.885,0.794194917,215,11.048,7.365,0.791633851,218,12.716,7.835,0.789071799,218,13.958 +6.245,0.796853689,215,9.36,6.785,0.794292623,218,11.78,7.315,0.791731557,220,13.229,7.835,0.789170491,220,13.978 +6.845,0.792715519,215,10.402,6.785,0.789758697,216,12.165,7.165,0.787196644,217,13.959,7.535,0.784536886,217,15.633 +8.385,0.795678263,209,9.777,8.085,0.792621762,210,10.614,7.885,0.78956526,210,11.463,7.735,0.786607451,210,12.401 +10.245,0.793811991,211,10.283,9.885,0.790656797,211,10.732,9.585,0.787599309,211,11.098,9.335,0.7846415,211,11.489 +11.645,0.795985196,216,10.481,11.285,0.792928695,216,10.752,10.955,0.789871207,216,10.95,10.635,0.786814705,216,11.192 +12.645,0.793130027,222,10.699,12.285,0.790072539,222,10.93,11.955,0.787016038,222,11.098,11.635,0.784058228,222,11.291 +13.345,0.794414014,224,10.759,12.985,0.791356526,224,10.989,12.665,0.788300025,224,11.137,12.335,0.785242536,224,11.331 +13.745,0.793330373,226,10.63,13.385,0.790273871,226,10.841,13.065,0.787216383,226,10.989,12.735,0.784159882,226,11.152 +13.905,0.792740192,228,10.511,13.485,0.789682704,228,10.722,13.185,0.786626203,228,10.861,12.935,0.783667407,228,11.023 +13.845,0.793430052,228,10.64,13.485,0.790372564,228,10.871,13.165,0.787316062,228,11.009,12.835,0.784357266,228,11.182 +13.485,0.793625463,226,10.669,13.185,0.790568961,226,10.92,12.865,0.787511473,226,11.098,12.535,0.784553664,226,11.301 +13.485,0.794611399,220,10.263,13.185,0.791652603,220,10.811,12.885,0.788596102,221,11.216,12.535,0.7855396,221,11.598 +13.485,0.795696028,218,9.846,13.185,0.792638539,219,10.703,12.915,0.789582038,219,11.335,12.635,0.786624229,219,11.906 +11.785,0.796379965,225,9.261,11.485,0.793323464,226,10.199,11.215,0.790364668,226,10.96,10.935,0.787308167,226,11.668 +10.325,0.79962793,222,8.577,10.085,0.796670121,223,9.517,9.835,0.79361362,224,10.348,9.535,0.790556131,224,11.172 +9.125,0.798244264,217,8.656,8.885,0.795187762,219,9.724,8.785,0.792328645,220,10.772,8.735,0.789370836,220,11.866 +8.225,0.799818406,212,8.052,7.985,0.796760918,214,9.191,8.035,0.793902788,215,10.398,8.035,0.791044658,215,11.688 +7.465,0.797647175,212,7.09,7.285,0.794590674,214,8.252,7.415,0.791732544,215,9.549,7.535,0.788973106,215,10.934 +6.805,0.796855662,208,7.288,6.685,0.793897853,210,8.548,6.865,0.791040711,212,9.993,7.035,0.788281273,212,11.529 +6.205,0.797543548,203,6.941,6.085,0.794585739,205,8.173,6.255,0.791826302,207,9.529,6.435,0.788969159,207,10.964 +5.605,0.797147792,198,6.445,5.485,0.79409129,201,7.629,5.665,0.791331853,203,8.868,5.835,0.788473723,203,10.151 +5.105,0.795470022,194,6.247,4.985,0.792413521,198,7.382,5.135,0.789654083,200,8.533,5.335,0.786795954,200,9.675 +4.705,0.796552677,186,6.197,4.585,0.793496176,191,7.313,4.705,0.790639033,194,8.405,4.835,0.787878608,194,9.487 +5.185,0.795766099,188,6.564,5.035,0.792709598,193,7.698,5.165,0.78995016,195,8.799,5.335,0.787092031,195,9.874 +5.665,0.794978534,190,6.931,5.485,0.792021712,193,8.074,5.615,0.789163583,194,9.184,5.735,0.786305453,194,10.25 +5.685,0.795373304,196,7.893,5.385,0.79221811,198,8.815,5.435,0.78935998,199,9.776,5.535,0.78650185,199,10.786 +7.245,0.794393289,204,7.655,6.885,0.791237108,204,7.955,6.615,0.788180607,205,8.208,6.335,0.785124106,205,8.515 +9.045,0.793610659,206,8.676,8.685,0.790455465,206,8.934,8.385,0.787397977,206,9.155,8.035,0.784341475,206,9.398 +10.745,0.795292376,217,10.293,10.385,0.792234888,217,10.554,10.065,0.789079694,217,10.763,9.735,0.786023193,217,10.994 +12.045,0.791649642,219,11.72,11.685,0.788592154,219,12.017,11.365,0.785535653,219,12.213,11.035,0.782576857,219,12.451 +12.805,0.792242783,221,13.109,12.385,0.789087589,221,13.43,12.065,0.786128793,221,13.653,11.735,0.783072292,221,13.918 +13.105,0.792441155,222,13.416,12.685,0.789285961,222,13.756,12.365,0.786327165,223,13.998,12.035,0.783270664,223,14.275 +13.045,0.792342462,223,13.475,12.685,0.789285961,223,13.815,12.365,0.786228473,223,14.047,12.035,0.783171971,223,14.305 +12.745,0.791750308,225,13.515,12.385,0.78869282,226,13.865,12.065,0.785636319,226,14.117,11.735,0.782579817,226,14.404 +12.105,0.792438194,227,13.961,11.685,0.789282013,227,14.369,11.385,0.786324204,227,14.659,11.035,0.783266716,227,14.979 +11.845,0.79401431,228,13.119,11.485,0.790957809,229,13.707,11.185,0.787900321,229,14.126,10.835,0.784942512,229,14.533 +11.585,0.795689119,228,12.276,11.285,0.792632618,228,13.035,10.985,0.789576116,229,13.584,10.735,0.786518628,229,14.097 +9.585,0.794992351,229,11.73,9.285,0.79193585,230,12.56,8.985,0.788879349,230,13.179,8.735,0.78582186,230,13.779 +7.985,0.797254379,225,10.431,7.685,0.794099186,226,11.216,7.385,0.791041698,226,11.798,7.035,0.787985196,226,12.382 +6.785,0.796658278,220,9.787,6.485,0.793601776,221,10.653,6.235,0.790545275,222,11.354,6.035,0.787488774,222,12.045 +5.825,0.79823242,220,7.913,5.585,0.795077227,221,8.706,5.335,0.792020725,222,9.391,5.035,0.788964224,222,10.092 +5.085,0.797047126,222,6.763,4.785,0.793891932,224,7.53,4.565,0.790835431,225,8.217,4.335,0.787778929,225,8.922 +4.525,0.796946459,223,5.424,4.285,0.793889958,225,6.147,4.065,0.790734764,226,6.826,3.835,0.787678263,226,7.534 +4.125,0.79783173,222,3.461,3.885,0.794775228,228,4.042,3.685,0.791719714,231,4.607,3.535,0.788663212,231,5.195 +3.905,0.796549716,204,3.352,3.785,0.793494202,220,3.735,3.565,0.7904377,224,4.094,3.335,0.787381199,224,4.501 +3.525,0.797731063,200,3.907,3.585,0.794774241,218,4.249,3.415,0.791718727,224,4.459,3.235,0.788662226,224,4.709 +3.125,0.797434,207,4.67,3.485,0.794675549,226,4.991,3.315,0.791619048,232,5.08,3.135,0.788563533,232,5.214 +3.335,0.796745127,210,5.216,3.785,0.794184061,223,5.653,3.595,0.79112756,226,5.731,3.435,0.788071058,226,5.849 +3.545,0.79615396,209,5.761,4.085,0.793593881,222,6.325,3.885,0.79053738,225,6.392,3.735,0.787480878,225,6.473 +3.505,0.79585887,211,6.197,3.685,0.793099433,221,7.659,3.565,0.790042931,225,8.247,3.435,0.786987417,225,8.347 +4.645,0.794778189,218,6.287,4.285,0.791622995,219,6.75,3.985,0.788467802,219,7.142,3.735,0.785312608,219,7.534 +6.445,0.795967432,228,6.277,6.085,0.792812238,229,6.443,5.755,0.789656057,229,6.6,5.435,0.786500864,229,6.761 +8.245,0.796761905,250,5.959,7.885,0.793606711,250,6.078,7.565,0.79055021,250,6.175,7.235,0.787394029,250,6.295 +9.645,0.794007402,260,6.187,9.285,0.790949914,260,6.295,8.955,0.787893412,260,6.383,8.635,0.784835924,260,6.483 +10.705,0.794503824,265,5.473,10.285,0.791347644,265,5.583,9.955,0.788291142,265,5.653,9.635,0.785233654,265,5.74 +11.605,0.794801875,266,5.444,11.185,0.791646681,266,5.554,10.865,0.788589193,266,5.613,10.535,0.785532692,266,5.7 +12.245,0.794212682,265,5.503,11.885,0.791156181,264,5.603,11.565,0.788098692,264,5.662,11.235,0.785042191,264,5.74 +12.545,0.79569208,265,5.186,12.185,0.792635579,265,5.267,11.885,0.789579077,265,5.327,11.535,0.786521589,265,5.393 +9.685,0.793021466,24,3.441,9.385,0.789964964,24,3.449,9.065,0.786907476,24,3.443,8.735,0.783850975,24,3.44 +10.505,0.793714286,32,2.935,10.235,0.790657784,33,3.034,9.945,0.787600296,33,3.127,9.635,0.784642487,33,3.222 +11.325,0.794407106,276,2.429,11.085,0.791350604,270,2.619,10.835,0.788392795,268,2.811,10.535,0.785335307,268,3.014 +10.545,0.795587466,258,6.584,10.485,0.792728349,259,7.352,10.235,0.789671848,260,7.892,10.035,0.786714039,260,8.377 +8.365,0.797354059,245,8.508,8.185,0.79439625,246,9.418,7.935,0.791339748,247,10.082,7.735,0.788283247,247,10.677 +6.525,0.794686405,239,9.39,6.285,0.791531211,240,10.386,6.035,0.78847471,240,11.167,5.835,0.785418209,240,11.896 +5.565,0.794683444,231,8.914,5.385,0.791626943,233,9.912,5.185,0.788570442,234,10.753,5.035,0.78551394,234,11.579 +4.805,0.797341229,233,8.875,4.685,0.794384407,235,9.961,4.535,0.791327905,237,10.92,4.335,0.788271404,237,11.896 +4.105,0.793692573,220,8.399,3.985,0.790636072,224,9.517,3.915,0.787678263,226,10.526,3.835,0.784721441,226,11.529 +3.405,0.79398569,215,8.339,3.285,0.791027881,217,9.497,3.205,0.787972366,219,10.605,3.135,0.785014557,219,11.717 +2.805,0.792701702,226,7.238,2.685,0.789646188,228,8.331,2.665,0.786688379,229,9.401,2.635,0.783731557,229,10.498 +2.445,0.792404639,224,7.794,2.385,0.789447816,228,8.993,2.385,0.786490007,230,10.072,2.435,0.783631878,230,11.152 +2.185,0.794375524,227,7.804,2.185,0.791418702,232,9.082,2.235,0.788559585,234,10.22,2.335,0.785602763,234,11.331 +2.465,0.791616087,226,9.46,2.435,0.788659265,230,10.801,2.475,0.785801135,232,12.005,2.535,0.782843326,232,13.204 +2.745,0.788857636,230,11.116,2.685,0.785899827,233,12.511,2.705,0.783041698,235,13.791,2.735,0.780084875,235,15.068 +3.165,0.790435727,235,11.373,2.985,0.787477918,237,12.531,2.815,0.784422403,238,13.535,2.635,0.781365902,238,14.543 +4.285,0.789651123,242,11.116,3.985,0.786495929,243,12.214,3.735,0.783439428,244,13.051,3.535,0.780382926,244,13.859 +6.285,0.787785838,247,11.473,5.985,0.784728349,248,11.849,5.685,0.781671848,248,12.124,5.435,0.778615347,248,12.421 +7.845,0.787100913,256,12.067,7.485,0.783945719,256,12.442,7.165,0.780888231,256,12.735,6.835,0.77783173,256,13.056 +8.845,0.786512707,260,13.882,8.485,0.783456205,261,14.26,8.165,0.780398717,261,14.501,7.835,0.777342216,261,14.79 +9.445,0.788091784,271,13.862,9.085,0.785035283,271,14.23,8.755,0.781977794,271,14.472,8.435,0.778921293,271,14.741 +10.045,0.786417962,275,14.179,9.685,0.783361461,275,14.566,9.365,0.780303972,275,14.807,9.035,0.777247471,275,15.078 +10.545,0.785927461,284,14.457,10.185,0.782869973,284,14.863,9.865,0.779813472,284,15.123,9.535,0.776854676,284,15.405 +9.845,0.789078707,310,14.031,9.485,0.786022206,309,14.408,9.185,0.782965704,309,14.649,8.935,0.780006908,309,14.909 +7.545,0.788874414,335,13.961,7.185,0.785718233,334,14.398,6.865,0.782661732,334,14.699,6.535,0.779605231,334,15.028 +6.965,0.790350851,353,11.036,6.635,0.787195658,353,11.523,6.325,0.784138169,353,11.877,6.035,0.781081668,353,12.233 +6.385,0.791827288,346,8.121,6.085,0.788672095,346,8.657,5.785,0.785615593,346,9.046,5.535,0.782558105,346,9.447 +5.725,0.793697508,340,5.821,5.485,0.790641007,342,6.453,5.215,0.787584505,342,6.955,4.935,0.784528004,342,7.425 +5.225,0.796554651,319,4.432,4.985,0.79349815,326,5.01,4.765,0.790342956,329,5.514,4.535,0.787286454,329,5.988 +4.745,0.796453985,296,4.809,4.685,0.793497163,309,5.366,4.455,0.790440661,313,5.722,4.235,0.78738416,313,6.047 +4.605,0.799904268,314,4.105,4.485,0.796847767,328,4.506,4.265,0.793791266,332,4.824,4.035,0.790734764,332,5.135 +4.125,0.798817666,331,4.591,4.185,0.795860844,345,5.05,3.935,0.792804342,348,5.218,3.735,0.789649149,348,5.333 +3.665,0.799309154,333,3.847,3.785,0.796451024,356,4.052,3.535,0.79329583,1,4.064,3.335,0.790140637,1,4.035 +3.205,0.798913397,338,3.798,3.385,0.796055268,4,3.824,3.135,0.792900074,9,3.719,2.935,0.789843573,9,3.638 +2.385,0.799205527,5,4.006,2.685,0.796447076,28,4.368,2.455,0.793291883,32,4.4,2.235,0.790235381,32,4.352 +1.925,0.798317296,52,2.489,1.985,0.795360474,73,3.301,1.815,0.792303972,76,3.62,1.635,0.789148779,76,3.717 +1.625,0.799104861,104,3.054,1.685,0.796148038,103,3.884,1.485,0.793091537,103,4.193,1.335,0.789936343,103,4.243 +2.075,0.79821959,94,2.806,1.985,0.795163089,96,3.261,1.775,0.792007895,95,3.393,1.535,0.788951394,95,3.4 +2.525,0.797234641,123,2.568,2.285,0.79417814,121,2.639,2.065,0.791023933,120,2.604,1.835,0.787967432,120,2.558 +2.405,0.796742166,192,1.824,2.285,0.793685665,174,1.838,2.085,0.790629164,174,1.707,1.935,0.787474957,174,1.487 +2.265,0.794276832,185,2.4,2.385,0.791418702,167,2.639,2.205,0.788362201,167,2.486,2.035,0.785306686,167,2.23 +4.185,0.794874907,199,2.578,3.885,0.791818406,200,2.658,3.585,0.788663212,200,2.713,3.335,0.785508019,200,2.776 +7.205,0.79330866,323,0.169,6.785,0.79015248,300,0.198,6.435,0.786997286,295,0.247,6.035,0.783842092,295,0.297 +8.505,0.792228966,14,1.309,8.085,0.789072786,11,1.285,7.755,0.786016284,10,1.273,7.435,0.782861091,10,1.249 +10.005,0.792726376,53,0.684,9.585,0.789669874,53,0.682,9.235,0.786513694,53,0.661,8.835,0.783457192,53,0.625 +11.505,0.790957809,119,1.16,11.085,0.787801628,124,1.166,10.785,0.784843819,126,1.164,10.535,0.781787318,126,1.17 +12.845,0.79037059,163,1.686,12.485,0.787313101,166,1.739,12.185,0.7842566,167,1.786,11.935,0.781298791,167,1.844 +14.385,0.79017814,240,5.662,14.085,0.787220331,240,5.801,13.755,0.784162842,240,5.899,13.435,0.781205033,240,6.017 +14.485,0.79175623,251,10.074,14.185,0.788797434,251,10.327,13.885,0.785740933,251,10.476,13.535,0.782782137,251,10.657 +13.605,0.793034296,236,9.916,13.335,0.790076487,237,10.495,13.045,0.787019985,237,10.891,12.735,0.784061189,237,11.261 +12.725,0.794313348,221,9.757,12.485,0.791355539,222,10.663,12.215,0.788298051,222,11.315,11.935,0.785340242,222,11.866 +10.725,0.792828029,214,10.521,10.485,0.78987022,215,11.631,10.235,0.786812731,215,12.499,10.035,0.783854922,215,13.294 +8.925,0.796271404,209,10.818,8.685,0.793214903,210,12.007,8.485,0.790257094,210,13.012,8.335,0.787200592,210,13.978 +7.725,0.794000493,213,11.453,7.485,0.790943992,214,12.768,7.335,0.787986183,214,13.919,7.235,0.785028374,214,15.068 +6.865,0.795278559,204,10.431,6.685,0.792222058,206,11.661,6.535,0.789265236,207,12.765,6.335,0.786208734,207,13.859 +6.205,0.793798174,200,10.005,6.085,0.790840365,202,11.197,5.935,0.787783864,203,12.272,5.835,0.784827042,203,13.353 +5.665,0.792021712,198,8.736,5.485,0.789063903,200,9.853,5.365,0.786008389,201,10.871,5.235,0.78305058,201,11.886 +5.205,0.793892919,204,7.447,5.085,0.790837404,206,8.479,4.935,0.787879595,207,9.391,4.835,0.784823094,207,10.31 +4.705,0.792807303,201,7.367,4.585,0.789849494,205,8.39,4.455,0.786792993,206,9.263,4.335,0.783836171,206,10.102 +4.145,0.791326918,195,7.883,4.085,0.788369109,199,8.953,3.955,0.7854113,200,9.845,3.835,0.782454478,200,10.696 +3.505,0.791521342,192,8.904,3.385,0.788465828,194,10.07,3.265,0.785508019,196,11.098,3.135,0.782451517,196,12.104 +3.415,0.789254379,187,8.706,3.235,0.786197878,189,9.813,3.065,0.783141377,191,10.802,2.835,0.780183568,191,11.777 +3.325,0.78698643,187,8.498,3.085,0.783929928,190,9.556,2.855,0.780873427,191,10.506,2.635,0.777816926,191,11.46 +3.845,0.785213916,199,11.463,3.485,0.782058722,199,12.126,3.215,0.779002221,199,12.785,2.935,0.775945719,199,13.522 +5.885,0.7887698,207,14.199,5.585,0.785713299,207,14.754,5.285,0.782655811,207,15.133,5.035,0.779599309,207,15.534 +8.045,0.785228719,214,14.556,7.685,0.782171231,214,15.169,7.365,0.77911473,215,15.646,7.035,0.776058228,215,16.159 +9.405,0.783459166,211,15.697,8.985,0.780401678,211,16.246,8.665,0.777345176,211,16.672,8.335,0.774287688,211,17.13 +10.205,0.784545769,212,16.877,9.785,0.78148828,212,17.462,9.435,0.778431779,212,17.915,9.035,0.775374291,212,18.399 +10.605,0.783758204,213,17.68,10.185,0.780701702,214,18.233,9.835,0.777644214,214,18.654,9.535,0.774587713,214,19.103 +11.005,0.782478164,214,17.799,10.585,0.779421663,214,18.401,10.255,0.776462867,215,18.852,9.935,0.773406366,215,19.331 +11.205,0.780310881,213,18.85,10.785,0.777253393,213,19.517,10.435,0.774196891,213,20.026,10.035,0.771139403,213,20.54 +11.005,0.780605971,214,18.642,10.585,0.777548483,214,19.359,10.255,0.774589687,214,19.897,9.935,0.771533185,214,20.451 +10.545,0.781589933,220,17.005,10.185,0.778532445,220,17.67,9.865,0.775574636,220,18.161,9.535,0.772518135,220,18.667 +9.095,0.78306341,236,16.381,8.735,0.780006908,236,17.086,8.415,0.77694942,236,17.609,8.035,0.773892919,236,18.131 +7.645,0.784536886,246,15.766,7.285,0.781381693,246,16.513,6.955,0.778325191,246,17.046,6.635,0.775267703,246,17.586 +5.945,0.782658771,241,16.817,5.585,0.779502591,242,17.66,5.285,0.776446089,242,18.28,5.035,0.773389588,242,18.895 +4.945,0.786499877,256,17.025,4.585,0.783343696,256,18.085,4.315,0.780287195,256,18.842,4.035,0.777230693,256,19.588 +3.285,0.785015544,259,15.994,2.985,0.781859363,259,16.83,2.685,0.778802862,259,17.421,2.435,0.775746361,259,18.012 +1.985,0.788362201,266,14.229,1.685,0.78520602,266,14.912,1.385,0.782149519,266,15.369,1.035,0.778994325,266,15.841 +1.485,0.788162842,258,11.988,1.185,0.785007649,258,12.56,0.885,0.781951147,258,12.962,0.535,0.778794967,258,13.373 +0.985,0.788160868,251,11.383,0.685,0.785005675,251,12.205,0.385,0.781850481,251,12.785,0.035,0.77879398,251,13.333 +0.485,0.79022946,256,11.383,0.185,0.787074266,256,12.165,-0.085,0.783919072,256,12.716,-0.365,0.780862571,256,13.244 +0.185,0.79042487,264,10.451,-0.115,0.787269677,264,11.048,-0.415,0.784114483,264,11.473,-0.665,0.780959289,264,11.886 +-0.215,0.789635332,267,8.894,-0.515,0.786480138,267,9.517,-0.815,0.783324944,267,9.944,-1.065,0.780169751,267,10.369 +-0.715,0.791703923,265,8.25,-1.015,0.788547742,265,8.934,-1.315,0.785392549,265,9.421,-1.565,0.782237355,265,9.883 +-0.615,0.791014064,275,7.09,-0.915,0.78785887,274,7.659,-1.205,0.784703676,275,8.089,-1.465,0.781548483,275,8.506 +-0.515,0.790324204,271,5.94,-0.815,0.787169011,272,6.374,-1.085,0.784013817,272,6.748,-1.365,0.780858623,272,7.138 +-0.355,0.790029114,265,5.216,-0.715,0.786775228,265,5.455,-1.015,0.783620035,265,5.672,-1.365,0.780463854,265,5.908 +0.545,0.791116704,268,5.682,0.185,0.78796151,268,5.801,-0.115,0.784806316,268,5.909,-0.465,0.781650136,268,6.037 +1.845,0.789740933,272,6.168,1.485,0.786585739,272,6.285,1.165,0.783430545,272,6.373,0.835,0.780275352,272,6.483 +3.145,0.790238342,282,6.663,2.785,0.787083148,281,6.789,2.455,0.783927955,281,6.866,2.135,0.780772761,281,6.979 +4.505,0.789454725,288,7.586,4.085,0.786299531,287,7.718,3.755,0.783144338,286,7.803,3.435,0.780086849,286,7.911 +5.605,0.789656057,293,7.457,5.185,0.786499877,292,7.58,4.865,0.783344683,292,7.655,4.535,0.780288182,292,7.752 +6.345,0.789362941,305,7.377,5.985,0.786207747,305,7.491,5.635,0.783150259,304,7.547,5.335,0.779995065,304,7.633 +6.405,0.789362941,322,7.665,5.985,0.786207747,321,7.767,5.665,0.783150259,321,7.803,5.335,0.779995065,321,7.881 +5.945,0.790248211,335,7.655,5.585,0.787093018,334,7.748,5.255,0.784036516,334,7.773,4.935,0.780880336,334,7.841 +5.345,0.791232174,342,8.21,4.985,0.78807698,341,8.331,4.665,0.784920799,341,8.395,4.335,0.781864298,341,8.486 +4.165,0.792509252,352,8.161,3.835,0.789354059,352,8.38,3.525,0.786198865,352,8.523,3.235,0.783043671,352,8.684 +2.985,0.793688626,340,8.101,2.685,0.790631137,340,8.43,2.385,0.787475944,340,8.651,2.035,0.78432075,340,8.882 +2.525,0.79575623,330,6.505,2.285,0.792699729,331,7.105,1.985,0.789544535,331,7.556,1.735,0.786389341,331,7.99 +2.125,0.802359734,317,5.652,1.885,0.79920454,319,6.394,1.635,0.796049346,320,7.014,1.435,0.792894152,320,7.594 +1.765,0.800780656,312,5.761,1.585,0.797724155,318,6.601,1.385,0.794569948,319,7.31,1.235,0.791513447,319,7.98 +1.245,0.804031582,323,6.148,1.185,0.800976067,326,7.273,1.035,0.797820873,328,8.148,0.835,0.794764372,328,8.882 +0.785,0.802157414,318,5.86,0.785,0.7991019,325,6.977,0.665,0.796045398,328,7.813,0.535,0.792989884,328,8.515 +0.385,0.801662966,319,5.374,0.385,0.798706144,329,6.394,0.265,0.795649642,333,7.132,0.135,0.792594128,333,7.782 +0.025,0.802646928,318,5.394,0.085,0.799690106,331,6.325,-0.015,0.796634592,335,6.896,-0.165,0.79357809,335,7.346 +-0.395,0.802349864,321,5.672,-0.215,0.799394029,333,6.779,-0.315,0.796337528,338,7.389,-0.465,0.793281026,338,7.792 +-0.835,0.801658031,334,5.573,-0.715,0.798800888,344,6.75,-0.795,0.795744387,348,7.566,-0.865,0.792688872,348,8.198 +-1.335,0.802445596,346,6.376,-1.215,0.799488774,352,7.649,-1.295,0.796432272,356,8.553,-1.365,0.793376758,356,9.299 +-1.485,0.803331853,343,5.821,-1.515,0.800276339,346,6.898,-1.655,0.797121145,347,7.744,-1.765,0.79406563,347,8.486 +-1.635,0.804119418,347,5.275,-1.815,0.800965211,350,6.137,-2.015,0.797810017,351,6.925,-2.165,0.794654824,351,7.663 +-1.415,0.802444609,354,4.016,-1.715,0.799289415,355,4.239,-2.015,0.796035529,356,4.459,-2.365,0.792781643,356,4.719 +-0.915,0.803629904,28,4.383,-1.215,0.800376018,28,4.477,-1.545,0.797122132,28,4.548,-1.865,0.793868246,28,4.639 +0.045,0.801957069,32,4.611,-0.315,0.798703183,32,4.694,-0.635,0.795547989,32,4.755,-0.965,0.792294103,32,4.838 +1.205,0.800877375,35,4.551,0.785,0.797524796,35,4.625,0.455,0.794368616,35,4.666,0.135,0.79111473,35,4.729 +2.405,0.797825808,41,4.948,1.985,0.794571922,41,5.01,1.665,0.791416728,42,5.061,1.335,0.788260548,42,5.125 +3.705,0.799309154,47,4.908,3.285,0.796055268,47,4.971,2.955,0.792899087,47,5.011,2.635,0.789743893,47,5.076 +4.905,0.799510486,50,5.384,4.485,0.796355292,50,5.455,4.165,0.793199112,50,5.505,3.835,0.790043918,50,5.571 +5.905,0.798725882,51,4.968,5.485,0.795471009,51,5.03,5.165,0.792414508,51,5.071,4.835,0.789259314,51,5.135 +6.645,0.799221318,48,4.829,6.285,0.796065137,48,4.882,5.955,0.792909943,48,4.923,5.635,0.78975475,48,4.986 +7.145,0.800208241,40,5.027,6.785,0.797053047,40,5.089,6.455,0.793897853,40,5.13,6.135,0.790840365,40,5.195 +7.185,0.801391562,35,5.503,6.885,0.798236368,36,5.801,6.575,0.795081174,36,5.998,6.235,0.792023686,36,6.186 +7.225,0.80247619,39,5.979,6.985,0.799419689,40,6.512,6.685,0.796263509,40,6.866,6.435,0.793207007,40,7.187 +6.745,0.80405132,44,5.801,6.685,0.801094498,44,6.73,6.435,0.797939304,45,7.379,6.235,0.794882803,45,7.891 +6.125,0.807203553,38,6.326,6.185,0.804246731,40,7.59,5.985,0.801190229,40,8.464,5.835,0.798133728,40,9.12 +5.545,0.808383913,57,5.464,5.485,0.805328399,54,6.414,5.315,0.802271897,54,7.162,5.135,0.799215396,54,7.861 +5.045,0.808284234,65,4.69,4.985,0.805227733,63,5.504,4.835,0.802172218,63,6.175,4.735,0.799115717,63,6.85 +4.845,0.808973106,62,4.69,4.785,0.806016284,65,5.317,4.705,0.802959783,66,5.879,4.635,0.800002961,66,6.483 +4.625,0.810056748,61,4.591,4.685,0.807099926,70,5.277,4.705,0.804143104,72,5.879,4.735,0.801185295,72,6.513 +3.805,0.806603504,47,5.592,4.285,0.803943745,62,6.552,4.335,0.800986923,65,7.182,4.435,0.798128793,65,7.772 +2.705,0.806895633,58,5.959,3.185,0.804235875,71,7.451,3.385,0.801377745,75,8.809,3.535,0.798519615,75,10.022 +1.985,0.807188749,78,7.199,2.285,0.804331606,85,8.736,2.485,0.801473476,87,10.279,2.735,0.798714039,87,11.826 +1.425,0.805708364,85,8.161,1.785,0.802949914,90,9.942,1.985,0.800091784,92,11.65,2.235,0.797233654,92,13.274 +0.745,0.805410313,72,6.068,0.985,0.802552184,85,7.333,1.375,0.799793733,89,8.74,1.735,0.797133975,89,10.25 +0.065,0.80501357,42,3.976,0.185,0.80215544,66,4.724,0.765,0.799495682,74,5.84,1.335,0.796934616,74,7.227 +0.105,0.803632865,48,4.056,-0.015,0.80057735,60,4.852,0.535,0.798016284,66,5.948,1.035,0.795356526,66,7.247 +1.385,0.804722428,54,4.591,1.085,0.801468542,58,4.971,1.065,0.798510733,61,5.603,1.035,0.795455218,61,6.444 +3.045,0.802953861,64,5.156,2.685,0.799699975,65,5.425,2.385,0.796544782,66,5.672,2.035,0.793388601,66,5.988 +5.145,0.801778436,73,5.295,4.785,0.79852455,74,5.445,4.455,0.795369356,74,5.593,4.135,0.792214162,74,5.76 +7.345,0.800110535,78,4.769,6.985,0.796955342,79,4.882,6.635,0.793799161,80,4.982,6.335,0.790643967,80,5.115 +9.245,0.801595855,62,5.176,8.885,0.798440661,63,5.267,8.535,0.795284481,63,5.337,8.235,0.792227979,63,5.413 +10.645,0.800122378,62,4.769,10.285,0.796966198,63,4.842,9.955,0.793909697,63,4.883,9.635,0.790852208,63,4.947 +11.845,0.79914039,49,4.789,11.485,0.796082902,50,4.842,11.165,0.792927708,50,4.883,10.835,0.789871207,50,4.937 +12.645,0.800029608,49,4.422,12.285,0.796973106,49,4.467,11.955,0.793916605,50,4.498,11.635,0.790859117,50,4.54 +13.245,0.801708364,50,3.778,12.885,0.798552184,51,3.824,12.585,0.795495682,51,3.857,12.335,0.792439181,51,3.906 +13.535,0.802595608,31,2.866,13.235,0.799539107,31,3.034,12.955,0.796581298,32,3.176,12.735,0.793524796,32,3.321 +13.825,0.803582531,18,1.943,13.585,0.800624722,25,2.243,13.335,0.797568221,28,2.496,13.035,0.794609425,28,2.736 +13.705,0.80565211,250,1.854,13.585,0.802694301,256,1.512,13.335,0.799736491,258,1.213,13.035,0.79667999,258,0.912 +13.185,0.811072292,208,3.778,13.485,0.808411547,205,3.913,13.265,0.805355046,203,4.025,13.035,0.802397237,203,4.154 +12.065,0.808505305,202,6.455,12.785,0.806043918,194,7.431,12.585,0.803086109,192,8.069,12.435,0.800029608,192,8.634 +10.425,0.80879546,180,7.615,10.485,0.80593733,177,8.815,10.365,0.802979521,175,9.845,10.235,0.79992302,175,10.825 +8.565,0.806620281,183,7.437,8.685,0.803762151,179,8.736,8.665,0.800904022,177,9.894,8.635,0.797946213,177,11.014 +7.905,0.807308167,176,7.843,8.085,0.804548729,173,9.171,8.165,0.801591907,172,10.398,8.235,0.798733777,172,11.628 +7.745,0.804745127,182,8.686,7.985,0.80198569,179,10.149,8.185,0.799226252,177,11.522,8.435,0.796466815,177,12.867 +7.425,0.805631384,185,9.321,7.785,0.802970639,182,10.999,8.185,0.800310881,180,12.588,8.535,0.797650136,180,14.156 +7.165,0.80572909,189,9.42,7.585,0.803068344,185,11.157,8.105,0.800507279,184,12.795,8.635,0.797946213,184,14.404 +7.025,0.805136936,190,9.241,7.385,0.80247619,187,10.93,7.885,0.799915125,185,12.528,8.435,0.797354059,185,14.126 +6.575,0.805431039,179,6.078,6.935,0.802770294,179,7.283,7.385,0.800110535,178,8.592,7.835,0.79754947,178,9.993 +6.125,0.805725142,164,2.915,6.485,0.803064397,169,3.637,6.885,0.800404639,170,4.666,7.335,0.79774488,170,5.849 +6.245,0.803458179,168,3.431,6.485,0.800600049,167,3.894,7.035,0.79803997,169,4.873,7.535,0.79557661,169,6.186 +8.025,0.805829756,185,4.839,8.085,0.802971626,188,6.127,8.435,0.800213175,188,7.379,8.835,0.79755243,188,8.634 +11.885,0.804956329,208,6.455,11.585,0.801801135,208,6.898,11.335,0.798843326,208,7.438,11.035,0.795785838,208,8.069 +14.585,0.800726376,213,6.782,14.285,0.797768566,213,7.026,13.955,0.794711078,213,7.231,13.635,0.791654577,213,7.475 +16.345,0.8023094,215,7.467,15.985,0.799252899,215,7.619,15.665,0.796195411,215,7.744,15.335,0.793237602,215,7.891 +17.245,0.801326425,214,7.903,16.885,0.798269924,214,8.044,16.565,0.795212435,214,8.138,16.235,0.792155934,214,8.258 +17.845,0.799849988,219,8.865,17.485,0.796891192,219,9.032,17.165,0.79383469,219,9.135,16.835,0.790875894,219,9.269 +18.045,0.798470269,217,9.321,17.685,0.795413768,217,9.497,17.385,0.792454972,217,9.608,17.035,0.78939847,217,9.755 +18.145,0.798865038,214,8.805,17.785,0.795907229,214,8.983,17.485,0.792849741,214,9.095,17.235,0.789891932,214,9.229 +17.985,0.79965359,215,9.301,17.685,0.796694794,216,9.517,17.365,0.793638293,216,9.668,17.035,0.790679497,216,9.824 +17.795,0.800146065,194,8.547,17.585,0.797285961,194,9.171,17.325,0.79422946,194,9.668,17.035,0.791271651,194,10.102 +17.605,0.800736245,196,7.784,17.485,0.797778436,198,8.815,17.285,0.794919319,199,9.668,17.035,0.79196151,199,10.389 +16.225,0.799450284,198,8.904,16.285,0.796690846,199,10.436,16.235,0.79383173,199,11.739,16.235,0.790972613,199,12.897 +14.865,0.803881569,198,10.104,14.985,0.801122132,199,11.74,15.085,0.798264002,200,13.258,15.235,0.795504565,200,14.721 +13.685,0.804272391,202,11.185,13.685,0.801413274,203,12.768,13.765,0.798653837,204,14.255,13.835,0.795795707,204,15.703 +12.645,0.800819146,209,11.244,12.585,0.79796003,211,12.56,12.455,0.795002221,211,13.692,12.335,0.792044412,211,14.781 +11.805,0.802787071,206,11.244,11.685,0.799829262,208,12.55,11.535,0.79687244,209,13.692,11.335,0.793914631,209,14.8 +11.105,0.800419442,199,11.502,10.985,0.797461633,201,12.837,10.865,0.794503824,202,14.008,10.735,0.791546015,202,15.167 +10.605,0.798642981,192,10.203,10.485,0.795685171,195,11.454,10.365,0.792728349,196,12.548,10.235,0.78977054,196,13.641 +10.165,0.798740686,207,10.154,9.985,0.795782877,209,11.315,9.785,0.792824081,210,12.282,9.535,0.78976758,210,13.204 +9.105,0.797750802,197,8.309,8.985,0.794792993,200,9.329,8.785,0.791835184,202,10.22,8.535,0.788778682,202,11.123 +8.305,0.798339995,199,8.706,8.185,0.795283494,201,9.734,7.985,0.792325685,202,10.654,7.835,0.789269183,202,11.579 +7.545,0.797351098,196,7.943,7.335,0.794294597,198,8.716,7.095,0.791238095,199,9.431,6.835,0.788181594,199,10.171 +6.785,0.796264495,214,7.189,6.485,0.793207994,215,7.698,6.215,0.790150506,215,8.217,5.935,0.786995312,215,8.773 +6.485,0.794981495,220,8.379,6.185,0.791924994,220,8.766,5.865,0.7887698,220,9.085,5.535,0.785713299,220,9.447 +7.045,0.793505058,215,9.856,6.685,0.790349864,215,10.189,6.365,0.787292376,215,10.467,6.035,0.784137182,215,10.786 +7.905,0.794888724,217,10.312,7.485,0.791732544,217,10.564,7.165,0.788676042,217,10.763,6.835,0.785519862,217,10.994 +8.805,0.792623736,222,10.531,8.385,0.789468542,222,10.772,8.065,0.786411054,222,10.94,7.735,0.783354552,222,11.162 +9.945,0.792135208,228,10.729,9.585,0.788980015,228,10.959,9.235,0.785922527,228,11.137,8.835,0.782865038,228,11.361 +10.805,0.792138169,235,11.879,10.385,0.788981989,235,12.145,10.065,0.785925487,235,12.311,9.735,0.782868986,235,12.52 +11.205,0.792040464,236,12.097,10.785,0.78888527,236,12.353,10.435,0.785827782,236,12.528,10.035,0.782771281,236,12.748 +11.105,0.791449297,235,12.494,10.685,0.788391809,235,12.758,10.365,0.785335307,235,12.943,10.035,0.782277819,235,13.165 +10.845,0.791349618,234,12.335,10.485,0.788292129,235,12.61,10.165,0.785235628,235,12.805,9.835,0.782179127,235,13.036 +10.305,0.79233358,238,12.682,9.885,0.789177399,238,13.005,9.565,0.786120898,238,13.219,9.235,0.783064397,238,13.472 +10.075,0.792036516,232,13.287,9.685,0.788881322,232,13.776,9.375,0.785824821,232,14.126,9.035,0.782767333,232,14.473 +9.845,0.791740439,230,13.892,9.485,0.788683938,230,14.557,9.185,0.78562645,230,15.024,8.935,0.782569948,230,15.484 +8.085,0.79183321,234,12.137,7.785,0.788678016,234,12.857,7.485,0.785620528,234,13.396,7.235,0.782564027,234,13.918 +6.885,0.795278559,235,9.836,6.585,0.792123365,236,10.643,6.315,0.789066864,236,11.275,6.035,0.786010363,236,11.896 +6.225,0.794784111,240,8.161,5.985,0.791727609,241,8.924,5.715,0.788572415,242,9.549,5.435,0.785515914,242,10.161 +5.625,0.795570688,248,6.138,5.385,0.792514187,251,6.848,5.135,0.789358993,252,7.468,4.935,0.786302492,252,8.069 +4.965,0.796257587,266,6.178,4.785,0.793202073,269,6.967,4.565,0.790046879,270,7.635,4.335,0.786990377,270,8.287 +4.465,0.797833703,266,6.921,4.285,0.794777202,270,7.807,4.065,0.791720701,271,8.582,3.835,0.788664199,271,9.318 +3.965,0.795169998,261,7.308,3.785,0.792113496,264,8.301,3.585,0.789056995,265,9.184,3.435,0.78600148,265,10.052 +3.465,0.796055268,259,7.506,3.285,0.792998766,262,8.607,3.135,0.789943252,263,9.638,3.035,0.786985443,263,10.637 +2.905,0.796448063,260,7.09,2.785,0.793391562,264,8.192,2.635,0.79033506,266,9.184,2.535,0.787279546,266,10.141 +2.545,0.796150999,254,6.227,2.485,0.793094498,262,7.254,2.365,0.790038983,264,8.148,2.235,0.787081174,264,9.021 +2.035,0.795557858,257,6.604,2.035,0.792600049,268,7.817,2.045,0.789643227,271,8.878,2.035,0.786785097,271,9.883 +1.525,0.795062423,266,6.981,1.585,0.792105601,274,8.38,1.735,0.789248458,277,9.618,1.835,0.786390328,277,10.746 +2.225,0.79417814,267,5.949,1.985,0.791022946,272,6.829,2.085,0.788164816,276,7.724,2.235,0.785306686,276,8.624 +3.985,0.795859857,281,4.75,3.685,0.792704663,282,5.07,3.385,0.789648162,283,5.396,3.035,0.786492968,283,5.769 +5.645,0.794189983,306,5.553,5.285,0.791034789,306,5.692,4.955,0.787879595,306,5.82,4.635,0.784724402,306,5.978 +7.145,0.793801135,310,5.721,6.785,0.790645941,310,5.821,6.455,0.787588453,310,5.899,6.135,0.784433259,310,5.997 +8.545,0.792721441,319,5.493,8.185,0.789566247,318,5.574,7.835,0.786509746,318,5.643,7.535,0.783452258,318,5.74 +9.605,0.791936837,318,5.077,9.185,0.788879349,318,5.159,8.865,0.785724155,318,5.199,8.535,0.782667654,318,5.264 +10.705,0.791053541,322,4.541,10.285,0.78789736,321,4.595,9.955,0.784840859,321,4.636,9.635,0.781784357,321,4.689 +11.745,0.792437207,339,4.621,11.385,0.789379719,338,4.664,11.065,0.786323217,338,4.696,10.735,0.783265729,338,4.739 +12.345,0.791650629,360,4.214,11.985,0.788593141,359,4.239,11.665,0.78553664,359,4.242,11.335,0.782479151,359,4.263 +12.705,0.792144091,13,3.53,12.285,0.789087589,12,3.538,11.985,0.786030101,12,3.532,11.735,0.783072292,12,3.539 +13.315,0.794019245,44,2.34,12.985,0.790962744,44,2.372,12.685,0.788003948,44,2.387,12.335,0.784947446,44,2.419 +13.925,0.795894399,167,1.15,13.685,0.79293659,173,1.206,13.385,0.789879102,175,1.253,13.035,0.786921293,175,1.309 +12.525,0.796974093,229,6.604,12.285,0.793917592,232,7.234,12.015,0.790958796,233,7.665,11.735,0.787902295,233,8.01 +10.325,0.799825315,233,6.683,10.085,0.796768813,235,7.372,9.815,0.793712312,236,7.912,9.535,0.790654824,236,8.387 +8.925,0.798440661,220,5.92,8.685,0.79538416,223,6.759,8.455,0.792425364,225,7.428,8.235,0.789368863,225,8.02 +7.905,0.798634098,212,5.206,7.785,0.795577597,219,6.167,7.705,0.792620775,222,6.915,7.635,0.789761658,222,7.564 +7.025,0.798828522,210,5.305,7.085,0.795969405,220,6.443,7.185,0.793111276,225,7.191,7.335,0.790253146,225,7.722 +6.225,0.798628177,194,5.592,6.585,0.795968418,210,6.68,6.835,0.793208981,216,7.152,7.035,0.790449544,216,7.326 +5.545,0.796555638,193,5.761,6.385,0.794192943,205,6.888,6.635,0.791433506,210,7.201,6.935,0.788675056,210,7.197 +5.085,0.798426844,188,6.178,6.285,0.796262522,195,7.244,6.515,0.793503084,197,7.389,6.735,0.790744634,197,7.286 +4.765,0.796848754,184,6.445,6.085,0.794783124,186,7.758,6.315,0.792023686,188,7.961,6.535,0.789265236,188,7.782 +4.365,0.796354305,173,6.247,5.685,0.794288675,176,7.906,5.955,0.791628917,177,8.385,6.235,0.788869479,177,8.188 +4.675,0.795566741,183,6.078,5.635,0.793302739,185,7.876,5.935,0.790544288,186,8.434,6.235,0.787883543,186,8.248 +4.985,0.794779176,183,5.9,5.585,0.792219097,183,7.856,5.915,0.789558352,183,8.474,6.235,0.786799901,183,8.307 +5.445,0.792809277,192,6.673,5.385,0.789852455,192,8.153,5.765,0.78719171,193,9.283,6.135,0.784531952,193,10.111 +7.785,0.792029608,195,7.714,7.485,0.788873427,195,8.044,7.185,0.785816926,195,8.405,6.935,0.782760424,195,8.872 +9.945,0.79292376,192,9.39,9.585,0.789866272,192,9.695,9.255,0.786809771,192,9.954,8.935,0.783753269,192,10.24 +11.545,0.790661732,187,9.489,11.185,0.787605231,187,9.704,10.865,0.784547742,187,9.865,10.535,0.781491241,187,10.062 +12.845,0.791356526,186,10.164,12.485,0.788299038,186,10.386,12.165,0.785242536,186,10.536,11.835,0.782185048,186,10.716 +13.645,0.789288922,189,11.473,13.285,0.786231434,189,11.72,12.955,0.783174932,189,11.877,12.635,0.780217123,189,12.074 +14.005,0.788896126,192,12.167,13.585,0.785838638,192,12.452,13.255,0.782879842,192,12.637,12.935,0.779823341,192,12.848 +14.145,0.789684678,192,12.018,13.785,0.786628177,192,12.303,13.455,0.783570688,192,12.499,13.135,0.780612879,192,12.719 +14.145,0.789684678,193,12.107,13.785,0.786628177,193,12.402,13.455,0.783570688,193,12.607,13.135,0.780612879,193,12.818 +13.145,0.789286948,187,12.633,12.785,0.786230447,187,12.966,12.485,0.783271651,187,13.179,12.235,0.780215149,187,13.422 +13.185,0.790174192,178,10.431,12.885,0.787216383,179,10.94,12.595,0.784257587,179,11.305,12.335,0.781201086,179,11.658 +13.225,0.791160128,168,8.23,12.985,0.788202319,168,8.914,12.715,0.785145818,168,9.421,12.435,0.782187022,168,9.893 +12.605,0.793918579,175,7.913,12.485,0.79096077,176,8.805,12.265,0.788002961,177,9.5,12.035,0.785045152,177,10.121 +11.265,0.79342117,210,8.131,11.085,0.79046336,212,8.983,10.835,0.787406859,213,9.598,10.535,0.784448063,213,10.131 +9.225,0.794301505,225,7.486,8.985,0.791343696,227,8.271,8.715,0.788286208,228,8.849,8.435,0.785229706,228,9.368 +7.865,0.793409326,213,7.129,7.685,0.790352825,216,8.024,7.435,0.787296324,217,8.73,7.235,0.784338515,217,9.378 +6.725,0.794095238,209,7.03,6.485,0.791038737,211,7.926,6.285,0.787982235,211,8.73,6.035,0.784925734,211,9.517 +5.625,0.793697508,211,7.139,5.385,0.790641007,213,7.965,5.135,0.787584505,214,8.671,4.935,0.784528004,214,9.368 +4.425,0.792608932,203,5.751,4.185,0.789453738,206,6.414,3.935,0.786397237,208,7.014,3.735,0.783340735,208,7.613 +3.725,0.793789292,183,4.908,3.485,0.790634098,189,5.593,3.315,0.787577597,192,6.205,3.135,0.784619788,192,6.81 +3.065,0.791421663,176,6.138,2.885,0.788365162,180,6.997,2.885,0.785506045,183,7.704,2.935,0.782549223,183,8.347 +2.505,0.791419689,178,6.425,2.385,0.78846188,180,7.58,2.415,0.785505058,182,8.622,2.435,0.782645941,182,9.497 +3.615,0.790536393,174,4.155,3.435,0.787479891,173,4.941,3.365,0.784522082,173,5.672,3.335,0.78156526,173,6.315 +4.725,0.789554404,169,1.894,4.485,0.786497903,161,2.303,4.315,0.783441401,158,2.713,4.135,0.780483592,158,3.133 +0.585,0.787863805,213,5.999,0.285,0.784708611,211,6.611,0.135,0.781750802,210,7.28,0.035,0.778695287,210,7.95 +1.245,0.788851715,224,2.3,0.885,0.785696521,222,2.49,0.685,0.78264002,220,2.91,0.535,0.779584505,220,3.499 +2.985,0.788759931,232,3.54,2.685,0.785604737,231,3.637,2.365,0.782448557,231,3.749,2.035,0.779293363,231,3.876 +4.665,0.790441648,246,1.735,4.185,0.787186775,243,1.789,3.865,0.784130274,243,1.845,3.535,0.780974093,243,1.913 +2.845,0.787675302,226,3.421,2.485,0.784519122,226,3.469,2.185,0.781363928,226,3.522,1.935,0.778307427,226,3.589 +1.305,0.788161855,220,5.305,0.885,0.784907969,219,5.524,0.585,0.781752776,219,5.731,0.335,0.778696274,219,5.968 +1.945,0.788558599,254,6.356,1.585,0.785403405,253,6.493,1.255,0.782248211,253,6.629,0.935,0.779093018,253,6.781 +2.845,0.788956329,248,5.404,2.485,0.785801135,248,5.524,2.165,0.782645941,248,5.633,1.835,0.779489761,248,5.76 +3.545,0.790831483,246,5.285,3.185,0.787676289,246,5.386,2.865,0.784521095,246,5.465,2.535,0.781364915,246,5.571 +3.745,0.791226252,247,5.434,3.385,0.788071058,248,5.544,3.065,0.784915865,248,5.633,2.735,0.781859363,248,5.75 +2.965,0.793786331,229,6.039,2.635,0.790631137,230,6.216,2.325,0.787475944,231,6.353,2.035,0.78432075,231,6.513 +2.185,0.796247718,228,6.644,1.885,0.793092524,229,6.888,1.585,0.78993733,229,7.073,1.335,0.786782137,229,7.276 +2.525,0.797826795,236,5.959,2.285,0.794671601,238,6.473,2.015,0.791516408,239,6.856,1.735,0.788459906,239,7.237 +2.565,0.800093758,236,6.723,2.385,0.797037256,240,7.412,2.135,0.793882063,241,7.931,1.935,0.790726869,241,8.426 +2.465,0.798713052,234,6.921,2.285,0.795657538,238,7.669,2.065,0.792502344,240,8.257,1.835,0.789445843,240,8.823 +2.165,0.799796694,231,7.06,1.985,0.796740192,233,7.866,1.765,0.793584999,234,8.513,1.535,0.790528497,234,9.11 +1.965,0.798809771,238,7.625,1.785,0.795754256,241,8.489,1.615,0.792697755,242,9.194,1.435,0.789641253,242,9.883 +2.065,0.799007155,243,7.893,1.885,0.795852948,247,8.775,1.685,0.792796447,248,9.49,1.535,0.789739946,248,10.191 +2.265,0.797727116,253,8.637,2.085,0.794670614,256,9.566,1.855,0.791515421,257,10.388,1.635,0.788458919,257,11.202 +2.625,0.799009129,258,8.349,2.385,0.795953615,260,9.26,2.185,0.792798421,261,10.062,2.035,0.78974192,261,10.875 +2.865,0.798418949,266,9.053,2.685,0.795362448,268,9.991,2.455,0.792207254,269,10.812,2.235,0.789150753,269,11.648 +3.025,0.798025167,282,8.954,2.785,0.794869973,284,9.971,2.615,0.791814458,285,10.891,2.435,0.788757957,285,11.817 +3.205,0.797828769,301,9.023,2.935,0.794673575,302,9.981,2.725,0.791617074,302,10.851,2.535,0.788560572,302,11.747 +3.385,0.797632371,307,9.083,3.085,0.79457587,308,9.981,2.835,0.791420676,309,10.812,2.535,0.788265482,309,11.668 +4.245,0.797832717,321,9.926,3.885,0.794677523,321,10.317,3.615,0.791521342,321,10.624,3.335,0.788464841,321,10.954 +5.245,0.798131754,319,9.331,4.885,0.794976561,320,9.695,4.565,0.791821367,320,9.993,4.235,0.788665186,320,10.33 +6.345,0.797938317,316,8.409,5.985,0.794783124,317,8.607,5.665,0.791726622,317,8.76,5.335,0.788570442,317,8.952 +7.605,0.794985443,318,8.647,7.185,0.791731557,318,8.825,6.865,0.788675056,318,8.947,6.535,0.785617567,318,9.1 +8.645,0.796171725,318,9.073,8.285,0.793016531,318,9.23,7.935,0.78996003,318,9.342,7.535,0.786803849,318,9.487 +9.505,0.794597582,317,9.757,9.085,0.791442388,317,9.942,8.755,0.7883849,317,10.052,8.435,0.785328399,317,10.211 +10.205,0.794994325,319,9.945,9.785,0.791839132,319,10.119,9.455,0.788781643,319,10.25,9.135,0.78562645,319,10.409 +10.805,0.794701209,326,10.035,10.385,0.791545028,326,10.218,10.065,0.788488527,326,10.348,9.735,0.785431039,326,10.518 +11.245,0.795490748,333,9.202,10.885,0.792434246,333,9.378,10.565,0.789376758,332,9.5,10.235,0.786320257,332,9.655 +11.445,0.795885517,332,8.428,11.085,0.792829016,332,8.598,10.785,0.789772514,332,8.711,10.535,0.786716013,332,8.852 +11.185,0.796674069,324,7.328,10.885,0.79361658,324,7.698,10.595,0.790560079,324,7.951,10.335,0.787503578,324,8.178 +10.925,0.797461633,306,6.237,10.685,0.794405132,309,6.809,10.415,0.791347644,309,7.182,10.135,0.788389835,309,7.504 +10.385,0.801599803,310,5.86,10.385,0.798740686,314,6.947,10.165,0.795684185,315,7.665,9.935,0.792627683,315,8.198 +10.025,0.805245497,305,5.711,10.085,0.802387367,315,6.819,9.935,0.799330866,318,7.635,9.835,0.796373057,318,8.248 +9.845,0.802583765,319,5.592,10.085,0.799824328,329,6.789,9.935,0.796866519,331,7.685,9.835,0.79390871,331,8.327 +9.885,0.807611152,318,5.9,10.185,0.804851715,331,7.007,10.015,0.801795213,334,7.773,9.835,0.798837404,334,8.307 +9.425,0.804061189,308,6.951,10.085,0.801598816,324,8.192,9.935,0.798542314,328,8.799,9.835,0.795584505,328,9.031 +8.885,0.805832717,310,6.862,9.485,0.803272638,324,8.192,9.385,0.800314829,328,8.799,9.335,0.797357019,328,8.962 +7.705,0.804350358,302,7.536,8.485,0.801987663,318,8.726,8.565,0.799129534,322,9.174,8.635,0.796270417,322,9.308 +6.625,0.802966691,291,7.328,7.585,0.800702689,311,8.509,7.815,0.797845547,317,8.888,8.035,0.795086109,317,8.882 +6.125,0.802570935,285,6.505,7.085,0.800208241,308,7.501,7.285,0.797448803,315,7.754,7.535,0.794689366,315,7.673 +6.025,0.803555885,280,5.9,6.985,0.801291883,309,6.344,7.115,0.798433753,316,6.235,7.235,0.795575623,316,5.928 +5.515,0.803553911,290,5.503,6.385,0.801191216,311,6.058,6.535,0.798333087,318,5.939,6.735,0.795475944,318,5.551 +5.005,0.803454231,298,5.107,5.785,0.800991858,327,5.761,5.955,0.798233407,336,5.653,6.135,0.795375278,336,5.185 +5.025,0.799412781,285,4.184,5.685,0.796851715,321,4.289,5.835,0.794092277,333,3.749,6.035,0.791235134,333,3.103 +5.805,0.80079546,306,1.438,5.385,0.797540587,321,1.67,5.615,0.794782137,338,1.736,5.835,0.792022699,338,2.002 +9.305,0.800708611,110,0.793,8.885,0.797553417,111,0.82,8.535,0.794397237,112,0.839,8.235,0.791242043,112,0.853 +11.205,0.799334814,90,0.645,10.785,0.79617962,92,0.702,10.435,0.793122132,93,0.73,10.035,0.79006563,93,0.743 +12.565,0.798649889,28,0.793,12.085,0.795493708,26,0.85,11.735,0.792437207,25,0.878,11.335,0.789281026,25,0.882 +13.665,0.798259067,58,0.754,13.185,0.795102887,59,0.8,12.835,0.792046385,59,0.799,12.535,0.788989884,59,0.803 +14.605,0.796389835,75,1.398,14.185,0.793332346,77,1.453,13.865,0.790274858,78,1.48,13.535,0.787317049,78,1.487 +14.945,0.795207501,75,3.659,14.585,0.792150012,76,3.666,14.255,0.789093511,76,3.66,13.935,0.786135702,76,3.658 +15.345,0.794814705,74,4.383,14.985,0.791757217,74,4.407,14.685,0.788799408,75,4.41,14.435,0.785742906,75,4.431 +15.685,0.796491488,78,4.789,15.385,0.793532692,78,4.832,15.065,0.79047619,78,4.844,14.735,0.787518381,78,4.877 +15.605,0.797673822,80,4.254,15.335,0.794617321,81,4.417,15.035,0.791659511,81,4.498,14.735,0.788602023,81,4.56 +15.525,0.798758451,91,3.728,15.285,0.795701949,93,3.992,15.015,0.792743153,94,4.153,14.735,0.789785344,94,4.253 +15.105,0.799841105,162,3.699,14.985,0.796883296,163,3.943,14.735,0.793925487,163,4.074,14.535,0.790967678,163,4.173 +13.585,0.803778929,212,6.078,13.585,0.800920799,219,6.927,13.385,0.79796299,221,7.527,13.235,0.794906489,221,7.99 +11.885,0.800225019,226,7.367,11.885,0.797267209,231,8.637,11.785,0.794408093,234,9.618,11.735,0.791451271,234,10.429 +10.725,0.800221071,219,6.168,10.785,0.797361954,228,7.441,10.955,0.794602517,232,8.503,11.135,0.791843079,232,9.368 +9.865,0.800809277,196,5.702,10.285,0.798149519,214,6.68,10.585,0.795488774,220,7.132,10.935,0.792828029,220,7.306 +9.105,0.799130521,196,7.09,10.185,0.796966198,210,8.153,10.455,0.79420676,214,8.336,10.735,0.791546015,214,8.178 +8.485,0.798833457,196,7.328,9.985,0.796866519,203,8.538,10.215,0.794107081,205,8.829,10.435,0.791446336,205,8.872 +7.985,0.799028868,198,7.02,9.485,0.797061929,198,8.736,9.785,0.794402171,198,9.421,10.035,0.791741426,198,9.586 +7.605,0.796957316,192,6.763,8.685,0.794693314,193,8.845,9.205,0.792132248,193,10.033,9.735,0.789669874,193,10.429 +7.665,0.797056008,189,6.961,8.385,0.794593634,189,8.815,9.035,0.792132248,189,10.19,9.735,0.789669874,189,11.113 +7.595,0.795971379,199,6.703,8.135,0.793410313,199,8.548,8.865,0.791047619,199,9.904,9.635,0.788585245,199,10.795 +7.525,0.794886751,202,6.435,7.885,0.792226992,199,8.281,8.685,0.789863311,198,9.618,9.535,0.787500617,198,10.488 +8.585,0.795383173,196,7.615,8.585,0.792426351,196,9.279,9.205,0.789963977,196,10.861,9.835,0.787501604,196,12.223 +11.425,0.794703183,197,8.369,11.185,0.791646681,197,9.141,10.955,0.788688872,197,9.954,10.735,0.785730076,197,10.815 +13.545,0.793724155,195,10.174,13.185,0.790667654,196,10.544,12.885,0.787610165,196,10.851,12.535,0.784652356,196,11.172 +15.045,0.791264742,199,10.868,14.685,0.788306933,199,11.177,14.365,0.785249445,199,11.414,14.035,0.782291636,199,11.668 +15.945,0.792154947,200,11.701,15.585,0.789196151,200,11.987,15.255,0.78613965,200,12.183,14.935,0.783180854,200,12.421 +16.405,0.788805329,201,13.148,15.985,0.785747841,201,13.46,15.665,0.782789045,201,13.673,15.335,0.779831236,201,13.908 +16.305,0.788804342,199,13.882,15.885,0.785746854,199,14.221,15.565,0.782789045,199,14.452,15.235,0.779830249,199,14.701 +16.205,0.789494202,202,13.436,15.785,0.786436714,202,13.776,15.455,0.783478905,202,13.998,15.135,0.780421416,202,14.255 +16.045,0.789296817,205,13.456,15.685,0.786338021,205,13.806,15.365,0.78328152,205,14.038,15.035,0.780322724,205,14.295 +15.645,0.789393536,206,14.07,15.285,0.786337034,206,14.478,14.985,0.783378238,206,14.768,14.735,0.780420429,206,15.078 +15.085,0.790574883,212,12.821,14.785,0.787518381,212,13.46,14.485,0.784559585,212,13.9,14.235,0.781601776,212,14.325 +14.525,0.79175623,212,11.582,14.285,0.788699729,213,12.432,13.985,0.785740933,213,13.041,13.735,0.782783124,213,13.581 +12.685,0.790173205,215,12.613,12.385,0.787214409,216,13.608,12.085,0.784157908,216,14.334,11.835,0.781200099,216,14.989 +11.185,0.795194671,209,12.246,10.885,0.792138169,210,13.242,10.615,0.789081668,210,13.978,10.335,0.786025167,210,14.672 +9.885,0.790459413,204,12.504,9.585,0.787401925,205,13.509,9.315,0.784444115,205,14.284,9.035,0.781387614,205,14.999 +8.785,0.793708364,200,12.89,8.485,0.790651863,201,13.934,8.215,0.787595361,202,14.718,7.935,0.784537873,202,15.465 +7.725,0.791634838,201,13.902,7.485,0.788578337,202,15.021,7.185,0.785521836,202,15.843,6.935,0.782464347,202,16.624 +6.725,0.791039724,200,14.636,6.485,0.787983222,200,15.772,6.185,0.784926721,201,16.612,5.935,0.78187022,201,17.417 +5.925,0.790051813,195,14.903,5.685,0.786994325,196,16.078,5.385,0.783937824,196,16.958,5.035,0.780881322,196,17.814 +5.285,0.789358993,195,16.886,4.985,0.786302492,195,18.035,4.685,0.783147298,195,18.871,4.435,0.780090797,195,19.678 +4.645,0.788469775,196,17.878,4.285,0.785314582,197,18.994,3.985,0.782257094,197,19.799,3.735,0.7791019,197,20.6 +3.985,0.787383173,196,19.256,3.685,0.784326672,196,20.565,3.385,0.781171478,196,21.555,3.035,0.77811399,196,22.533 +4.285,0.786398224,193,18.245,3.985,0.783341722,194,19.429,3.685,0.780285221,194,20.331,3.335,0.777130027,194,21.214 +4.585,0.785414261,192,17.234,4.285,0.782356773,192,18.292,3.985,0.779300271,192,19.098,3.735,0.77624377,192,19.896 +5.245,0.785317543,196,19.812,4.885,0.782162349,196,20.713,4.585,0.779104861,196,21.347,4.335,0.776048359,196,21.997 +6.205,0.789954108,200,16.986,5.785,0.786797927,200,17.828,5.435,0.783642734,200,18.477,5.035,0.780585245,200,19.132 +6.605,0.785223785,208,17.283,6.185,0.782067604,208,17.917,5.835,0.778912411,208,18.388,5.535,0.775855909,208,18.904 +6.405,0.787983222,209,18.076,5.985,0.784827042,209,18.628,5.635,0.781671848,209,19.019,5.335,0.778615347,209,19.45 +6.705,0.787984209,208,17.769,6.285,0.784828029,208,18.292,5.935,0.781771527,208,18.664,5.535,0.778615347,208,19.083 +7.105,0.788773748,208,17.243,6.685,0.785618554,208,17.739,6.335,0.782561066,208,18.092,6.035,0.779405872,208,18.498 +6.965,0.786703183,211,16.996,6.485,0.783449297,211,17.482,6.165,0.780391809,211,17.836,5.835,0.777335307,211,18.23 +6.405,0.787095978,214,16.678,5.985,0.783939798,214,17.136,5.665,0.780883296,214,17.431,5.335,0.777728103,214,17.784 +5.805,0.78906489,212,15.687,5.385,0.785909697,212,16.118,5.065,0.782754503,212,16.405,4.735,0.779697015,212,16.724 +5.145,0.788766839,214,15.052,4.785,0.785611646,214,15.486,4.455,0.782456452,214,15.764,4.135,0.779399951,214,16.089 +4.415,0.791229213,227,12.652,4.085,0.788171725,226,13.222,3.775,0.785016531,226,13.594,3.435,0.781861337,226,13.968 +3.685,0.793789292,233,10.263,3.385,0.790634098,233,10.959,3.085,0.787577597,233,11.423,2.835,0.784422403,233,11.846 +2.125,0.793192203,241,9.797,1.885,0.790135702,241,10.564,1.585,0.786980508,241,11.058,1.335,0.783825315,241,11.529 +1.025,0.796638539,238,7.853,0.785,0.793483346,239,8.558,0.515,0.790328152,240,9.056,0.235,0.787172958,240,9.527 +0.325,0.794762398,232,6.852,0.085,0.791608191,234,7.55,-0.195,0.788452998,235,8.099,-0.465,0.785297804,235,8.615 +-0.275,0.798408093,234,5.126,-0.515,0.795252899,237,5.781,-0.765,0.792097705,239,6.304,-0.965,0.788942512,239,6.8 +-0.875,0.798503824,248,4.68,-1.115,0.795348631,252,5.435,-1.335,0.792194424,254,6.077,-1.565,0.78903923,254,6.672 +-1.395,0.797516901,219,5.156,-1.515,0.794361707,229,5.969,-1.665,0.791305206,233,6.461,-1.765,0.788249692,233,6.9 +-2.035,0.796725389,213,6.029,-1.915,0.793867259,223,7.343,-1.865,0.790910437,229,8.02,-1.865,0.787953615,229,8.476 +-2.555,0.797906736,214,6.277,-2.315,0.795048606,225,7.777,-2.115,0.792191463,231,8.543,-1.965,0.789333333,231,9.09 +-2.835,0.797117197,216,6.247,-2.415,0.794358747,230,7.807,-2.215,0.791500617,236,8.651,-1.965,0.788742166,236,9.259 +-3.115,0.797509993,210,6.217,-2.515,0.794851221,226,7.659,-2.315,0.791993092,233,8.326,-2.065,0.789135949,233,8.783 +-3.155,0.794848261,220,5.583,-2.765,0.79208981,231,6.72,-2.585,0.789232667,238,7.31,-2.465,0.786374537,238,7.742 +-3.195,0.792187515,206,4.938,-3.015,0.789329386,224,5.781,-2.865,0.786471256,232,6.284,-2.665,0.783614113,232,6.711 +-2.275,0.791698001,235,4.7,-2.515,0.788542808,239,5.307,-2.515,0.785585986,242,6.037,-2.465,0.782629164,242,6.761 +-1.055,0.793772514,264,3.857,-1.415,0.790517641,265,4.042,-1.715,0.787362448,265,4.232,-1.965,0.784109548,265,4.471 +-0.255,0.793084629,302,2.529,-0.615,0.789929435,301,2.569,-0.945,0.786675549,300,2.604,-1.265,0.783520355,300,2.647 +0.845,0.792103627,244,1.854,0.485,0.788947446,243,1.897,0.185,0.785792253,243,1.943,-0.065,0.782637059,243,1.993 +1.345,0.791414755,210,3.322,0.985,0.788259561,210,3.39,0.665,0.785104367,210,3.443,0.335,0.781949173,210,3.499 +1.645,0.791021959,207,4.482,1.285,0.787866765,208,4.556,0.985,0.784711572,208,4.617,0.735,0.781556378,208,4.699 +1.745,0.790134715,237,4.026,1.385,0.786979521,237,4.101,1.085,0.783824328,236,4.153,0.835,0.780669134,236,4.233 +0.345,0.79052455,285,3.213,-0.015,0.787369356,284,3.271,-0.315,0.784213175,284,3.305,-0.565,0.781058969,284,3.37 +1.365,0.792301999,259,0.169,0.885,0.789048113,272,0.247,0.515,0.785891932,276,0.326,0.135,0.782638046,276,0.397 +1.845,0.791811498,179,4.284,1.485,0.788655317,180,4.348,1.185,0.785500123,180,4.39,0.935,0.78234493,180,4.461 +0.615,0.793877128,260,5.345,0.285,0.790623242,259,5.544,-0.005,0.787467061,259,5.702,-0.265,0.784312855,259,5.888 +-0.615,0.795942758,302,6.415,-0.915,0.792688872,302,6.74,-1.195,0.789533679,302,7.014,-1.465,0.786378485,302,7.326 +-1.015,0.798207747,317,5.404,-1.315,0.795052554,317,5.613,-1.615,0.791798668,317,5.791,-1.965,0.788643474,317,6.007 +-0.715,0.79988453,313,6.237,-1.015,0.796729336,313,6.542,-1.315,0.79347545,313,6.787,-1.565,0.790320257,313,7.038 +-0.975,0.799588453,308,5.394,-1.215,0.796433259,309,5.929,-1.485,0.793179373,310,6.402,-1.765,0.79002418,310,6.87 +-1.375,0.800966198,302,5.543,-1.615,0.797713299,305,6.275,-1.815,0.794656797,307,6.955,-1.965,0.791501604,307,7.653 +-1.835,0.802246237,300,7.546,-2.015,0.799091044,304,8.568,-2.115,0.796035529,305,9.549,-2.165,0.792980015,305,10.548 +-2.135,0.80037207,306,7.774,-2.315,0.797217863,309,8.884,-2.335,0.794161362,311,9.963,-2.365,0.791105848,311,11.033 +-2.595,0.799483839,303,7.447,-2.715,0.796328645,307,8.627,-2.615,0.793470516,309,9.687,-2.465,0.79051468,309,10.607 +-3.055,0.798988404,307,7.367,-3.115,0.795932889,311,8.687,-2.815,0.793174439,313,9.865,-2.465,0.790317296,313,10.815 +-3.455,0.79770639,307,6.772,-3.515,0.794649889,312,8.153,-3.185,0.791891438,316,9.362,-2.865,0.789132988,316,10.449 +-3.815,0.797704416,308,6.951,-3.815,0.794648902,314,8.439,-3.485,0.791890452,317,9.766,-3.165,0.789132001,317,10.994 +-3.405,0.797410313,298,6.921,-3.165,0.79455317,307,8.4,-2.835,0.79179472,311,9.618,-2.465,0.789035283,311,10.716 +-2.995,0.797214903,289,6.891,-2.515,0.794456452,303,8.351,-2.185,0.791698001,309,9.47,-1.865,0.788939551,309,10.439 +-2.135,0.797316556,278,5.434,-2.015,0.794359734,292,6.71,-1.635,0.791601283,300,7.685,-1.265,0.788941525,300,8.535 +-0.555,0.7970264,301,3.679,-0.915,0.793772514,303,3.983,-1.045,0.790717,305,4.488,-1.165,0.787660498,305,5.175 +1.145,0.794962744,302,5.543,0.785,0.79180755,302,5.672,0.455,0.788652356,302,5.781,0.135,0.78539847,302,5.928 +2.405,0.796446089,287,4.918,1.985,0.793192203,287,5,1.685,0.790036023,287,5.071,1.435,0.786979521,287,5.155 +3.845,0.793887984,291,7.03,3.485,0.790732791,291,7.155,3.165,0.787577597,291,7.261,2.835,0.784422403,291,7.385 +5.105,0.794878855,300,8.29,4.685,0.791722675,300,8.449,4.365,0.788567481,300,8.553,4.035,0.785412287,300,8.694 +6.105,0.793502097,304,9.648,5.685,0.790345917,304,9.843,5.385,0.787190723,304,9.983,5.035,0.784134222,304,10.151 +6.545,0.794587713,321,10.104,6.185,0.791432519,321,10.327,5.865,0.788277325,320,10.476,5.535,0.785219837,320,10.657 +6.545,0.795771034,324,9.747,6.185,0.792614853,324,10.021,5.865,0.78945966,324,10.22,5.535,0.786403158,324,10.439 +6.585,0.795277572,323,9.113,6.285,0.792221071,322,9.368,5.955,0.789065877,322,9.549,5.635,0.786009376,322,9.755 +6.605,0.79744683,318,7.615,6.335,0.794291636,318,7.985,6.025,0.791234148,318,8.237,5.735,0.788078954,318,8.486 +6.625,0.799516408,313,6.118,6.385,0.796459906,314,6.601,6.085,0.793304713,314,6.925,5.835,0.790149519,314,7.207 +6.525,0.800008882,288,2.915,6.285,0.796853689,297,3.261,6.035,0.793797187,299,3.591,5.835,0.790740686,299,3.906 +6.285,0.803064397,267,3.927,6.285,0.800106588,283,4.279,6.065,0.797050086,287,4.538,5.835,0.793993585,287,4.828 +6.065,0.804049346,250,5.156,6.485,0.801290896,272,5.583,6.315,0.798333087,277,5.801,6.135,0.795276585,277,6.037 +5.825,0.803949667,244,5.93,6.785,0.801586973,266,6.443,6.665,0.798630151,271,6.56,6.535,0.795573649,271,6.691 +5.625,0.804146065,257,6.624,6.585,0.80178337,279,7.55,6.535,0.798826548,286,8.01,6.535,0.795868739,286,8.228 +5.425,0.802765359,278,7.05,6.085,0.800302985,297,8.133,6.065,0.797346163,303,8.829,6.035,0.794389341,303,9.338 +5.005,0.80296077,295,7.407,5.485,0.800399704,309,8.825,5.465,0.797442882,314,9.815,5.435,0.794485073,314,10.538 +4.025,0.802464347,304,7.913,4.385,0.799705897,313,9.527,4.455,0.796847767,317,10.605,4.535,0.793890945,317,11.321 +2.985,0.800390822,300,7.605,3.285,0.797632371,310,9.072,3.515,0.794872934,315,10.033,3.735,0.792014804,315,10.736 +2.325,0.801965951,298,7.298,2.685,0.799207501,310,8.647,2.935,0.796448063,315,9.441,3.235,0.793688626,315,10.012 +1.895,0.801471503,301,6.673,2.435,0.798811744,316,7.758,2.645,0.796052307,322,8.247,2.835,0.793195164,322,8.555 +1.465,0.800977054,291,6.049,2.185,0.798416975,316,6.858,2.365,0.795558845,324,7.053,2.535,0.792799408,324,7.098 +1.845,0.800780656,273,4.363,2.385,0.798220577,305,4.842,2.485,0.795263755,316,4.715,2.535,0.792405625,316,4.382 +2.945,0.799404885,302,3.242,2.585,0.796249692,305,3.508,2.585,0.793292869,311,3.798,2.535,0.79033506,311,4.144 +4.745,0.800002961,350,2.429,4.385,0.796847767,351,2.471,4.035,0.793592894,351,2.516,3.735,0.7904377,351,2.568 +6.405,0.800402665,5,2.856,5.985,0.797148779,5,2.896,5.665,0.793993585,5,2.92,5.335,0.790936097,5,2.964 +7.745,0.796957316,336,3.629,7.385,0.793900814,336,3.676,7.065,0.790745621,336,3.709,6.735,0.787688132,336,3.767 +8.905,0.798045892,333,4.175,8.485,0.794890698,333,4.23,8.165,0.79183321,333,4.271,7.835,0.788678016,333,4.332 +9.905,0.796374044,329,4.303,9.485,0.793217863,329,4.358,9.165,0.79006267,329,4.4,8.835,0.787005181,329,4.461 +10.645,0.797164569,337,4.244,10.285,0.794009376,337,4.299,9.955,0.790951887,337,4.331,9.635,0.787895386,337,4.382 +11.205,0.79746262,341,4.67,10.785,0.79430644,341,4.734,10.455,0.791249938,341,4.775,10.135,0.78819245,341,4.828 +11.545,0.799238095,348,5.602,11.185,0.796180607,348,5.672,10.865,0.793124106,348,5.731,10.535,0.789968912,348,5.799 +12.035,0.801112263,346,5.751,11.735,0.797957069,346,6.028,11.425,0.794900567,346,6.215,11.135,0.791843079,346,6.374 +12.525,0.802888724,343,5.91,12.285,0.799832223,343,6.384,11.985,0.796774735,343,6.688,11.735,0.793718233,343,6.939 +11.945,0.801802122,320,5.434,11.885,0.798844313,325,6.206,11.635,0.795886504,326,6.669,11.435,0.792830002,326,6.969 +11.305,0.807911177,307,5.632,11.485,0.805053047,317,6.562,11.285,0.802095238,320,6.965,11.035,0.799038737,320,7.058 +10.665,0.806628177,304,6.049,11.085,0.804066124,316,7.105,10.955,0.801010609,320,7.458,10.835,0.7980528,320,7.336 +10.245,0.808991858,309,5.444,10.785,0.806430792,327,6.305,10.735,0.80347397,333,6.678,10.735,0.800516161,333,6.721 +10.025,0.808695781,320,5.9,10.685,0.806233407,342,6.374,10.565,0.803177893,349,6.521,10.435,0.800220084,349,6.632 +9.645,0.808891192,328,5.146,10.185,0.80623242,355,5.475,10.065,0.803274611,3,5.633,9.935,0.800316802,3,5.809 +9.485,0.807905255,335,4.571,9.785,0.805145818,5,4.684,9.665,0.802188996,14,4.923,9.535,0.799132494,14,5.264 +9.085,0.807509499,344,4.294,9.385,0.804848754,15,4.536,9.265,0.80179324,23,4.972,9.135,0.798835431,23,5.512 +8.525,0.806817666,348,4.978,8.885,0.804157908,15,5.277,8.735,0.801200099,22,5.731,8.535,0.798143597,22,6.275 +7.285,0.80760227,353,6.019,7.885,0.805041204,17,6.631,7.785,0.802083395,22,7.122,7.735,0.799126573,22,7.663 +7.545,0.807799655,14,5.384,7.935,0.805041204,22,6.087,7.785,0.802083395,24,6.619,7.635,0.799026894,24,7.128 +7.805,0.807899334,7,4.76,7.985,0.805041204,22,5.534,7.785,0.801984703,25,6.126,7.535,0.798928201,25,6.582 +7.445,0.804349371,20,3.381,7.385,0.801392549,35,3.824,7.165,0.798336047,39,4.123,6.935,0.795279546,39,4.372 +7.545,0.805927461,9,3.123,7.185,0.802771281,11,3.241,6.935,0.799616087,12,3.364,6.735,0.796559585,12,3.519 +8.745,0.80267851,32,4.769,8.385,0.799522329,32,4.882,8.065,0.796367135,33,5.001,7.735,0.793310634,33,5.135 +10.345,0.803669381,46,5.652,9.985,0.800612879,47,5.751,9.635,0.797456699,47,5.85,9.335,0.794301505,47,5.968 +11.805,0.801802122,49,5.553,11.385,0.798645941,49,5.633,11.065,0.795588453,49,5.712,10.735,0.792531952,49,5.799 +12.945,0.801608685,53,5.354,12.585,0.798551197,53,5.435,12.255,0.795494695,53,5.495,11.935,0.792437207,53,5.571 +13.845,0.800921786,52,4.869,13.485,0.797864298,52,4.941,13.165,0.794807797,52,5.001,12.835,0.791750308,52,5.066 +14.545,0.80092376,54,4.918,14.185,0.797866272,54,4.981,13.865,0.794809771,54,5.031,13.535,0.791753269,54,5.105 +15.045,0.801319516,49,4.869,14.685,0.798164323,49,4.931,14.365,0.795205527,50,4.982,14.035,0.792149025,50,5.046 +15.345,0.802503824,38,5.077,14.985,0.799446336,39,5.139,14.685,0.796389835,39,5.189,14.435,0.793333333,39,5.264 +15.835,0.804476684,22,4.175,15.535,0.801420183,21,4.309,15.245,0.798461387,21,4.38,14.935,0.795404885,21,4.451 +16.325,0.806449544,22,3.282,16.085,0.803491735,23,3.479,15.815,0.800435233,23,3.571,15.535,0.797476437,23,3.638 +16.105,0.806942018,13,3.57,15.985,0.803984209,18,3.903,15.715,0.800927708,18,4.015,15.435,0.797968912,18,4.054 +16.045,0.811377251,353,3.51,15.985,0.808519122,5,3.716,15.715,0.805461633,7,3.788,15.435,0.802405132,7,3.856 +15.525,0.811572662,3,4.968,15.585,0.808714532,17,5.346,15.335,0.805658031,19,5.534,15.035,0.802699235,19,5.74 +14.525,0.81078115,37,5.602,14.285,0.807724648,43,6.107,14.065,0.804668147,45,6.56,13.835,0.801710338,45,7.019 +13.265,0.812649396,51,5.503,13.085,0.809592894,57,5.999,13.185,0.806833457,58,6.56,13.335,0.803975327,58,7.207 +12.705,0.811169011,66,4.442,12.585,0.808211202,73,5.139,12.835,0.805452751,75,6.008,13.035,0.802792006,75,6.959 +12.585,0.810872934,91,3.114,12.585,0.808014804,97,3.992,12.815,0.805255366,97,5.061,13.035,0.802495929,97,6.176 +12.385,0.811464101,103,2.231,12.685,0.808803356,104,3.222,12.885,0.805945226,106,4.301,13.035,0.803284481,106,5.403 +11.885,0.81047619,172,1.894,12.485,0.807915125,121,2.747,12.715,0.805156674,120,3.818,12.935,0.802397237,120,4.857 +11.165,0.810769307,229,0.972,12.185,0.808506292,108,1.403,12.415,0.805746854,108,2.446,12.635,0.802987417,108,3.47 +9.845,0.80968073,310,3.827,10.835,0.807318036,325,4.16,11.125,0.804658278,332,4.36,11.435,0.80189884,332,4.53 +8.525,0.808493462,318,6.693,9.485,0.80622946,334,6.908,9.835,0.803569701,336,6.284,10.235,0.800810264,336,5.591 +7.885,0.805632371,297,3.213,8.185,0.802873921,330,3.805,8.635,0.800213175,339,3.956,9.035,0.79765211,339,3.529 +9.505,0.805638293,308,1.309,9.085,0.80238342,310,1.344,9.335,0.799723661,316,1.43,9.535,0.796964224,316,1.566 +12.845,0.80614261,40,0.922,12.485,0.803085122,43,0.919,12.135,0.799929928,44,0.898,11.835,0.796873427,44,0.892 +14.345,0.805457686,58,1.676,13.985,0.802301505,58,1.68,13.665,0.799245004,59,1.667,13.335,0.796187515,59,1.675 +15.905,0.804674069,29,0.972,15.485,0.801517888,29,1.028,15.165,0.798461387,29,1.056,14.835,0.795502591,29,1.061 +16.345,0.80270417,27,2.34,15.985,0.799646681,27,2.342,15.665,0.79659018,27,2.328,15.335,0.793631384,27,2.34 +17.045,0.802114977,32,2.717,16.685,0.799057488,32,2.728,16.365,0.796000987,32,2.733,16.035,0.793042191,32,2.736 +17.505,0.801722181,24,3.352,17.085,0.798664693,24,3.38,16.755,0.795608191,24,3.393,16.435,0.792649396,24,3.42 +17.845,0.801525783,33,3.52,17.485,0.798469282,32,3.548,17.165,0.795411794,32,3.561,16.835,0.792453985,32,3.589 +18.045,0.802807797,37,4.264,17.685,0.799751295,37,4.309,17.365,0.796693807,37,4.341,17.035,0.793735998,37,4.382 +18.455,0.804386874,34,4.303,18.185,0.801329386,34,4.566,17.895,0.798371577,34,4.735,17.635,0.795413768,34,4.867 +18.865,0.805866272,44,4.343,18.685,0.803007155,43,4.823,18.435,0.800049346,42,5.13,18.235,0.796992845,42,5.353 +18.705,0.806556131,57,2.915,18.585,0.803697015,53,3.439,18.355,0.800739206,52,3.818,18.135,0.79778041,52,4.005 +18.585,0.811090057,81,1.815,18.585,0.808231927,68,2.283,18.335,0.805174439,65,2.624,18.035,0.80221663,65,2.796 +18.225,0.811286454,289,1.507,18.285,0.80852603,329,1.374,18.065,0.805469529,342,1.45,17.835,0.80251172,342,1.576 +16.785,0.811773995,294,4.343,17.385,0.809311621,319,4.437,17.285,0.806353812,326,4.321,17.235,0.803495682,326,4.124 +15.025,0.810487047,289,6.673,15.985,0.808223045,314,6.997,16.065,0.80546262,321,6.669,16.135,0.802604491,321,6.067 +14.105,0.810385394,303,5.751,14.885,0.807924007,331,5.9,15.065,0.805163583,341,5.702,15.235,0.802404145,341,5.323 +13.745,0.810580804,317,4.303,14.285,0.808019738,351,4.071,14.435,0.805260301,2,3.936,14.535,0.802500864,2,3.936 +13.705,0.811074266,349,3.173,13.885,0.808216136,26,3.143,14.065,0.805456699,39,3.502,14.235,0.802697261,39,4.094 +13.805,0.808609919,331,1.2,13.985,0.805850481,60,1.275,14.185,0.803189736,80,2.19,14.435,0.800430299,80,3.261 +13.725,0.810876881,317,1.408,14.085,0.808217123,38,0.889,14.285,0.805456699,75,1.667,14.535,0.802698248,75,2.706 +12.955,0.80870565,296,2.261,13.585,0.806144584,307,1.65,13.865,0.803483839,324,1.756,14.135,0.800824081,324,2.23 +12.185,0.806435727,315,3.104,13.085,0.804171725,342,2.411,13.435,0.80151098,355,1.845,13.835,0.798851221,355,1.745 +11.345,0.804363188,308,1.686,11.885,0.801802122,9,1.532,12.385,0.799241056,27,1.47,12.935,0.79667999,27,1.774 +12.205,0.805253393,318,0.535,11.785,0.802097212,325,0.514,12.205,0.799536146,348,0.562,12.635,0.796974093,348,1.09 +15.305,0.801812978,200,1.22,14.885,0.798756477,198,1.295,14.585,0.795698988,197,1.371,14.335,0.792642487,197,1.457 +17.845,0.803596348,159,1.16,17.485,0.80053886,161,1.216,17.165,0.797580064,161,1.253,16.835,0.794523563,161,1.318 +19.445,0.800840859,110,1.15,19.085,0.797882063,114,1.156,18.755,0.794825561,116,1.164,18.435,0.791866765,116,1.18 +19.945,0.801730076,53,3.272,19.585,0.798672588,54,3.271,19.285,0.795714779,54,3.255,19.035,0.792755983,54,3.252 +20.545,0.798675549,55,3.57,20.185,0.795619048,56,3.577,19.865,0.792660252,56,3.561,19.535,0.789702443,56,3.559 +21.205,0.798677523,56,3.699,20.785,0.795718727,56,3.706,20.455,0.792760918,57,3.699,20.135,0.789802122,57,3.698 +21.545,0.799861831,54,3.431,21.185,0.796903035,54,3.439,20.865,0.793945226,55,3.423,20.535,0.79098643,55,3.42 +21.645,0.799566741,46,3.272,21.285,0.796607945,47,3.281,20.985,0.793650136,47,3.265,20.735,0.79069134,47,3.261 +21.885,0.800848754,48,3.599,21.585,0.797889958,49,3.805,21.295,0.794932149,49,3.956,21.035,0.792073032,49,4.084 +22.125,0.802130767,48,3.927,21.885,0.799172958,49,4.338,21.615,0.796312855,49,4.646,21.335,0.793355046,49,4.907 +21.825,0.803411794,138,0.972,21.585,0.80055169,110,1.117,21.315,0.797593881,104,1.292,21.035,0.794635085,104,1.477 +20.665,0.807745374,255,4.829,21.085,0.805183321,266,4.991,20.855,0.802324204,267,4.952,20.635,0.799366395,267,4.877 +19.185,0.806558105,272,7.566,20.085,0.804293116,284,8.914,19.985,0.801434,287,9.441,19.835,0.798574883,287,9.368 +18.285,0.807245004,287,7.863,18.885,0.80478263,295,9.359,18.955,0.802022206,298,10.18,19.035,0.799262768,298,10.379 +17.665,0.807045645,287,6.981,18.385,0.804583272,298,8.183,18.535,0.801922527,302,8.809,18.735,0.799163089,302,8.912 +17.185,0.807339748,272,7.03,18.385,0.805273131,293,7.856,18.485,0.802513694,300,8.089,18.535,0.799753269,300,7.901 +16.685,0.806647915,259,7.506,18.785,0.80507772,289,7.995,18.735,0.802218604,295,7.912,18.735,0.799360474,295,7.643 +16.345,0.806844313,256,7.357,18.685,0.80537281,290,7.787,18.635,0.802612386,297,7.734,18.535,0.799753269,297,7.445 +15.685,0.80644757,241,6.336,18.085,0.805075746,282,6.255,18.105,0.80221663,292,6.136,18.135,0.799456205,292,5.958 +15.085,0.806642981,235,5.771,17.485,0.80527017,280,5.534,17.535,0.802510733,290,5.366,17.535,0.799750308,290,5.175 +14.045,0.804864545,223,6.485,16.685,0.803592401,242,6.453,16.705,0.800831976,247,6.225,16.735,0.797973847,247,6.017 +13.005,0.802988404,225,7.189,15.885,0.801913644,243,7.372,15.885,0.79915322,244,7.083,15.935,0.79629509,244,6.86 +13.145,0.800228966,221,6.624,16.085,0.799154207,242,7.224,16.065,0.79629509,244,7.27,16.035,0.79343696,244,7.356 +14.845,0.800727362,215,5.236,15.685,0.79846336,231,6.384,15.765,0.795702936,237,7.014,15.835,0.792844806,237,7.167 +17.345,0.800242783,227,3.411,16.985,0.797185295,229,3.597,16.735,0.794227486,230,3.788,16.535,0.791269677,230,4.005 +19.205,0.79975623,265,2.439,18.785,0.796698742,265,2.48,18.455,0.793739946,264,2.525,18.135,0.790683444,264,2.577 +20.205,0.797393536,255,1.983,19.785,0.794336047,254,2.026,19.515,0.791475944,254,2.072,19.235,0.788518135,254,2.121 +20.405,0.795520355,211,2.142,19.985,0.792562546,211,2.184,19.735,0.78960375,211,2.22,19.535,0.786744634,211,2.26 +19.105,0.796009869,197,2.241,18.685,0.792952381,198,2.312,18.565,0.790093264,198,2.417,18.435,0.787234148,198,2.587 +17.865,0.795019985,190,1.745,17.385,0.791863805,192,1.838,17.235,0.789004688,193,2.003,17.035,0.786145571,193,2.23 +17.605,0.794722921,36,0.942,17.185,0.79166642,36,0.978,16.985,0.788806316,35,1.016,16.835,0.785848507,35,1.071 +15.865,0.795309154,319,1.021,15.385,0.792152973,322,1.087,15.455,0.789393536,324,1.213,15.535,0.786633111,324,1.428 +16.425,0.79540982,304,1.557,16.035,0.792352332,306,1.581,16.175,0.789592894,307,1.519,16.335,0.786932149,307,1.418 +16.985,0.795509499,220,2.082,16.685,0.79255169,223,2.085,16.885,0.789792253,226,1.835,17.035,0.787131508,226,1.408 +15.865,0.798069578,215,3.58,15.685,0.795111769,216,3.983,16.035,0.792549716,217,3.739,16.335,0.789987663,217,3.004 +16.185,0.801224772,216,5.295,16.485,0.798564027,221,6.127,16.715,0.795903282,222,6.185,16.935,0.793143844,222,5.779 +16.125,0.801224772,229,5.93,16.785,0.798762398,239,6.72,16.835,0.796001974,242,6.856,16.935,0.793242536,242,6.691 +14.945,0.80319171,225,6.148,16.085,0.801027387,246,6.769,16.135,0.798266963,251,6.609,16.235,0.795507525,251,6.166 +14.345,0.803288428,222,6.534,16.085,0.801519862,245,6.878,16.135,0.798760424,249,6.363,16.235,0.795902295,249,5.68 +14.385,0.802598569,217,6.406,16.785,0.801226746,239,6.433,16.685,0.798367629,241,5.988,16.535,0.795508512,241,5.591 +13.505,0.802990377,209,7.189,16.685,0.80211399,224,7.728,16.565,0.799254873,225,7.675,16.435,0.796297064,225,7.683 +12.485,0.800916852,204,7.903,15.785,0.800040464,217,8.855,15.785,0.797280039,220,8.947,15.835,0.79442191,220,9.11 +11.905,0.800520109,200,8.309,15.085,0.799643721,209,8.983,15.185,0.796884283,214,8.691,15.335,0.794124846,214,8.565 +11.585,0.801208981,203,8.488,14.285,0.800035529,208,9.329,14.455,0.797276092,212,9.085,14.635,0.794516654,212,8.773 +12.005,0.79914039,201,8.012,13.985,0.797471503,204,9.003,14.145,0.794712065,207,8.977,14.335,0.79205132,207,8.684 +12.425,0.797071799,204,7.546,13.685,0.795006168,207,8.677,13.835,0.792246731,210,8.859,14.035,0.789487293,210,8.595 +12.725,0.797072786,199,7.159,13.385,0.794611399,205,9.2,13.535,0.791850975,207,10.171,13.735,0.789190229,207,10.131 +14.685,0.795206514,205,6.544,14.385,0.792150012,206,6.799,14.135,0.789192203,206,7.083,13.935,0.786233407,206,7.455 +16.445,0.796297064,213,7.06,16.085,0.793239576,214,7.194,15.785,0.790281767,214,7.28,15.535,0.787225265,214,7.385 +17.645,0.79580755,233,6.237,17.285,0.792751049,233,6.354,16.955,0.789792253,233,6.432,16.635,0.786834444,233,6.523 +18.105,0.793443869,234,6.088,17.685,0.79038638,235,6.186,17.385,0.787427585,235,6.244,17.035,0.784469775,235,6.325 +18.445,0.792656304,230,6.445,18.085,0.789598816,230,6.562,17.755,0.78664002,230,6.629,17.435,0.783682211,230,6.711 +18.205,0.792852702,230,6.425,17.785,0.789795213,230,6.542,17.535,0.786837404,230,6.6,17.335,0.783878608,230,6.691 +17.745,0.791864792,231,6.673,17.385,0.788906982,232,6.779,17.185,0.786046879,232,6.905,17.035,0.78308907,232,7.088 +17.345,0.791863805,226,5.364,16.985,0.788806316,226,5.564,16.715,0.785848507,226,5.761,16.435,0.782890698,226,5.978 +18.845,0.79334715,234,6.376,18.485,0.790388354,234,6.532,18.185,0.787430545,234,6.639,17.935,0.784472736,234,6.761 +19.085,0.796107575,235,8.19,18.785,0.793149766,236,8.607,18.485,0.790191957,236,8.888,18.235,0.787233161,236,9.15 +19.325,0.798770294,232,10.005,19.085,0.795812485,233,10.683,18.785,0.792853689,233,11.147,18.535,0.78989588,233,11.539 +17.625,0.800145078,230,9.39,17.385,0.797187269,231,10.248,17.115,0.794228473,231,10.94,16.835,0.791270664,231,11.549 +16.125,0.801815939,224,8.894,15.885,0.79885813,226,9.882,15.665,0.795900321,226,10.703,15.435,0.792941525,226,11.479 +14.825,0.799642734,219,9.489,14.585,0.796684925,221,10.604,14.415,0.793727116,222,11.621,14.235,0.790769307,222,12.62 +13.905,0.799541081,222,10.114,13.785,0.796583272,223,11.374,13.665,0.793725142,224,12.568,13.535,0.790767333,224,13.769 +13.205,0.800031582,219,9.797,13.085,0.797172465,221,11.068,12.985,0.794215643,222,12.252,12.835,0.791356526,222,13.432 +12.665,0.8001283,218,9.44,12.485,0.797170491,220,10.574,12.365,0.794213669,221,11.611,12.235,0.79125586,221,12.639 +11.965,0.7988453,219,8.855,11.785,0.795887491,221,9.902,11.635,0.792929682,222,10.861,11.535,0.789971873,222,11.807 +11.265,0.799039724,221,7.348,11.085,0.796081915,224,8.291,10.915,0.793124106,225,9.155,10.735,0.790166297,225,10.012 +10.665,0.798051813,220,6.217,10.485,0.795094004,223,7.066,10.315,0.792136195,225,7.852,10.135,0.789178386,225,8.664 +10.165,0.798839378,207,5.473,9.985,0.795881569,213,6.226,9.815,0.792825068,215,6.935,9.635,0.789867259,215,7.643 +9.995,0.799232174,202,5.345,9.785,0.796175672,207,6.107,9.615,0.793217863,209,6.856,9.435,0.790162349,209,7.613 +9.825,0.799527264,197,5.226,9.585,0.796470762,201,5.989,9.415,0.793512953,203,6.777,9.235,0.790457439,203,7.584 +10.645,0.794010363,204,6.614,10.285,0.790953861,204,7.056,10.015,0.787896373,205,7.468,9.735,0.784938564,205,7.921 +12.645,0.796580311,207,8.508,12.285,0.793522823,207,8.904,11.955,0.790466321,207,9.273,11.635,0.787408833,207,9.655 +14.845,0.797277079,214,8.766,14.485,0.794220577,215,9.052,14.135,0.791163089,215,9.312,13.835,0.788106588,215,9.596 +16.545,0.795113743,217,9.281,16.185,0.792155934,217,9.507,15.865,0.789098446,217,9.687,15.535,0.786140637,217,9.893 +17.805,0.79551246,224,10.253,17.385,0.792454972,224,10.475,17.065,0.789497163,224,10.634,16.735,0.786439674,224,10.825 +18.405,0.795909203,225,11.363,17.985,0.792950407,225,11.592,17.665,0.789892919,225,11.749,17.335,0.78693511,225,11.945 +18.705,0.794726869,226,11.512,18.285,0.791669381,226,11.74,17.955,0.788711572,226,11.907,17.635,0.785752776,226,12.104 +18.805,0.793544535,226,10.917,18.385,0.790585739,226,11.127,18.065,0.787528251,226,11.275,17.735,0.784570442,226,11.44 +18.805,0.793445843,224,10.699,18.385,0.790388354,224,10.91,18.065,0.787430545,224,11.049,17.735,0.784471749,224,11.222 +18.445,0.795514434,225,10.342,18.085,0.792457932,225,10.554,17.755,0.789499136,225,10.693,17.435,0.786541327,225,10.855 +17.665,0.797089563,226,10.154,17.335,0.794032075,226,10.485,17.025,0.791074266,226,10.713,16.735,0.78811547,226,10.944 +16.885,0.798565014,225,9.965,16.585,0.795607205,226,10.426,16.285,0.792649396,226,10.743,16.035,0.789591907,226,11.043 +15.385,0.798757464,227,9.43,15.085,0.795799655,227,10.129,14.755,0.792742166,227,10.624,14.435,0.789685665,227,11.073 +13.845,0.800625709,228,9.192,13.485,0.797568221,229,10.05,13.185,0.79451172,229,10.753,12.935,0.791455218,229,11.39 +12.585,0.798748581,222,9.232,12.285,0.79569208,223,10.07,12.015,0.792634592,224,10.772,11.735,0.789676783,224,11.44 +11.625,0.800224032,220,9.351,11.385,0.79716753,221,10.287,11.135,0.794111029,221,11.098,10.935,0.791152233,221,11.906 +10.825,0.800023686,224,8.488,10.585,0.796967185,225,9.438,10.385,0.793910683,226,10.319,10.235,0.790952874,226,11.222 +10.225,0.800120405,225,7.943,9.985,0.797063903,226,8.953,9.835,0.794106094,227,9.954,9.735,0.79105058,227,10.994 +9.765,0.79864002,226,8.2,9.585,0.795583518,228,9.339,9.505,0.792725389,228,10.496,9.435,0.78976758,228,11.707 +9.305,0.798145571,224,7.536,9.185,0.795188749,227,8.736,9.165,0.792329632,228,9.973,9.135,0.78937281,228,11.251 +8.745,0.797158648,225,7.586,8.685,0.794200839,228,8.943,8.785,0.791441401,229,10.319,8.835,0.788582285,229,11.698 +8.285,0.798043918,224,7.615,8.285,0.795184801,227,9.23,8.455,0.792425364,229,10.703,8.635,0.789665926,229,12.015 +9.005,0.79765211,213,7.774,8.885,0.794595608,215,9.24,8.935,0.791836171,216,10.545,9.035,0.788978041,216,11.707 +9.725,0.797161609,216,7.933,9.485,0.794105107,216,9.26,9.415,0.791245991,217,10.388,9.335,0.788289169,217,11.41 +10.245,0.796276339,213,8.954,9.885,0.79321885,213,9.408,9.585,0.790162349,213,9.796,9.335,0.787105848,213,10.201 +11.445,0.793717246,208,9.43,11.085,0.790562053,208,9.724,10.755,0.787504565,208,9.973,10.435,0.784448063,208,10.25 +12.745,0.793819887,212,10.848,12.385,0.790664693,212,11.108,12.035,0.787608191,212,11.325,11.735,0.784649396,212,11.569 +13.745,0.793429065,218,11.829,13.385,0.790372564,218,12.096,13.065,0.787315075,218,12.292,12.735,0.784357266,218,12.53 +14.405,0.791361461,224,13.515,13.985,0.78820528,224,13.835,13.665,0.785247471,224,14.057,13.335,0.782189983,224,14.325 +14.605,0.791263755,223,14.021,14.185,0.788206267,223,14.349,13.865,0.785247471,223,14.57,13.535,0.78219097,223,14.83 +14.705,0.790868986,220,14.546,14.285,0.787812485,220,14.902,13.955,0.784754996,220,15.133,13.635,0.781797187,220,15.405 +14.705,0.789884037,218,14.586,14.285,0.786826548,218,14.942,13.935,0.783867752,218,15.172,13.535,0.780811251,218,15.455 +14.445,0.790572909,221,14.358,14.085,0.787516408,221,14.715,13.735,0.784458919,221,14.955,13.335,0.781500123,221,15.237 +14.045,0.792542808,227,13.525,13.685,0.789486306,227,13.875,13.365,0.786428818,227,14.107,13.035,0.783471009,227,14.364 +13.415,0.793132001,232,12.881,13.085,0.7900755,232,13.292,12.765,0.787018998,232,13.584,12.435,0.784060202,232,13.888 +12.785,0.793722181,237,12.236,12.485,0.790664693,237,12.718,12.165,0.787608191,237,13.061,11.835,0.784649396,237,13.413 +11.185,0.794504811,240,11.324,10.885,0.79144831,241,11.829,10.585,0.788490501,241,12.173,10.335,0.785433013,241,12.53 +9.885,0.796570442,245,9.945,9.585,0.79351394,245,10.436,9.285,0.790457439,246,10.772,9.035,0.787400938,246,11.103 +8.885,0.796764866,251,7.437,8.585,0.793707377,252,7.975,8.285,0.790650876,252,8.356,8.035,0.787594375,252,8.714 +8.085,0.799916112,269,5.821,7.785,0.796760918,271,6.354,7.515,0.793704416,271,6.757,7.235,0.790646928,271,7.128 +7.225,0.799321984,264,5.186,6.985,0.796265482,268,5.959,6.735,0.793208981,269,6.55,6.535,0.79015248,269,7.068 +6.565,0.798037997,258,4.998,6.385,0.794981495,265,5.801,6.185,0.791924994,268,6.452,6.035,0.788967185,268,7.048 +6.045,0.798824574,264,4.869,5.985,0.795867752,274,5.702,5.815,0.792811251,277,6.422,5.635,0.78975475,277,7.088 +5.605,0.79852751,267,4.879,5.485,0.795471009,279,5.672,5.385,0.792514187,282,6.363,5.335,0.789556378,282,7.028 +5.085,0.797440908,266,5.721,5.085,0.794582778,278,6.68,5.085,0.791625956,283,7.3,5.035,0.788668147,283,7.732 +4.765,0.798721934,278,5.692,4.885,0.795765112,291,6.75,4.915,0.792905996,297,7.251,4.935,0.790047866,297,7.465 +4.665,0.798327165,273,5.186,5.085,0.795667407,293,5.692,5.015,0.792610906,297,5.731,4.935,0.789654083,297,5.66 +4.565,0.797932396,272,4.69,5.285,0.795470022,299,4.635,5.115,0.792414508,303,4.212,4.935,0.789358006,303,3.866 +4.785,0.796257587,266,4.432,5.085,0.79349815,283,4.813,4.935,0.79054034,287,4.558,4.835,0.787484826,287,4.114 +5.945,0.794783124,273,2.608,5.585,0.791626943,274,2.668,5.285,0.788570442,274,2.703,5.035,0.785415248,274,2.746 +7.865,0.794789045,340,1.567,7.385,0.791633851,339,1.591,7.065,0.788477671,339,1.608,6.735,0.78542117,339,1.626 +9.265,0.795582531,351,0.654,8.785,0.792328645,346,0.712,8.435,0.789271157,344,0.76,8.035,0.786115963,344,0.793 +10.565,0.792728349,284,1.13,10.085,0.789573156,282,1.285,9.735,0.786515667,281,1.381,9.335,0.783458179,281,1.477 +11.965,0.792437207,277,1.517,11.485,0.789282013,275,1.71,11.135,0.786224525,274,1.835,10.835,0.783168024,274,1.943 +13.265,0.791752282,263,2.449,12.785,0.788596102,262,2.747,12.455,0.785538613,262,2.93,12.135,0.782580804,262,3.083 +14.045,0.791852948,276,5.821,13.685,0.788796447,275,5.959,13.365,0.785738959,275,6.047,13.035,0.78278115,275,6.146 +14.445,0.791460153,280,7.288,14.085,0.788402665,280,7.451,13.785,0.785346163,280,7.547,13.535,0.782388354,280,7.653 +14.445,0.793234641,276,7.903,14.085,0.790177153,276,8.064,13.755,0.787219344,276,8.168,13.435,0.784161855,276,8.287 +14.565,0.79431927,275,8.607,14.235,0.791360474,275,8.855,13.925,0.788303972,275,9.007,13.635,0.785247471,275,9.18 +14.685,0.795403898,260,9.311,14.385,0.792446089,261,9.645,14.085,0.789388601,261,9.855,13.835,0.786430792,261,10.072 +13.085,0.798651863,245,9.975,12.785,0.795594375,246,10.643,12.485,0.792537873,246,11.088,12.235,0.789580064,246,11.489 +11.045,0.800419442,249,10.025,10.685,0.797361954,250,10.92,10.385,0.794305453,250,11.631,10.035,0.791247964,250,12.282 +9.485,0.798836417,245,9.47,9.185,0.795779916,246,10.455,8.915,0.792723415,247,11.285,8.635,0.789665926,247,12.124 +8.525,0.801199112,248,8.26,8.285,0.79814261,250,9.161,8.085,0.795086109,250,10.003,7.935,0.792029608,250,10.895 +7.865,0.800506292,254,7.705,7.685,0.797450777,256,8.766,7.635,0.794492968,257,9.865,7.535,0.791535159,257,11.053 +7.445,0.801096472,256,6.713,7.385,0.79813965,262,7.975,7.485,0.79528152,264,9.184,7.535,0.792422403,264,10.349 +7.065,0.801785344,258,7.04,7.185,0.799025907,266,8.489,7.385,0.796167777,270,9.717,7.535,0.79340834,270,10.786 +6.845,0.800108562,266,6.277,7.085,0.797350111,278,7.679,7.315,0.794590674,283,8.809,7.535,0.791831236,283,9.745 +6.785,0.800207254,251,4.472,7.085,0.797546509,278,5.238,7.185,0.794688379,286,5.958,7.335,0.791830249,286,6.632 +6.565,0.802571922,230,3.381,6.985,0.799912164,275,3.607,7.015,0.796955342,287,3.985,7.035,0.794096225,287,4.411 +7.215,0.801884037,322,2.796,7.335,0.799025907,344,3.064,7.235,0.796069085,349,3.423,7.135,0.793111276,349,3.797 +7.865,0.801294843,326,2.211,7.685,0.798239329,344,2.52,7.455,0.795182828,349,2.851,7.235,0.792126326,349,3.182 +7.545,0.799914138,300,2.043,7.485,0.796956329,329,2.095,7.285,0.793900814,337,2.21,7.035,0.790942018,337,2.379 +7.745,0.799421663,304,2.231,7.385,0.796266469,307,2.332,7.165,0.793209968,310,2.427,6.935,0.790153467,310,2.548 +9.445,0.797949173,100,2.271,9.085,0.79479398,100,2.303,8.755,0.791736491,100,2.328,8.435,0.788581298,100,2.359 +10.805,0.797460646,123,3.183,10.385,0.794305453,123,3.222,10.065,0.791247964,123,3.246,9.735,0.788191463,123,3.291 +13.165,0.795694054,150,2.082,12.685,0.79253886,151,2.125,12.335,0.789481372,152,2.17,12.035,0.78642487,152,2.211 +14.805,0.796883296,157,1.507,14.385,0.793727116,161,1.571,14.035,0.79076832,162,1.618,13.735,0.787711818,162,1.665 +15.905,0.795210461,181,2.32,15.485,0.792152973,182,2.392,15.165,0.789195164,183,2.446,14.835,0.786138663,183,2.528 +17.045,0.795313101,203,4.274,16.685,0.792255613,203,4.378,16.365,0.789297804,203,4.449,16.035,0.786240316,203,4.53 +17.805,0.796301012,216,7.258,17.385,0.793243523,216,7.402,17.065,0.790285714,216,7.487,16.735,0.787228226,216,7.584 +17.545,0.796201332,218,9.628,17.185,0.793243523,218,9.803,16.865,0.790186035,218,9.914,16.535,0.787228226,218,10.042 +16.915,0.797776462,200,9.916,16.585,0.794719961,200,10.357,16.275,0.791762151,200,10.654,15.935,0.788704663,200,10.934 +16.285,0.799253886,209,10.213,15.985,0.79629509,209,10.91,15.685,0.793238589,209,11.394,15.435,0.79028078,209,11.826 +14.985,0.800432272,218,9.113,14.685,0.797375771,219,9.951,14.415,0.794416975,219,10.595,14.135,0.791459166,219,11.172 +13.525,0.803680237,226,7.645,13.285,0.800623736,227,8.519,13.035,0.797665926,227,9.224,12.835,0.794609425,227,9.883 +12.165,0.801901801,224,6.812,11.985,0.7988453,227,7.659,11.735,0.795886504,228,8.415,11.535,0.792830989,228,9.17 +10.965,0.802587713,217,6.634,10.785,0.799629904,219,7.59,10.685,0.796672095,221,8.523,10.535,0.793714286,221,9.487 +10.205,0.802189983,207,6.376,10.085,0.799233161,211,7.422,10.165,0.796375031,213,8.503,10.235,0.793515914,213,9.646 +9.785,0.801499136,206,7.903,9.785,0.79864002,209,9.2,9.955,0.795880582,211,10.447,10.135,0.793121145,211,11.678 +9.385,0.801399457,203,8.557,9.385,0.79854034,205,9.882,9.565,0.795780903,206,11.167,9.735,0.793021466,206,12.431 +9.045,0.801102393,199,8.319,8.985,0.798144584,200,9.527,9.165,0.795385147,201,10.733,9.335,0.792527017,201,11.945 +8.845,0.800608932,197,8.339,8.785,0.797651123,198,9.606,8.985,0.794891685,198,10.812,9.235,0.792132248,198,12.025 +8.385,0.801198125,200,7.784,8.385,0.798241303,199,9.279,8.735,0.795580558,200,10.98,9.035,0.792920799,200,12.669 +8.745,0.798538367,194,7.695,8.685,0.79567925,196,9.131,8.995,0.792920799,197,10.822,9.335,0.790260054,197,12.57 +9.105,0.795976314,198,7.615,8.985,0.793019492,199,8.993,9.265,0.790358747,200,10.674,9.535,0.787599309,200,12.481 +10.585,0.799431532,200,7.367,10.285,0.796375031,201,8.262,10.135,0.793417222,202,9.243,10.035,0.790459413,202,10.3 +12.845,0.79766494,208,9.033,12.485,0.794608438,208,9.457,12.185,0.79155095,208,9.835,11.935,0.788593141,208,10.23 +14.505,0.799444362,210,10.362,14.085,0.796387861,210,10.683,13.755,0.793330373,210,10.94,13.435,0.790273871,210,11.222 +15.605,0.797772514,212,11.71,15.185,0.794617321,212,12.007,14.865,0.791658525,212,12.232,14.535,0.788602023,212,12.491 +16.405,0.795508512,212,11.572,15.985,0.792352332,212,11.819,15.665,0.789393536,212,12.005,15.335,0.786435727,212,12.213 +16.945,0.795608191,211,11.631,16.585,0.792649396,211,11.869,16.235,0.789592894,212,12.025,15.835,0.786535406,212,12.213 +17.305,0.795018011,209,11.572,16.885,0.791960523,209,11.809,16.565,0.788904022,209,11.966,16.235,0.785945226,209,12.144 +17.445,0.795412781,207,11.056,17.085,0.792355292,207,11.276,16.755,0.789397483,207,11.423,16.435,0.786340982,207,11.598 +17.445,0.795412781,208,10.917,17.085,0.792355292,208,11.147,16.755,0.789397483,208,11.285,16.435,0.786340982,208,11.46 +17.245,0.796200345,213,11.066,16.885,0.793143844,213,11.315,16.565,0.790185048,213,11.483,16.235,0.787227239,213,11.668 +16.795,0.797283987,220,9.955,16.585,0.794325191,220,10.505,16.315,0.791367382,220,10.891,16.035,0.788310881,220,11.232 +16.345,0.79826795,219,8.845,16.285,0.795408833,219,9.704,16.065,0.792451024,220,10.309,15.835,0.789493215,220,10.805 +14.965,0.802699235,216,7.338,15.085,0.799939798,219,8.301,14.885,0.796981989,219,9.007,14.735,0.79402418,219,9.576 +13.445,0.801413274,206,8.101,13.685,0.798653837,209,9.299,13.565,0.79579472,210,10.22,13.435,0.792836911,210,10.984 +11.905,0.802788058,208,8.488,12.085,0.800028621,211,9.872,12.105,0.797169504,212,11.118,12.135,0.794311374,212,12.292 +10.765,0.803079201,213,8.468,10.885,0.800319763,214,9.882,11.035,0.797461633,215,11.285,11.235,0.794702196,215,12.699 +10.005,0.799626943,215,7.536,10.185,0.796867506,217,8.864,10.385,0.794108068,218,10.23,10.535,0.791348631,218,11.618 +9.365,0.802089317,216,6.832,9.485,0.799231187,219,8.094,9.735,0.796471749,220,9.381,10.035,0.793811004,220,10.726 +8.825,0.801002714,220,6.009,8.885,0.798144584,223,7.125,9.165,0.795385147,224,8.395,9.435,0.792724402,224,9.794 +8.905,0.802087343,211,3.342,8.785,0.799129534,219,4.052,8.815,0.796172712,221,4.863,8.835,0.793314582,221,5.75 +8.585,0.801888971,177,3.669,8.585,0.798932149,196,4.071,8.585,0.796073032,201,4.597,8.535,0.793214903,201,5.224 +7.765,0.802576857,171,4.561,8.185,0.799916112,188,4.882,8.265,0.797057982,194,5.218,8.335,0.794199852,194,5.641 +8.375,0.802480138,163,4.234,8.885,0.799919072,181,4.259,8.825,0.796961263,188,4.35,8.735,0.794102147,188,4.55 +8.985,0.802482112,168,3.907,9.585,0.799921046,188,3.637,9.385,0.796864545,195,3.472,9.235,0.793906736,195,3.46 +8.445,0.800508265,177,4.65,9.585,0.798343943,188,4.605,9.435,0.795386134,193,4.262,9.335,0.792428325,193,4.084 +9.805,0.800611892,194,3.699,9.685,0.797654083,201,4.467,9.585,0.794697261,205,4.903,9.535,0.791739452,205,5.036 +12.185,0.799042684,217,3.302,11.885,0.795986183,217,3.409,11.585,0.792929682,217,3.532,11.335,0.789872193,217,3.658 +14.385,0.79905058,212,3.639,14.085,0.795993092,212,3.735,13.755,0.79293659,212,3.828,13.435,0.789977794,212,3.936 +16.445,0.79757809,227,4.978,16.085,0.794619294,227,5.079,15.785,0.791562793,227,5.169,15.535,0.788604984,227,5.284 +17.845,0.797878115,233,6.455,17.485,0.794920306,232,6.591,17.165,0.791862818,232,6.688,16.835,0.788905009,232,6.791 +18.545,0.796796447,232,6.812,18.185,0.793738959,232,6.947,17.885,0.79078115,232,7.034,17.535,0.787822354,232,7.138 +18.945,0.796797434,240,6.842,18.585,0.793740933,240,6.977,18.285,0.790782137,240,7.053,18.035,0.787824328,240,7.157 +19.145,0.796897113,245,5.741,18.785,0.793839625,246,5.84,18.485,0.790881816,245,5.909,18.235,0.78792302,245,5.997 +19.145,0.796995806,246,5.84,18.785,0.793938317,246,5.949,18.485,0.790980508,246,6.027,18.235,0.788021712,246,6.116 +19.105,0.799262768,230,6.614,18.835,0.796303972,231,6.987,18.565,0.793346163,231,7.231,18.335,0.790388354,231,7.435 +19.065,0.801628423,231,7.397,18.885,0.798769307,232,8.015,18.635,0.795810511,232,8.434,18.435,0.792852702,232,8.753 +17.445,0.802510733,226,7.367,17.385,0.799651616,229,8.192,17.165,0.796693807,230,8.79,16.935,0.793735011,230,9.269 +15.745,0.803392055,227,7.437,15.685,0.800532939,230,8.4,15.485,0.79757513,231,9.164,15.335,0.794617321,231,9.854 +14.185,0.803091044,229,7.437,14.185,0.800231927,234,8.598,14.085,0.797275105,236,9.539,14.035,0.794415988,236,10.339 +12.985,0.802889711,236,6.733,12.985,0.800031582,242,7.955,13.215,0.797370836,245,9.056,13.435,0.794611399,245,10.022 +11.905,0.803181841,237,7.219,12.085,0.800422403,243,8.696,12.585,0.797861337,246,9.766,13.035,0.795300271,246,10.468 +11.285,0.804363188,233,6.455,11.585,0.801702443,242,7.926,12.105,0.799141377,246,8.829,12.635,0.796580311,246,9.249 +10.685,0.802586726,230,6.148,11.285,0.80002566,244,7.708,11.765,0.797464594,248,8.691,12.235,0.794902541,248,9.13 +10.045,0.802387367,218,5.434,10.885,0.800024673,238,6.72,11.335,0.79746262,246,7.616,11.835,0.794901554,246,8.089 +9.465,0.802779176,213,5.087,10.485,0.800613866,237,5.999,10.885,0.797954108,246,6.728,11.335,0.795393042,246,7.207 +9.045,0.803861831,205,4.849,10.185,0.801598816,235,5.119,10.565,0.79903775,247,5.445,10.935,0.796377005,247,5.779 +9.395,0.804454972,218,3.857,10.085,0.801992598,254,4.141,10.315,0.799233161,264,4.41,10.535,0.796573402,264,4.649 +9.745,0.805145818,249,2.866,9.985,0.802387367,283,3.152,10.065,0.799528251,291,3.364,10.135,0.796670121,291,3.519 +9.185,0.798835431,244,4.71,10.385,0.796671108,274,4.753,10.365,0.793811991,280,4.311,10.335,0.790855169,280,3.836 +10.465,0.799726622,233,1.914,10.585,0.796967185,266,2.214,10.535,0.794010363,280,2.141,10.535,0.791151246,280,1.586 +13.105,0.799637799,144,0.565,12.685,0.796481619,150,0.583,12.385,0.793425117,152,0.602,12.035,0.790367629,152,0.625 +14.845,0.799642734,130,1.061,14.485,0.796586232,135,1.077,14.165,0.793528744,137,1.085,13.835,0.790472243,137,1.12 +16.645,0.799254873,62,0.407,16.285,0.796197385,67,0.356,15.955,0.793239576,70,0.296,15.635,0.790182087,70,0.228 +17.945,0.798865038,60,1.567,17.585,0.79580755,62,1.522,17.255,0.792848754,62,1.49,16.935,0.789792253,62,1.437 +19.245,0.797291883,41,1.686,18.885,0.794234394,41,1.64,18.565,0.791276585,41,1.588,18.235,0.788317789,41,1.537 +19.945,0.797195164,48,2.895,19.585,0.794137676,48,2.876,19.285,0.791179867,48,2.841,19.035,0.78832075,48,2.815 +20.445,0.797886997,45,3.143,20.085,0.794928201,45,3.133,19.785,0.791970392,45,3.107,19.535,0.789011596,45,3.103 +10.705,0.79460153,334,6.971,10.285,0.791545028,334,7.086,9.955,0.788389835,334,7.152,9.635,0.785332346,334,7.247 +10.295,0.796768813,331,6.267,9.935,0.793712312,331,6.433,9.635,0.790655811,331,6.54,9.335,0.787598322,331,6.662 +9.885,0.798936097,336,5.553,9.585,0.795879595,336,5.781,9.315,0.792823094,336,5.939,9.035,0.789766593,336,6.087 +9.565,0.802188009,350,4.978,9.385,0.799131508,350,5.554,9.135,0.796075006,350,5.948,8.935,0.793018505,350,6.255 +9.245,0.802680484,351,4.601,9.185,0.799722675,355,5.376,8.955,0.796666173,356,5.978,8.735,0.793609672,356,6.463 +8.705,0.804255613,2,5.166,8.885,0.801397483,9,6.176,8.705,0.798439674,12,6.896,8.535,0.795383173,12,7.445 +8.065,0.80602714,37,5.89,8.185,0.803169011,41,7.105,8.035,0.800112509,43,7.991,7.835,0.7971547,43,8.704 +7.425,0.805926474,56,5.999,7.485,0.802969652,58,7.095,7.415,0.800011843,58,7.981,7.335,0.797055021,58,8.734 +7.025,0.804742166,61,4.958,7.085,0.801884037,62,6.038,7.085,0.798927214,61,7.004,7.035,0.796068098,61,7.822 +6.885,0.804248705,64,4.869,6.885,0.801291883,63,5.791,6.915,0.79833506,62,6.708,6.935,0.795475944,62,7.574 +6.525,0.805332346,69,5.067,6.585,0.802375524,67,6.008,6.605,0.799516408,66,6.935,6.635,0.796559585,66,7.822 +6.125,0.804246731,77,3.798,6.185,0.801387614,73,4.753,6.205,0.798430792,71,5.761,6.235,0.795572662,71,6.741 +5.825,0.805329386,94,3.094,5.885,0.802471256,85,4.022,5.885,0.799514434,82,5.041,5.935,0.796556625,82,6.067 +5.865,0.803850975,20,2.935,5.835,0.800894152,41,3.439,5.765,0.797936343,46,4.045,5.735,0.794979521,46,4.758 +5.905,0.802372564,334,2.786,5.785,0.799414755,360,2.856,5.635,0.79635924,9,3.058,5.535,0.793302739,9,3.44 +6.185,0.801683691,349,2.003,5.885,0.798528497,353,2.075,5.635,0.795373304,357,2.18,5.435,0.792316802,357,2.359 +7.245,0.801490254,18,2.34,6.885,0.79833506,19,2.382,6.565,0.79517888,19,2.427,6.235,0.792023686,19,2.488 +8.345,0.801790279,61,3.312,7.985,0.798634098,61,3.35,7.665,0.795478905,61,3.393,7.335,0.792422403,61,3.45 +9.645,0.799527264,76,4.105,9.285,0.796469775,76,4.16,8.955,0.793314582,76,4.212,8.635,0.79025808,76,4.273 +10.945,0.800418455,78,4.333,10.585,0.797263262,78,4.388,10.235,0.794205774,78,4.419,9.835,0.79105058,78,4.481 +12.145,0.799929928,63,3.639,11.785,0.79687244,64,3.686,11.435,0.793815939,64,3.709,11.035,0.790659758,64,3.767 +13.205,0.799440415,45,3.649,12.785,0.796285221,45,3.686,12.455,0.793227733,46,3.709,12.135,0.790171231,46,3.747 +13.905,0.799738465,34,4.442,13.485,0.796582285,34,4.477,13.165,0.793525783,34,4.508,12.835,0.790469282,34,4.55 +14.345,0.798853195,31,4.799,13.985,0.795795707,31,4.842,13.665,0.792739206,31,4.883,13.335,0.789681717,31,4.937 +14.545,0.800627683,28,4.631,14.185,0.797571182,29,4.684,13.885,0.794513694,29,4.715,13.535,0.791555885,29,4.768 +15.085,0.802797927,12,4.482,14.785,0.799741426,12,4.674,14.485,0.796684925,12,4.794,14.235,0.793628423,12,4.887 +15.625,0.804870466,16,4.343,15.385,0.80191167,16,4.664,15.085,0.798855169,16,4.863,14.835,0.795798668,16,5.006 +15.405,0.807826302,16,3.718,15.285,0.804869479,18,4.111,15.015,0.801910683,19,4.37,14.735,0.798854182,19,4.55 +15.345,0.807531211,357,2.529,15.285,0.804672095,11,2.925,14.985,0.801614606,13,3.127,14.735,0.798558105,13,3.222 +15.085,0.809107328,315,2.271,15.085,0.806248211,343,2.322,14.815,0.80319171,348,2.377,14.535,0.800233901,348,2.429 +14.525,0.812259561,324,3.114,14.585,0.809401431,346,3.192,14.355,0.806443622,351,3.236,14.135,0.803386134,351,3.261 +13.105,0.809987663,325,5.027,13.585,0.807426598,347,5.168,13.415,0.804468789,352,5.12,13.235,0.80151098,352,5.036 +12.185,0.81028078,324,3.659,12.485,0.807521342,2,3.568,12.385,0.804563533,12,3.611,12.335,0.801606711,12,3.777 +11.945,0.809885023,331,2.023,11.885,0.806928201,22,1.789,11.935,0.804069085,43,2.18,12.035,0.801210955,43,2.865 +11.445,0.809785344,285,1.507,11.685,0.807025907,279,0.504,11.765,0.80416679,167,0.493,11.835,0.80130866,167,1.328 +10.625,0.808796447,246,3.114,11.285,0.806235381,223,2.896,11.315,0.803377251,213,3.147,11.335,0.800518135,213,3.589 +9.505,0.809383666,231,4.76,10.585,0.807120651,215,5.06,10.585,0.804261535,210,5.534,10.535,0.801403405,210,6.097 +9.515,0.80810264,260,4.353,10.235,0.805640266,250,4.477,10.175,0.802683444,246,4.627,10.135,0.799725635,246,4.867 +9.525,0.806820627,259,3.956,9.885,0.804160868,265,3.894,9.765,0.801104367,265,3.729,9.635,0.798147545,265,3.628 +9.245,0.803665433,260,3.619,9.485,0.800906982,273,3.923,9.435,0.797949173,274,3.66,9.435,0.794992351,274,3.43 +10.905,0.804164816,267,1.706,10.485,0.801008636,266,1.769,10.235,0.797952134,266,1.845,10.035,0.794895633,266,1.933 +13.565,0.804764866,15,1.438,13.085,0.801608685,15,1.611,12.735,0.798552184,14,1.736,12.335,0.795396003,14,1.834 +14.605,0.802303479,52,1.834,14.185,0.799148285,52,2.046,13.865,0.796090797,52,2.19,13.535,0.793034296,52,2.31 +15.145,0.801418209,49,3.778,14.785,0.798361707,49,3.824,14.455,0.795304219,48,3.857,14.135,0.792247718,48,3.916 +15.805,0.800829016,55,4.462,15.385,0.797771527,55,4.526,15.065,0.794715026,55,4.567,14.735,0.79175623,55,4.62 +16.405,0.801718233,47,4.046,15.985,0.798660745,47,4.101,15.665,0.795604244,47,4.133,15.335,0.792547742,47,4.183 +16.905,0.800832963,31,4.244,16.485,0.797775475,31,4.299,16.165,0.794718974,32,4.331,15.835,0.791760178,32,4.372 +17.205,0.799848014,25,4.611,16.785,0.796790526,25,4.664,16.455,0.793734024,26,4.696,16.135,0.790775228,26,4.748 +17.405,0.801426104,21,4.581,16.985,0.798368616,21,4.635,16.685,0.795410807,21,4.676,16.435,0.792353319,21,4.729 +18.115,0.802807797,24,4.184,17.785,0.799751295,24,4.319,17.485,0.796792499,24,4.4,17.235,0.793735998,24,4.471 +18.825,0.804091784,51,3.788,18.585,0.801133975,50,4.002,18.285,0.798175179,49,4.123,18.035,0.79521737,49,4.213 +18.725,0.805668887,70,2.41,18.485,0.802711078,66,2.648,18.215,0.799752282,64,2.792,17.935,0.796695781,64,2.885 +18.565,0.807837158,101,3.014,18.385,0.804879349,91,3.152,18.115,0.801920553,89,3.226,17.835,0.798962744,89,3.281 +18.405,0.808920799,194,0.764,18.285,0.80596299,140,0.583,18.015,0.803005181,123,0.543,17.735,0.79994868,123,0.555 +18.065,0.811482852,206,0.734,18.185,0.808723415,101,0.702,17.935,0.805764619,87,0.937,17.735,0.80280681,87,1.1 +16.305,0.809012583,227,3.371,17.385,0.806847274,226,2.372,17.265,0.803889465,227,1.667,17.135,0.801030348,227,1.239 +14.125,0.809794226,217,6.287,15.985,0.808124352,216,5.989,15.985,0.805265236,217,5.347,16.035,0.802407106,217,4.857 +12.685,0.808408586,202,8.438,14.785,0.806839378,199,9.615,14.915,0.804078954,200,9.687,15.035,0.801319516,200,9.418 +11.945,0.808603997,202,8.894,13.985,0.807033802,196,10.959,14.265,0.804274365,196,11.611,14.535,0.80161362,196,11.341 +11.565,0.808010856,203,9.36,13.785,0.80654034,196,11.612,14.035,0.803879595,195,12.331,14.335,0.801120158,195,12.005 +11.365,0.808503331,198,9.797,13.585,0.807031828,192,11.918,13.755,0.804272391,191,12.627,13.935,0.801512953,191,12.421 +10.945,0.807516408,205,8.627,12.985,0.805946213,199,9.942,13.075,0.803087096,198,10.131,13.135,0.800327659,198,9.814 +10.525,0.80662719,205,7.467,12.385,0.80485961,200,7.965,12.385,0.802000493,202,7.626,12.435,0.799142364,202,7.217 +11.465,0.804264495,196,6.356,12.485,0.80200148,199,7.224,12.565,0.799241056,201,7.221,12.635,0.796382926,201,6.681 +13.445,0.803285468,199,4.66,13.085,0.800228966,200,5.03,13.085,0.797369849,201,5.248,13.035,0.79451172,201,5.363 +15.905,0.803096965,190,2.003,15.485,0.799940785,190,2.026,15.165,0.796884283,190,2.032,14.835,0.793925487,190,2.042 +17.805,0.802018258,95,1.091,17.385,0.798961757,97,1.196,17.035,0.795904268,97,1.273,16.735,0.792847767,97,1.328 +18.705,0.80251468,76,0.952,18.285,0.799457192,78,1.038,17.935,0.796498396,78,1.095,17.535,0.793441895,78,1.14 +19.505,0.802221564,64,1.339,19.085,0.799164076,66,1.463,18.735,0.79620528,66,1.539,18.335,0.793148779,66,1.586 +20.105,0.801828769,42,1.408,19.685,0.798771281,43,1.552,19.335,0.795714779,43,1.628,19.035,0.792755983,43,1.685 +20.245,0.799660498,19,2.628,19.885,0.796701702,18,2.639,19.585,0.793743893,18,2.644,19.335,0.790786084,18,2.647 +20.445,0.800055268,20,3.272,20.085,0.796998766,20,3.291,19.755,0.79403997,20,3.305,19.435,0.791082161,20,3.321 +20.745,0.800943499,24,3.857,20.385,0.797984703,24,3.894,20.065,0.795026894,23,3.916,19.735,0.792068098,23,3.945 +21.025,0.801339255,34,3.758,20.785,0.798380459,34,3.953,20.485,0.79542265,34,4.074,20.235,0.792464841,34,4.144 +21.305,0.801734024,57,3.659,21.185,0.798874907,54,4.022,20.915,0.795917098,53,4.242,20.635,0.792958302,53,4.352 +21.205,0.805676783,87,3.024,21.085,0.802718974,78,3.34,20.815,0.799761165,76,3.502,20.535,0.796802369,76,3.589 +20.925,0.807351591,178,3.451,20.985,0.804592154,157,3.241,20.735,0.801633358,152,3.167,20.535,0.798675549,152,3.133 +18.805,0.804979028,216,7.516,20.485,0.803210461,219,7.669,20.285,0.800251665,219,7.339,20.035,0.797392549,219,7.098 +17.225,0.808916852,224,9.023,19.385,0.807346657,242,10.169,19.385,0.804488527,246,10.407,19.435,0.801728103,246,10.27 +16.365,0.806943005,237,8.855,18.285,0.805273131,257,10.712,18.485,0.802513694,263,11.769,18.735,0.799852948,263,11.945 +15.805,0.807334814,239,8.637,17.485,0.805467555,261,10.327,17.835,0.802807797,267,11.493,18.235,0.800245744,267,12.064 +15.105,0.805953121,234,8.409,16.785,0.804183568,257,9.902,17.315,0.801622502,264,11.049,17.835,0.799160128,264,11.757 +14.505,0.807330866,226,7.933,16.185,0.8055623,251,9.151,16.785,0.803099926,259,10.102,17.335,0.800636566,259,10.835 +14.065,0.805555391,220,7.754,15.985,0.803885517,248,8.766,16.585,0.801423143,257,9.559,17.235,0.79896077,257,10.221 +13.685,0.807229213,221,7.883,15.785,0.805659018,250,8.766,16.365,0.803196644,259,9.431,16.935,0.800734271,259,10.032 +13.865,0.805159635,222,6.882,15.785,0.803490748,249,7.511,16.145,0.800928695,257,7.971,16.535,0.798268937,257,8.357 +14.045,0.803091044,217,5.87,15.785,0.801322477,253,6.265,15.935,0.798562053,262,6.511,16.035,0.795901308,262,6.691 +14.565,0.801317543,234,5.117,15.885,0.799251912,270,5.939,16.035,0.796492475,280,6.333,16.235,0.79383173,280,6.543 +16.365,0.801816926,270,2.925,16.185,0.798859117,281,3.518,16.205,0.796,288,4.202,16.235,0.793240563,288,4.857 +18.045,0.800146065,311,3.034,17.685,0.797188256,311,3.093,17.385,0.794130767,311,3.157,17.035,0.791172958,311,3.242 +19.345,0.800544782,331,2.796,18.985,0.797585986,330,2.816,18.685,0.794529484,330,2.841,18.435,0.791571675,330,2.865 +20.345,0.799956575,352,3.292,19.985,0.796997779,351,3.311,19.665,0.793941278,351,3.315,19.335,0.790982482,351,3.331 +21.145,0.797297804,27,3.242,20.785,0.794240316,27,3.261,20.455,0.791282507,27,3.255,20.135,0.788323711,27,3.271 +21.845,0.797299778,42,3.589,21.485,0.79424229,42,3.607,21.185,0.791383173,42,3.601,20.935,0.788424377,42,3.618 +22.345,0.797991611,41,4.73,21.985,0.795032815,42,4.763,21.685,0.792172712,42,4.775,21.435,0.789214903,42,4.808 +22.585,0.796710585,38,4.462,22.285,0.793752776,38,4.496,21.955,0.79079398,38,4.518,21.635,0.787835184,38,4.54 +22.645,0.798287688,28,3.679,22.285,0.795329879,28,3.726,21.985,0.792371083,28,3.739,21.735,0.789413274,28,3.767 +23.665,0.800065137,12,2.459,23.335,0.797107328,13,2.49,23.045,0.794247224,13,2.506,22.735,0.791289415,13,2.518 +24.685,0.801843573,341,1.239,24.385,0.798884777,344,1.255,24.115,0.79602566,345,1.263,23.835,0.793066864,345,1.269 +23.805,0.803713792,258,4.839,23.685,0.800854676,260,5.198,23.435,0.797994572,260,5.436,23.235,0.795135455,260,5.631 +20.845,0.806661732,256,8.686,20.785,0.803802615,257,9.783,20.585,0.800844806,258,10.703,20.435,0.79798569,258,11.549 +18.825,0.803008142,256,9.36,18.885,0.800247718,258,10.782,18.885,0.797487293,259,12.153,18.935,0.794629164,259,13.522 +17.605,0.805468542,251,9.846,17.785,0.802708117,254,11.404,18.085,0.800146065,256,12.933,18.435,0.797486306,256,14.483 +16.805,0.805564273,255,9.311,16.985,0.802903528,258,10.959,17.435,0.800341475,259,12.578,17.935,0.79778041,259,14.186 +16.245,0.806449544,257,8.756,16.485,0.803788798,261,10.416,16.915,0.80112904,262,12.005,17.335,0.79866568,262,13.532 +15.745,0.806053787,255,7.655,15.985,0.803393042,258,9.072,16.315,0.800732297,259,10.457,16.635,0.798071552,259,11.817 +15.445,0.807235134,252,6.515,15.685,0.804475697,258,7.777,15.885,0.801814952,260,9.066,16.035,0.799055514,260,10.389 +15.305,0.806545275,251,5.513,15.485,0.803785838,260,6.562,15.715,0.8010264,263,7.685,15.935,0.798365655,263,8.862 +15.265,0.807235134,244,4.115,15.385,0.80447471,263,4.991,15.665,0.801814952,267,6.018,15.935,0.799154207,267,7.118 +15.255,0.805854429,171,3.718,15.735,0.803293363,196,3.923,15.825,0.800533925,205,4.36,15.935,0.797674809,205,4.897 +15.245,0.804376018,187,3.322,16.085,0.802112016,212,2.856,15.985,0.799154207,222,2.703,15.835,0.79629509,222,2.686 +15.265,0.801024426,196,2.866,16.285,0.798859117,224,2.807,16.205,0.795901308,234,2.713,16.135,0.793043178,234,2.756 +17.345,0.802411054,220,0.764,16.985,0.799354552,228,0.781,16.765,0.796396743,232,0.799,16.535,0.79353664,232,0.803 +19.145,0.801135949,29,0.555,18.785,0.798177153,29,0.544,18.455,0.795120651,29,0.523,18.135,0.792161855,29,0.506 +20.645,0.803013077,109,1.051,20.285,0.799956575,113,1.067,19.955,0.796997779,114,1.085,19.635,0.79403997,114,1.1 +21.905,0.799172958,69,0.962,21.485,0.79611547,75,0.929,21.165,0.793157661,76,0.908,20.835,0.790198865,76,0.882 +23.005,0.797894893,122,0.803,22.585,0.794936097,131,0.83,22.255,0.791977301,135,0.848,21.935,0.789019492,135,0.882 +23.845,0.798785097,212,2.915,23.485,0.795826302,212,3.024,23.165,0.792966198,212,3.107,22.835,0.790008389,212,3.192 +24.245,0.799772021,239,5.206,23.885,0.796813225,239,5.317,23.565,0.793854429,239,5.396,23.235,0.79089662,239,5.482 +24.145,0.799278559,252,5.89,23.785,0.796319763,252,6.018,23.485,0.793361954,252,6.096,23.235,0.790403158,252,6.186 +23.885,0.800164816,251,6.634,23.585,0.797304713,251,6.779,23.285,0.794346904,251,6.866,23.035,0.791389094,251,6.959 +23.025,0.801049099,233,7.219,22.935,0.798189983,234,7.758,22.725,0.795330866,234,8.158,22.535,0.792471749,234,8.506 +22.165,0.80193437,226,7.794,22.285,0.799173945,228,8.746,22.165,0.796314829,229,9.46,22.035,0.793554404,229,10.042 +20.365,0.801829756,229,8.418,20.785,0.799267703,232,9.793,20.765,0.796507279,233,10.841,20.735,0.793649149,233,11.668 +18.625,0.80349963,238,9.003,19.285,0.801037256,242,10.673,19.315,0.798277819,243,11.966,19.335,0.795517395,243,13.016 +17.245,0.802509746,249,8.994,17.785,0.79994868,253,10.703,17.985,0.797287935,255,12.232,18.235,0.79462719,255,13.641 +16.185,0.806449544,248,8.577,16.785,0.80398717,253,10.327,17.205,0.801425117,255,11.927,17.635,0.798864051,255,13.393 +15.525,0.804673082,254,8.647,16.185,0.802210708,258,10.525,16.685,0.799649642,260,12.292,17.235,0.797186282,260,13.928 +15.165,0.806939057,253,7.625,15.885,0.804476684,260,9.339,16.415,0.801915618,263,11.068,16.935,0.799453245,263,12.738 +14.805,0.806839378,249,7.219,15.585,0.804475697,260,8.825,16.135,0.801914631,263,10.407,16.735,0.799452258,263,11.935 +14.625,0.806443622,250,6.872,15.585,0.80417962,264,8.4,16.065,0.801618554,268,9.737,16.535,0.799156181,268,10.885 +14.525,0.805358993,255,7.238,16.085,0.803491735,276,8.884,16.355,0.800830989,282,9.904,16.635,0.798170244,282,10.32 +14.505,0.807725635,274,6.782,15.885,0.805758697,300,7.945,16.085,0.802998273,306,8.632,16.335,0.800338515,306,8.912 +14.825,0.806148532,278,4.799,15.635,0.803785838,303,5.238,15.745,0.8010264,311,5.544,15.835,0.798265976,311,5.74 +15.145,0.804572415,271,2.806,15.385,0.80191167,311,2.52,15.415,0.799053541,325,2.446,15.435,0.796195411,325,2.558 +14.825,0.804375031,237,2.072,15.485,0.801912657,264,1.235,15.485,0.799053541,264,0.621,15.535,0.796294103,264,0.545 +15.925,0.802998273,214,1.438,15.685,0.800040464,206,1.443,15.685,0.797181347,197,1.342,15.735,0.794323217,197,1.249 +17.845,0.802807797,139,0.883,17.485,0.799750308,140,0.889,17.185,0.796792499,141,0.908,16.935,0.793833703,141,0.922 +18.985,0.802515667,94,1.289,18.685,0.799458179,96,1.285,18.365,0.79650037,97,1.282,18.035,0.793442882,97,1.279 +20.105,0.800941525,86,1.904,19.685,0.797884037,87,1.888,19.365,0.794926227,88,1.864,19.035,0.791868739,88,1.854 +20.905,0.799761165,64,1.537,20.485,0.796703676,64,1.512,20.185,0.793745867,65,1.49,19.935,0.790788058,65,1.467 +21.345,0.799565754,45,1.725,20.985,0.796606958,45,1.71,20.685,0.793649149,45,1.697,20.435,0.790690353,45,1.675 +20.345,0.798576857,22,2.519,19.985,0.795519368,22,2.579,19.865,0.792660252,22,2.673,19.735,0.789801135,22,2.796 +18.405,0.797486306,21,2.588,17.985,0.794428818,22,2.718,18.185,0.791768073,23,2.979,18.435,0.789107328,23,3.41 +18.345,0.798274858,17,1.646,17.985,0.795316062,18,1.72,17.985,0.792456945,19,1.914,18.035,0.789697508,19,2.24 +20.215,0.801237602,55,1.21,19.885,0.798279793,54,1.275,19.745,0.795321984,54,1.401,19.635,0.792462867,54,1.586 +22.085,0.804201332,63,0.783,21.785,0.801242536,63,0.84,21.515,0.798284727,63,0.888,21.235,0.795325931,63,0.942 +22.285,0.804398717,238,1.577,21.985,0.801440908,239,1.502,21.715,0.798580804,239,1.46,21.435,0.795622995,239,1.437 +21.145,0.808338515,268,4.938,21.385,0.80567777,273,5.396,21.165,0.802817666,274,5.633,20.935,0.799859857,274,5.809 +19.345,0.80892376,269,7.238,19.885,0.806362694,277,8.588,19.705,0.803503578,279,9.47,19.535,0.800545769,279,10.042 +17.965,0.806356773,261,7.665,18.685,0.803894399,272,9.339,18.705,0.801133975,275,10.427,18.735,0.798275845,275,10.954 +17.005,0.807437454,259,8.071,18.085,0.805272144,271,9.981,18.205,0.802512707,276,10.999,18.335,0.799753269,276,11.083 +16.305,0.807632865,265,6.802,17.385,0.805467555,280,8.4,17.615,0.80280681,286,9.332,17.835,0.800146065,286,9.586 +15.825,0.807237108,273,6.118,17.085,0.805170491,294,7.313,17.255,0.802411054,301,8.01,17.435,0.799750308,301,8.238 +15.585,0.807827288,284,6.029,16.785,0.805761658,312,6.888,16.885,0.803001234,319,7.369,17.035,0.800241796,319,7.613 +15.485,0.807137429,284,4.928,16.385,0.804773748,320,5.287,16.485,0.80201431,330,5.613,16.535,0.799253886,330,5.888 +15.525,0.808911917,287,3.956,16.185,0.806449544,328,3.923,16.315,0.803689119,340,4.202,16.435,0.800929682,340,4.6 +16.065,0.806252159,278,3.589,16.635,0.803788798,306,3.301,16.695,0.800930669,317,3.196,16.735,0.798170244,317,3.361 +16.605,0.803592401,268,3.223,17.085,0.801030348,297,2.678,17.085,0.798172218,307,2.2,17.035,0.795411794,307,2.121 +16.585,0.804873427,274,2.687,17.185,0.802411054,312,2.471,17.165,0.799650629,321,2.17,17.135,0.796791512,321,2.3 +17.845,0.803004194,27,0.902,17.485,0.799947693,28,0.978,17.285,0.796989884,29,1.105,17.035,0.794031088,29,1.289 +18.585,0.803203553,70,2.36,18.285,0.800245744,70,2.392,17.955,0.797189243,70,2.427,17.635,0.794230447,70,2.468 +19.605,0.802812731,89,2.608,19.185,0.79975623,90,2.629,18.865,0.796698742,90,2.634,18.535,0.793740933,90,2.667 +20.845,0.800845793,81,2.201,20.485,0.797886997,82,2.194,20.165,0.794928201,83,2.18,19.835,0.791970392,83,2.181 +22.005,0.799567728,99,1.477,21.585,0.796608932,103,1.472,21.255,0.793650136,105,1.46,20.935,0.790692327,105,1.457 +23.005,0.799669381,156,0.883,22.585,0.796710585,163,0.929,22.255,0.793751789,165,0.977,21.935,0.79079398,165,1.021 +23.705,0.799572662,233,1.378,23.285,0.796515174,232,1.463,22.985,0.793656057,232,1.519,22.735,0.790697261,232,1.586 +24.045,0.798982482,253,3.827,23.685,0.796023686,253,3.913,23.385,0.793065877,252,3.985,23.035,0.790107081,252,4.054 +24.145,0.801053047,260,5.285,23.785,0.798094251,260,5.396,23.455,0.795136442,260,5.455,23.135,0.792177646,260,5.522 +23.285,0.801148779,261,6.693,23.135,0.798289662,262,7.204,22.885,0.795331853,262,7.576,22.635,0.792471749,262,7.891 +22.425,0.801145818,260,8.101,22.485,0.798485073,261,9.013,22.315,0.795624969,261,9.697,22.135,0.792765852,261,10.25 +21.145,0.805282013,268,6.852,21.385,0.802621268,269,7.935,21.285,0.799762151,270,8.799,21.235,0.796904022,270,9.477 +19.905,0.806461387,250,7.427,20.685,0.804097705,261,8.884,20.635,0.801238589,263,9.914,20.535,0.798478164,263,10.597 +18.965,0.804486553,248,7.685,19.985,0.802222551,262,9.191,19.985,0.799462127,266,10.269,20.035,0.796702689,266,10.974 +18.125,0.806455465,243,7.952,19.385,0.804389835,260,9.507,19.485,0.80162941,264,10.398,19.535,0.798968665,264,10.647 +17.225,0.806945966,249,8.468,18.785,0.80507772,264,10.386,18.955,0.802416975,268,11.374,19.135,0.799657538,268,11.41 +16.505,0.806549223,255,8.537,18.185,0.804680977,270,10.554,18.415,0.802020232,274,11.65,18.635,0.799359487,274,11.797 +16.045,0.805364915,255,7.496,17.785,0.803595361,273,9.368,18.085,0.800935603,278,10.476,18.435,0.79837355,278,10.677 +15.925,0.80615248,252,6.534,17.785,0.804482605,277,7.807,18.015,0.80182186,284,8.592,18.235,0.799062423,284,8.753 +15.985,0.806251172,257,5.573,17.785,0.804482605,293,6.364,17.915,0.801723168,303,6.915,18.035,0.798963731,303,7.118 +16.145,0.807434493,270,4.958,17.285,0.80527017,310,5.386,17.385,0.802509746,319,5.801,17.535,0.799750308,319,6.176 +15.575,0.806348877,289,4.502,16.535,0.804084875,315,4.526,16.585,0.801324451,323,4.686,16.635,0.798565014,323,5.016 +15.005,0.805360967,284,4.036,15.785,0.802997286,322,3.676,15.785,0.800139156,333,3.571,15.835,0.797280039,333,3.846 +14.825,0.803093018,278,3.361,15.785,0.800829016,321,2.984,15.765,0.797969899,333,2.634,15.735,0.795210461,333,2.766 +15.945,0.800041451,329,1.339,15.585,0.796983962,338,1.423,15.565,0.794124846,350,1.726,15.535,0.791266716,350,2.399 +17.445,0.801228719,72,2.291,17.085,0.798172218,72,2.332,16.785,0.795213422,72,2.368,16.535,0.792156921,72,2.429 +18.445,0.80093659,84,2.558,18.085,0.797879102,85,2.589,17.785,0.794921293,85,2.624,17.535,0.791864792,85,2.667 +19.745,0.799560819,74,1.834,19.385,0.796503331,75,1.858,19.065,0.793545522,75,1.864,18.735,0.790586726,75,1.884 +20.745,0.799957562,60,1.795,20.385,0.796999753,61,1.799,20.065,0.793942265,61,1.786,19.735,0.790984456,61,1.794 +21.445,0.798284727,61,3.104,21.085,0.795325931,61,3.123,20.785,0.792367135,62,3.127,20.535,0.789409326,62,3.142 +22.045,0.798285714,63,4.085,21.685,0.795327905,63,4.121,21.385,0.792369109,63,4.133,21.035,0.7894113,63,4.164 +22.285,0.797794226,70,4.383,21.985,0.794835431,71,4.457,21.685,0.791877622,71,4.488,21.435,0.788918826,71,4.54 +21.685,0.79808833,60,3.768,21.385,0.795228226,61,3.992,21.265,0.792369109,62,4.193,21.135,0.789509993,62,4.431 +22.605,0.799569701,66,2.102,22.335,0.796610906,67,2.204,22.125,0.793751789,68,2.289,21.935,0.790892672,68,2.399 +23.525,0.800952381,296,0.436,23.285,0.798093264,297,0.415,22.985,0.795134468,297,0.385,22.735,0.792176659,297,0.367 +22.945,0.803908216,246,4.363,22.885,0.801147792,250,4.734,22.635,0.798188996,251,4.952,22.435,0.795329879,251,5.125 +20.925,0.805478411,251,7.387,20.985,0.802718974,255,8.558,20.835,0.799859857,256,9.539,20.735,0.796902048,256,10.379 +19.245,0.804191463,253,7.784,19.485,0.801530718,258,9.23,19.435,0.798770294,259,10.486,19.435,0.795912164,259,11.589 +18.045,0.80408981,248,7.615,18.285,0.801429065,254,9.092,18.455,0.798668641,255,10.447,18.635,0.796007895,255,11.688 +16.965,0.804480632,256,8.23,17.385,0.801918579,261,9.951,17.705,0.799356526,263,11.601,18.035,0.796695781,263,13.185 +16.305,0.803985196,252,7.675,16.785,0.80142413,260,9.279,17.235,0.798862077,263,10.891,17.735,0.796301012,263,12.501 +15.685,0.804081915,246,7.417,16.285,0.801619541,256,9.023,16.835,0.799058475,260,10.506,17.435,0.796596102,260,11.876 +15.545,0.80417962,238,6.296,16.385,0.801915618,255,7.767,16.865,0.799353565,260,8.878,17.335,0.796792499,260,9.636 +15.405,0.802798914,218,5.226,16.785,0.800734271,254,6.087,17.135,0.798172218,263,6.718,17.535,0.795610165,263,6.949 +15.065,0.803390081,210,4.611,16.985,0.801720207,258,4.664,17.185,0.799059462,271,4.942,17.435,0.796398717,271,5.135 +15.285,0.802404145,205,3.282,16.485,0.800239822,248,3.064,16.575,0.797480385,264,3.176,16.635,0.794719961,264,3.331 +15.505,0.80132149,202,1.953,15.985,0.798759437,260,1.463,15.965,0.795901308,286,1.421,15.935,0.793140883,286,1.537 +15.425,0.801715273,217,1.953,16.085,0.799351591,280,1.472,16.005,0.796492475,304,1.243,15.935,0.793534666,304,1.18 +16.945,0.798664693,192,1.249,16.585,0.795607205,184,1.265,16.385,0.792649396,178,1.322,16.235,0.789790279,178,1.467 +18.945,0.799065384,123,1.487,18.585,0.796007895,125,1.492,18.255,0.793049099,126,1.509,17.935,0.79009129,126,1.527 +20.245,0.79828078,98,1.864,19.885,0.795321984,100,1.868,19.565,0.792363188,101,1.864,19.235,0.789405379,101,1.874 +21.605,0.796411547,108,0.595,21.185,0.793355046,118,0.593,20.865,0.790494942,121,0.592,20.535,0.787536146,121,0.595 +22.605,0.797597829,98,0.486,22.185,0.794639033,111,0.464,21.865,0.791681224,117,0.464,21.535,0.788722428,117,0.456 +22.745,0.794739699,238,1.428,22.385,0.791780903,238,1.482,22.135,0.788921786,238,1.539,21.935,0.786061683,238,1.596 +21.445,0.794340982,294,1.725,21.085,0.791382186,294,1.779,20.985,0.788524056,294,1.825,20.835,0.785762645,294,1.903 +21.305,0.794636072,283,1.259,20.885,0.791677276,282,1.295,20.665,0.788719467,282,1.332,20.435,0.78586035,282,1.388 +19.845,0.79443474,320,2.033,19.485,0.791475944,319,2.105,19.535,0.788716506,318,2.19,19.535,0.785956082,318,2.32 +19.565,0.796109548,340,2.628,19.235,0.793150753,336,2.816,19.455,0.790490007,334,2.861,19.735,0.787927955,334,2.766 +19.285,0.797784357,188,3.233,18.985,0.794826548,190,3.528,19.385,0.792264495,192,3.532,19.835,0.789801135,192,3.212 +20.525,0.799070318,206,5.216,20.585,0.796309894,213,5.999,20.685,0.793550456,216,6.501,20.835,0.790888724,216,6.751 +20.765,0.800943499,241,7.467,21.185,0.798382433,246,8.795,21.085,0.795523316,247,9.796,21.035,0.792762892,247,10.538 +19.325,0.800052307,237,8.617,19.685,0.797490254,243,10.248,19.765,0.79472983,246,11.67,19.835,0.791970392,246,12.907 +18.285,0.800640513,237,7.893,18.585,0.797979768,244,9.457,18.785,0.795319023,246,10.901,19.035,0.792657291,246,12.203 +17.425,0.801918579,242,7.943,17.785,0.799356526,249,9.576,18.085,0.796696768,251,11.078,18.435,0.794134715,251,12.431 +16.825,0.800240809,242,7.675,17.185,0.797581051,250,9.279,17.585,0.795018998,253,10.792,18.035,0.792555638,253,12.193 +16.005,0.800140143,234,7.972,16.485,0.79757809,243,9.675,16.965,0.795115717,246,11.394,17.435,0.792553664,246,13.076 +15.445,0.801320503,230,7.258,15.985,0.79885813,238,8.835,16.365,0.796296077,241,10.388,16.735,0.793735011,241,11.896 +14.545,0.799937824,219,6.594,15.085,0.79747545,231,7.995,15.455,0.794814705,235,9.46,15.835,0.792253639,235,10.944 +13.365,0.800525043,194,6.594,14.085,0.798162349,208,7.728,14.535,0.795600296,214,8.661,15.035,0.79303923,214,9.566 +12.655,0.799537133,187,6.802,13.585,0.797273131,194,8.173,13.955,0.794612386,198,8.878,14.335,0.79205132,198,9.16 +11.945,0.79845053,187,7.001,13.085,0.796383913,192,8.617,13.385,0.793724155,196,9.105,13.735,0.79106341,196,8.753 +13.025,0.796482605,198,5.414,12.785,0.793524796,200,6.305,13.165,0.790864051,201,7.448,13.535,0.788302985,201,8.694 +15.645,0.798857143,198,5.335,15.285,0.795799655,199,5.672,14.985,0.792841846,199,5.998,14.735,0.789785344,199,6.344 +18.445,0.796599062,200,6.326,18.085,0.793640266,201,6.463,17.755,0.790583765,201,6.6,17.435,0.787624969,201,6.761 +20.305,0.796013817,204,8.994,19.885,0.793055021,204,9.171,19.565,0.790096225,204,9.293,19.235,0.787138416,204,9.437 +21.245,0.793256353,208,9.797,20.885,0.790297557,208,9.981,20.565,0.787339748,208,10.102,20.235,0.784380952,208,10.24 +21.705,0.794440661,204,10.035,21.285,0.791383173,204,10.238,20.955,0.788425364,204,10.348,20.635,0.785466568,204,10.498 +22.105,0.793455712,209,10.134,21.685,0.79039921,209,10.337,21.365,0.787539107,209,10.457,21.035,0.784580311,209,10.607 +22.345,0.794047866,216,10.193,21.985,0.791090057,216,10.396,21.665,0.788131261,216,10.526,21.335,0.785271157,216,10.677 +22.345,0.794047866,223,10.55,21.985,0.791090057,223,10.762,21.665,0.788131261,223,10.901,21.335,0.785271157,223,11.063 +22.105,0.795131508,224,10.164,21.685,0.792075006,224,10.376,21.385,0.789214903,224,10.516,21.035,0.786256107,224,10.677 +21.345,0.795228226,219,9.836,21.135,0.792368122,220,10.475,20.895,0.789509006,220,10.96,20.635,0.786551197,220,11.38 +20.585,0.79542265,222,9.519,20.585,0.792662226,223,10.574,20.415,0.789803109,223,11.394,20.235,0.786943992,223,12.094 +19.165,0.797586973,219,9.063,19.285,0.794827535,221,10.307,19.185,0.792067111,221,11.315,19.035,0.789207994,221,12.193 +17.845,0.797878115,218,8.428,18.085,0.79521737,220,9.714,18.005,0.792456945,221,10.772,17.935,0.789598816,221,11.717 +16.745,0.795804589,213,8.617,16.985,0.793143844,217,9.863,16.915,0.790284727,219,10.851,16.835,0.787524303,219,11.717 +15.665,0.795998026,211,8.894,15.785,0.793337281,215,10.119,15.685,0.790379472,216,11.137,15.535,0.787520355,216,12.064 +14.525,0.795600296,221,9.658,14.585,0.792840859,222,10.989,14.485,0.78988305,223,12.114,14.335,0.787023933,223,13.175 +13.685,0.795597335,214,9.251,13.685,0.792739206,216,10.475,13.535,0.789880089,217,11.512,13.335,0.78692228,217,12.471 +12.845,0.795791759,212,8.656,12.785,0.792933629,214,9.764,12.615,0.78997582,215,10.703,12.435,0.787018011,215,11.579 +11.945,0.796478658,201,8.21,11.885,0.793521836,204,9.289,11.735,0.790564027,205,10.2,11.535,0.78770491,205,11.053 +11.045,0.794307427,194,8.934,10.985,0.791349618,196,10.149,10.865,0.788491488,197,11.216,10.735,0.785533679,197,12.243 +10.305,0.795290402,196,8.736,10.185,0.792332593,197,9.961,10.085,0.789375771,198,11.078,10.035,0.786417962,198,12.193 +10.815,0.794799901,198,9.708,10.635,0.791842092,200,10.851,10.475,0.788884283,200,11.877,10.335,0.785926474,200,12.897 +11.325,0.794407106,196,10.669,11.085,0.791350604,197,11.74,10.855,0.788392795,197,12.676,10.635,0.785434,197,13.611 +12.585,0.790566987,197,12.801,12.285,0.787510486,198,13.519,11.985,0.784452998,198,14.067,11.735,0.781495189,198,14.622 +14.445,0.792150012,204,14.457,14.085,0.789093511,204,15.001,13.785,0.786134715,204,15.399,13.535,0.783078214,204,15.802 +15.645,0.791857883,207,14.586,15.285,0.788801382,207,15.14,14.955,0.785842586,207,15.577,14.635,0.782786084,207,16.02 +16.445,0.789888971,211,15.042,16.085,0.78683247,211,15.555,15.755,0.783873674,211,15.951,15.435,0.780915865,211,16.357 +16.945,0.790679497,215,15.112,16.585,0.787622995,215,15.565,16.255,0.784664199,215,15.892,15.935,0.78170639,215,16.248 +17.345,0.790581791,214,14.596,16.985,0.78752529,214,15.011,16.665,0.784566494,214,15.3,16.335,0.781608685,214,15.633 +17.645,0.789400444,221,14.467,17.285,0.786441648,221,14.843,16.955,0.783385147,221,15.103,16.635,0.780426351,221,15.395 +17.745,0.789991611,225,13.565,17.385,0.787033802,225,13.924,17.065,0.784075006,225,14.156,16.735,0.781117197,225,14.424 +17.745,0.789696521,222,13.545,17.385,0.786737725,222,13.904,17.085,0.783779916,222,14.156,16.835,0.78082112,222,14.424 +17.645,0.790780163,222,13.525,17.285,0.787723661,222,13.934,16.955,0.784764866,222,14.215,16.635,0.781807057,222,14.523 +17.835,0.791767086,222,12.821,17.535,0.78880829,222,13.45,17.225,0.785850481,222,13.909,16.935,0.782891685,222,14.344 +18.025,0.792753022,223,12.107,17.785,0.789893906,224,12.975,17.485,0.78693511,224,13.604,17.235,0.783977301,224,14.156 +16.625,0.795311128,226,11.274,16.385,0.792353319,226,12.205,16.115,0.789395509,226,12.913,15.835,0.786436714,226,13.532 +15.125,0.795700962,228,10.838,14.885,0.792743153,228,11.819,14.615,0.789785344,228,12.578,14.335,0.786727856,228,13.284 +13.825,0.795598322,225,10.045,13.585,0.792640513,226,11.019,13.335,0.789681717,226,11.808,13.035,0.786723908,226,12.53 +12.725,0.79796003,229,9.826,12.485,0.794903528,230,10.821,12.265,0.791945719,230,11.65,12.035,0.78898791,230,12.441 +11.865,0.796478658,228,8.766,11.685,0.793520849,229,9.754,11.455,0.790464347,229,10.595,11.235,0.787506538,229,11.41 +11.065,0.796377992,222,8.161,10.885,0.793420183,224,9.181,10.685,0.790363681,225,10.062,10.535,0.787405872,225,10.924 +10.305,0.796276339,208,8.161,10.185,0.793318529,210,9.22,10.015,0.79036072,210,10.161,9.835,0.787402911,210,11.083 +9.605,0.795780903,211,8.339,9.485,0.792823094,213,9.408,9.315,0.789866272,213,10.378,9.135,0.786908463,213,11.331 +8.965,0.795385147,211,8.904,8.785,0.792328645,212,10.011,8.615,0.789370836,212,10.989,8.435,0.786413027,212,11.965 +8.165,0.795579571,211,9.688,7.985,0.792523069,212,10.841,7.785,0.789466568,212,11.848,7.535,0.786508759,212,12.857 +8.525,0.793707377,206,10.878,8.285,0.790650876,206,11.977,8.065,0.787693067,207,12.992,7.835,0.784636566,207,14.037 +8.885,0.791934863,210,12.058,8.585,0.788877375,210,13.124,8.335,0.785820873,210,14.136,8.035,0.782764372,210,15.207 +10.185,0.792136195,210,12.643,9.885,0.789078707,210,13.163,9.585,0.786120898,210,13.584,9.335,0.783064397,210,14.007 +12.145,0.790959783,212,13.297,11.785,0.787902295,212,13.806,11.455,0.784845793,213,14.215,11.135,0.781789292,213,14.662 +13.705,0.791950654,217,13.277,13.285,0.788893166,218,13.647,12.955,0.785935357,218,13.939,12.635,0.782877868,218,14.265 +14.905,0.790771281,223,13.019,14.485,0.787713792,223,13.341,14.165,0.784657291,223,13.574,13.835,0.781699482,223,13.829 +15.705,0.791365408,227,12.474,15.285,0.788210215,227,12.758,14.955,0.785251419,227,12.953,14.635,0.78229361,227,13.175 +16.205,0.791071305,232,12.573,15.785,0.788013817,232,12.847,15.455,0.785056008,232,13.022,15.135,0.781999507,232,13.234 +16.505,0.790185048,232,12.89,16.085,0.78712756,232,13.183,15.755,0.784169751,232,13.377,15.435,0.781210955,232,13.591 +16.705,0.790777202,232,12.087,16.285,0.787719714,232,12.343,15.955,0.784663212,232,12.518,15.635,0.781705403,232,12.719 +16.705,0.791368369,234,11.443,16.285,0.788311868,234,11.701,15.955,0.785353072,234,11.858,15.635,0.782395263,234,12.045 +16.545,0.791959536,235,11.175,16.185,0.789001727,235,11.434,15.865,0.785944239,235,11.601,15.535,0.78298643,235,11.787 +16.565,0.793340242,237,9.826,16.235,0.790381446,237,10.208,15.925,0.787324944,237,10.467,15.635,0.784366149,237,10.706 +16.585,0.794719961,239,8.478,16.285,0.791663459,240,8.983,15.985,0.788704663,240,9.332,15.735,0.785746854,240,9.626 +15.525,0.794814705,237,8.329,15.285,0.791758204,238,9.102,15.035,0.788800395,239,9.687,14.835,0.785842586,239,10.181 +14.305,0.79747249,230,8.022,14.185,0.794613373,231,9.121,13.955,0.791655564,232,10.052,13.735,0.788697755,232,10.914 +13.205,0.797764619,247,7.05,13.085,0.79480681,248,8.133,12.915,0.791849001,249,9.125,12.735,0.788989884,249,10.092 +12.205,0.798254133,284,5.007,12.085,0.795296324,286,5.87,11.915,0.792338515,286,6.59,11.735,0.789380706,286,7.227 +11.185,0.800024673,296,5.632,11.185,0.797166543,304,6.661,10.985,0.794208734,306,7.399,10.835,0.791152233,306,7.99 +10.205,0.799035776,305,6.455,10.085,0.796078954,311,7.619,9.915,0.793121145,313,8.563,9.735,0.790064643,313,9.398 +9.305,0.798441648,310,6.415,9.185,0.795483839,315,7.511,9.115,0.792527017,317,8.405,9.035,0.7896679,317,9.199 +8.525,0.799424624,302,6.217,8.585,0.796566494,310,7.333,8.685,0.793708364,314,8.119,8.835,0.790850234,314,8.724 +7.905,0.79942265,301,6.485,8.085,0.79656452,311,7.649,8.235,0.793805083,316,8.296,8.335,0.791045645,316,8.674 +7.425,0.800505305,296,6.366,7.785,0.79784456,311,7.481,8.015,0.795086109,317,7.813,8.235,0.792326672,317,7.762 +7.155,0.799715766,302,5.949,7.485,0.796956329,318,7.254,7.685,0.794197878,325,7.803,7.935,0.791438441,325,7.901 +6.885,0.798926227,318,5.523,7.185,0.79616679,330,7.036,7.365,0.793407353,335,7.793,7.535,0.79055021,335,8.04 +7.685,0.798435727,330,4.204,7.385,0.795379225,332,4.546,7.415,0.792422403,334,5.08,7.435,0.789563286,334,5.769 +8.945,0.797158648,11,3.699,8.585,0.794003454,12,3.765,8.285,0.790946953,12,3.837,8.035,0.787890452,12,3.926 +10.505,0.796178633,16,2.4,10.085,0.793022453,16,2.421,9.735,0.789965951,16,2.437,9.335,0.786809771,16,2.468 +11.865,0.797365902,2,2.33,11.385,0.794209721,2,2.342,11.035,0.79115322,2,2.368,10.735,0.788096718,2,2.389 +12.605,0.794213669,341,3.123,12.185,0.791157168,341,3.152,11.865,0.788099679,341,3.167,11.535,0.785043178,341,3.192 +13.305,0.794315322,341,3.927,12.885,0.791159141,340,3.973,12.535,0.78810264,340,3.995,12.235,0.785045152,340,4.035 +14.005,0.793824821,339,4.492,13.585,0.790767333,338,4.546,13.255,0.787709845,338,4.567,12.935,0.784752036,338,4.62 +14.705,0.794812731,354,4.819,14.285,0.791755243,353,4.882,13.955,0.788698742,353,4.903,13.635,0.785739946,353,4.957 +15.245,0.794912411,2,5.414,14.885,0.791855909,1,5.475,14.565,0.788897113,1,5.505,14.235,0.785840612,1,5.561 +15.545,0.796687886,13,5.186,15.185,0.793631384,13,5.247,14.865,0.790573896,13,5.288,14.535,0.787616087,13,5.333 +16.215,0.797479398,5,4.71,15.885,0.79442191,5,4.803,15.575,0.791464101,4,4.863,15.235,0.788406612,4,4.947 +16.885,0.798171231,6,4.224,16.585,0.795212435,6,4.358,16.285,0.792254626,6,4.449,16.035,0.789198125,6,4.56 +16.525,0.803887491,22,3.302,16.285,0.800830989,19,3.647,16.015,0.797872193,19,3.887,15.735,0.794815692,19,4.064 +16.325,0.803886504,47,1.616,16.085,0.800928695,35,1.927,15.835,0.797872193,33,2.21,15.535,0.794913397,33,2.458 +16.105,0.804970146,220,0.278,15.985,0.802012337,9,0.514,15.715,0.799054528,14,0.839,15.435,0.795998026,14,1.11 +15.845,0.807630891,178,1.2,15.785,0.804771774,135,0.929,15.535,0.801715273,118,0.986,15.335,0.798757464,118,1.15 +15.265,0.808023686,207,2.082,15.685,0.805461633,189,1.364,15.435,0.802405132,177,1.046,15.235,0.799447323,177,0.942 +14.825,0.807331853,212,2.31,15.485,0.804869479,195,1.433,15.285,0.80191167,184,1.075,15.035,0.798953861,184,0.981 +14.725,0.807430545,195,1.755,15.385,0.804968172,130,1.393,15.185,0.802010363,114,1.657,15.035,0.798953861,114,1.953 +14.305,0.807428571,199,2.043,15.085,0.805065877,143,1.384,14.915,0.802108068,120,1.618,14.735,0.799150259,120,1.963 +13.785,0.806046879,204,1.874,14.685,0.803684185,153,1.048,14.535,0.800726376,122,1.223,14.335,0.797867259,122,1.656 +13.665,0.808215149,176,1.358,14.085,0.805555391,91,1.552,13.985,0.802597582,82,2.249,13.835,0.799738465,82,2.914 +13.455,0.806144584,102,1.735,13.635,0.803385147,98,1.996,13.485,0.800427338,98,2.525,13.335,0.797469529,98,3.043 +13.245,0.804074019,99,2.102,13.185,0.801214903,91,2.441,12.985,0.798257094,91,2.792,12.835,0.795200592,91,3.172 +12.725,0.802790032,131,2.479,13.085,0.800130274,115,2.886,12.935,0.797172465,115,3.255,12.835,0.794214656,115,3.688 +13.785,0.801610659,150,1.864,13.485,0.798554157,150,1.996,13.335,0.795596348,150,2.368,13.235,0.792638539,150,2.924 +15.945,0.800927708,174,3.143,15.585,0.797871207,174,3.232,15.285,0.794913397,175,3.305,15.035,0.791855909,175,3.41 +18.045,0.800738219,186,3.629,17.685,0.79768073,186,3.706,17.365,0.794722921,186,3.778,17.035,0.791665433,186,3.856 +19.805,0.796701702,218,5.087,19.385,0.793644214,219,5.208,19.065,0.790686405,219,5.288,18.735,0.787727609,219,5.383 +21.105,0.797001727,219,5.791,20.685,0.793944239,220,5.91,20.365,0.79098643,220,5.988,20.035,0.788027634,220,6.087 +21.805,0.798778189,218,7.139,21.385,0.795720701,218,7.273,21.035,0.792762892,218,7.359,20.735,0.789804096,218,7.455 +22.005,0.796609919,222,8.845,21.585,0.793553417,223,9.013,21.255,0.790594621,223,9.105,20.935,0.787635825,223,9.209 +21.945,0.796117444,222,8.656,21.585,0.793158648,223,8.815,21.255,0.790199852,223,8.908,20.935,0.787242043,223,9.021 +21.745,0.797890945,224,8.756,21.385,0.794833457,224,8.924,21.085,0.791875648,224,9.026,20.835,0.789016531,224,9.14 +21.105,0.797987663,204,8.369,20.985,0.795128547,205,8.924,20.755,0.792170738,205,9.322,20.535,0.789310634,205,9.636 +20.465,0.798084382,201,7.972,20.585,0.795323958,202,8.924,20.435,0.792464841,203,9.608,20.335,0.789605724,203,10.131 +19.365,0.80231927,210,7.129,19.785,0.799757217,211,8.192,19.685,0.796899087,212,8.918,19.535,0.794038983,212,9.408 +18.065,0.803793733,219,8.002,19.385,0.801728103,225,9.171,19.265,0.798868986,227,9.638,19.135,0.796009869,227,9.883 +16.885,0.803001234,228,7.883,18.685,0.801331359,243,9.042,18.585,0.798472243,246,9.441,18.535,0.795613126,246,9.527 +15.805,0.804476684,224,7.863,17.785,0.80280681,246,8.884,17.785,0.79994868,251,9.204,17.835,0.797188256,251,9.11 +14.945,0.803980262,229,7.238,16.985,0.802410067,250,8.064,17.115,0.799650629,255,8.286,17.235,0.796891192,255,8.228 +14.345,0.804669134,231,7.169,16.685,0.803197631,254,7.679,16.815,0.800438194,259,7.645,16.935,0.797777449,259,7.564 +13.665,0.803187762,233,6.981,16.485,0.802113003,261,7.451,16.585,0.799353565,266,7.418,16.735,0.796593141,266,7.415 +13.005,0.803481865,211,5.374,16.185,0.802604491,255,5.238,16.285,0.799845053,264,5.149,16.335,0.797084629,264,5.185 +12.165,0.802886751,202,4.74,15.585,0.802208734,239,3.913,15.735,0.799449297,252,3.492,15.835,0.796688872,252,3.43 +11.985,0.803280533,202,4.819,15.285,0.802502837,211,3.647,15.335,0.7997434,222,2.644,15.435,0.79688527,222,2.072 +13.895,0.803582531,237,3.738,15.635,0.801813965,256,3.073,15.545,0.798857143,262,2.525,15.435,0.795998026,262,2.161 +15.805,0.803786825,239,2.667,15.985,0.801027387,267,2.51,15.765,0.798068591,269,2.397,15.535,0.795110782,269,2.24 +14.805,0.803389094,183,3.361,15.885,0.801223785,177,2.777,15.665,0.798265976,177,2.427,15.435,0.795308167,177,2.211 +15.045,0.799052554,196,3.074,15.585,0.796589193,189,3.251,15.435,0.793632371,185,3.058,15.335,0.790773254,185,2.865 +16.785,0.797579077,149,2.459,16.485,0.794621268,149,2.51,16.185,0.79156378,149,2.545,15.935,0.788605971,149,2.597 +18.145,0.797386627,142,2.181,17.785,0.794329139,144,2.224,17.455,0.79137133,144,2.259,17.135,0.788412534,144,2.3 +19.645,0.795617074,154,1.636,19.285,0.792559585,157,1.68,18.955,0.789601776,158,1.726,18.635,0.786642981,158,1.774 +20.845,0.795719714,125,1.378,20.485,0.792760918,129,1.403,20.185,0.789803109,131,1.421,19.935,0.786844313,131,1.447 +21.945,0.79454034,189,1.626,21.585,0.791581545,190,1.71,21.285,0.788622749,191,1.766,21.035,0.785763632,191,1.844 +23.045,0.794641994,219,3.064,22.685,0.791683198,219,3.182,22.385,0.788725389,219,3.265,22.035,0.785865285,219,3.351 +23.585,0.795235134,229,5.226,23.285,0.792375031,229,5.366,22.955,0.789417222,229,5.445,22.635,0.786458426,229,5.551 +23.545,0.795826302,231,6.316,23.185,0.792867506,231,6.463,22.885,0.789909697,231,6.56,22.535,0.787049593,231,6.652 +23.455,0.798191957,223,7.308,23.185,0.795331853,223,7.767,22.895,0.792374044,223,8.109,22.635,0.789416235,223,8.406 +23.365,0.800656304,231,8.309,23.185,0.797797187,232,9.062,22.915,0.794838391,232,9.658,22.635,0.791880582,232,10.161 +21.525,0.799664446,236,7.962,21.585,0.797002714,238,9.191,21.435,0.794143597,239,10.23,21.335,0.791284481,239,11.103 +19.805,0.802123859,233,7.159,19.985,0.799364421,238,8.43,19.935,0.796603997,240,9.569,19.935,0.79374488,240,10.617 +18.225,0.7993585,230,7.586,18.585,0.796697755,237,9.042,18.735,0.79403701,240,10.338,18.835,0.791375278,240,11.509 +16.845,0.801325438,225,8.101,17.385,0.798863064,235,9.724,17.785,0.796301012,239,11.236,18.235,0.793739946,239,12.639 +15.565,0.80132149,230,8.002,15.985,0.798759437,238,9.655,16.665,0.796395756,241,11.404,17.335,0.794032075,241,13.204 +14.945,0.802009376,223,6.733,15.485,0.799547002,237,8.044,16.115,0.797084629,242,9.539,16.735,0.794622255,242,11.232 +14.125,0.801710338,206,6.931,15.085,0.799446336,224,8.005,15.685,0.796983962,230,9.095,16.335,0.794620281,230,10.369 +13.485,0.801708364,198,6.713,14.985,0.799841105,216,7.55,15.515,0.797279053,224,8.247,16.035,0.794816679,224,9.16 +12.945,0.801608685,190,7.268,15.285,0.800235875,207,7.748,15.615,0.79757513,214,7.852,15.935,0.795014064,214,8.218 +12.545,0.802198865,196,8.002,15.785,0.801322477,207,8.637,15.935,0.798562053,212,8.602,16.035,0.795901308,212,8.793 +14.155,0.802006415,217,5.91,16.135,0.80043622,227,6.384,16.115,0.797577103,229,6.521,16.035,0.794717987,229,6.781 +15.765,0.801913644,221,3.818,16.485,0.799451271,238,4.131,16.285,0.796493462,240,4.439,16.035,0.793634345,240,4.768 +15.505,0.798462374,189,4.472,16.585,0.796297064,201,4.625,16.415,0.793437947,203,4.725,16.235,0.790480138,203,4.917 +17.585,0.797582038,190,4.591,17.285,0.794623242,191,4.872,17.035,0.791665433,192,5.228,16.835,0.788707624,192,5.67 +19.745,0.797095485,208,6.653,19.385,0.794137676,209,6.789,19.085,0.79117888,209,6.886,18.835,0.788221071,209,7.019 +21.245,0.795030841,215,8.508,20.885,0.792072045,216,8.667,20.565,0.789114236,216,8.78,20.235,0.78615544,216,8.912 +22.045,0.795526277,221,9.936,21.685,0.792567481,221,10.129,21.365,0.789608685,221,10.25,21.035,0.786749568,221,10.399 +22.705,0.795429558,226,10.064,22.285,0.79237207,226,10.258,21.955,0.789414261,226,10.378,21.635,0.786554157,226,10.538 +23.045,0.794543301,228,10.798,22.685,0.791584505,228,11.009,22.365,0.788626696,228,11.147,22.035,0.785766593,228,11.311 +23.205,0.795234148,229,10.56,22.785,0.792176659,229,10.772,22.455,0.789316556,229,10.91,22.135,0.786358747,229,11.073 +23.145,0.794248211,227,10.868,22.785,0.791289415,227,11.098,22.455,0.788429312,227,11.246,22.135,0.785471503,227,11.41 +22.845,0.795429558,226,11.225,22.485,0.792471749,226,11.483,22.165,0.789611646,226,11.65,21.835,0.78665285,226,11.846 +22.075,0.797399457,219,9.896,21.985,0.79454034,220,10.564,21.745,0.791680237,220,11.039,21.535,0.788722428,220,11.46 +21.305,0.799269677,217,8.567,21.485,0.796608932,218,9.635,21.335,0.793749815,219,10.437,21.235,0.790890698,219,11.083 +19.945,0.7981811,219,8.319,20.485,0.79571774,221,9.566,20.385,0.792957316,221,10.486,20.335,0.790099186,221,11.182 +18.565,0.801232667,209,8.597,19.285,0.798868986,213,9.932,19.285,0.796009869,215,10.94,19.335,0.793250432,215,11.737 +17.125,0.800636566,216,8.508,17.785,0.798272884,220,9.981,17.865,0.79551246,221,11.177,17.935,0.792753022,221,12.203 +16.065,0.800533925,221,8.339,16.485,0.79797286,225,9.615,16.515,0.795212435,227,10.644,16.535,0.792354305,227,11.569 +14.985,0.801910683,234,8.805,15.285,0.799249938,237,10.169,15.235,0.796391809,238,11.335,15.235,0.793532692,238,12.391 +14.065,0.803091044,239,7.972,14.185,0.800330619,241,9.2,14.085,0.797471503,241,10.269,14.035,0.79451468,241,11.261 +13.565,0.801905749,229,6.386,13.685,0.799047619,232,7.431,13.565,0.796188502,233,8.385,13.435,0.793230693,233,9.318 +13.125,0.802102147,204,5.543,13.185,0.79924303,213,6.335,13.065,0.796285221,215,7.083,12.935,0.793328399,215,7.841 +12.145,0.801901801,183,5.979,12.385,0.799241056,194,6.839,12.315,0.796283247,198,7.556,12.235,0.79342413,198,8.238 +11.005,0.801601776,184,6.386,11.185,0.798842339,191,7.471,11.235,0.795984209,194,8.444,11.335,0.793125093,194,9.358 +11.705,0.800520109,190,5.394,11.735,0.797660992,194,6.404,11.745,0.794802862,195,7.369,11.735,0.791943745,195,8.317 +12.405,0.799437454,193,4.413,12.285,0.796578337,197,5.327,12.265,0.793720207,198,6.284,12.235,0.790861091,198,7.276 +13.385,0.796977054,181,5.84,13.085,0.793919566,182,6.117,12.855,0.790961757,183,6.491,12.635,0.787905255,183,6.999 +15.645,0.800138169,204,6.187,15.285,0.797081668,205,6.433,14.985,0.794025167,205,6.669,14.735,0.791066371,205,6.929 +17.645,0.798666667,208,8.081,17.285,0.795609178,208,8.301,16.985,0.792651369,208,8.464,16.735,0.789692573,208,8.664 +19.085,0.799164076,209,8.974,18.785,0.796107575,209,9.181,18.455,0.793148779,209,9.342,18.135,0.790189983,209,9.517 +20.045,0.797491241,218,10.54,19.685,0.794433753,218,10.801,19.385,0.791475944,218,10.989,19.035,0.78861584,218,11.202 +20.645,0.798380459,217,10.58,20.285,0.795421663,217,10.821,19.985,0.792463854,217,11.009,19.735,0.789505058,217,11.212 +21.045,0.797296817,214,11.354,20.685,0.794240316,214,11.622,20.365,0.79128152,214,11.808,20.035,0.788323711,214,12.015 +21.145,0.797297804,220,11.473,20.785,0.794240316,220,11.75,20.485,0.791282507,220,11.956,20.235,0.788422403,220,12.163 +21.085,0.797888971,222,10.887,20.785,0.794930175,222,11.177,20.455,0.791972366,222,11.384,20.135,0.78901357,222,11.608 +20.885,0.798480138,223,10.491,20.585,0.795521342,223,10.801,20.285,0.792563533,223,11.019,20.035,0.789604737,223,11.261 +20.555,0.800056255,210,9.717,20.285,0.797097459,210,10.297,20.015,0.79413965,210,10.753,19.735,0.791180854,210,11.182 +20.225,0.801632371,211,8.934,19.985,0.798673575,212,9.803,19.735,0.795715766,212,10.496,19.535,0.792856649,212,11.113 +18.845,0.80221959,209,8.25,18.785,0.799360474,210,9.428,18.635,0.796501357,210,10.457,18.535,0.79364224,210,11.4 +17.385,0.804481619,212,8.478,17.385,0.801622502,214,9.803,17.335,0.798764372,214,11.088,17.335,0.795905255,214,12.352 +16.285,0.803787811,224,8.904,16.285,0.800929682,225,10.367,16.365,0.798169257,226,11.867,16.435,0.79540982,226,13.393 +15.385,0.802798914,219,9.38,15.385,0.799940785,221,10.91,15.535,0.797280039,221,12.479,15.735,0.794519615,221,14.106 +14.685,0.803388108,230,8.984,14.685,0.800529978,230,10.446,14.815,0.79777054,231,11.956,14.935,0.795010116,231,13.541 +14.045,0.804963237,231,6.336,13.985,0.802006415,233,7.511,14.065,0.799245991,234,8.73,14.135,0.796387861,234,10.002 +13.185,0.804369109,223,5.305,13.185,0.80151098,228,6.364,13.285,0.798750555,230,7.517,13.335,0.795892425,230,8.734 +12.225,0.803872687,200,5.156,12.285,0.801014557,212,6.127,12.535,0.798353812,216,7.32,12.835,0.795594375,216,8.644 +11.065,0.803771034,192,5.325,11.185,0.800911917,204,6.354,11.665,0.798350851,208,7.675,12.135,0.795789785,208,9.219 +10.245,0.804457932,187,5.315,10.485,0.801698495,199,6.522,11.035,0.799137429,203,7.902,11.535,0.796675056,203,9.358 +10.895,0.802390328,198,6.247,10.985,0.799629904,204,7.54,11.525,0.797068838,206,9.115,12.035,0.794606464,206,10.815 +11.545,0.800420429,207,7.179,11.485,0.7975623,209,8.568,12.015,0.795000247,211,10.319,12.535,0.792537873,211,12.263 +13.725,0.800329632,198,7.774,13.485,0.797273131,200,8.479,13.315,0.794315322,201,9.293,13.135,0.791357513,201,10.201 +16.345,0.799845053,207,7.824,15.985,0.796788552,207,8.202,15.665,0.793829756,207,8.543,15.335,0.790773254,207,8.902 +18.445,0.799851962,215,7.913,18.085,0.796794473,216,8.113,17.755,0.793836664,216,8.277,17.435,0.790877868,216,8.476 +20.145,0.798871947,206,8.27,19.785,0.795913151,206,8.439,19.455,0.792954355,206,8.563,19.135,0.789897853,206,8.714 +21.405,0.797298791,217,8.954,20.985,0.794339995,217,9.121,20.665,0.791381199,217,9.243,20.335,0.78842339,217,9.398 +22.205,0.798286701,214,9.817,21.785,0.795229213,215,10.001,21.455,0.792271404,215,10.131,21.135,0.789312608,215,10.3 +22.705,0.796514187,216,10.213,22.285,0.793456699,216,10.406,21.955,0.790596595,216,10.555,21.635,0.787638786,216,10.716 +23.005,0.798683444,213,9.579,22.585,0.795625956,213,9.774,22.255,0.792668147,213,9.885,21.935,0.789808043,213,10.042 +23.145,0.797402418,221,9.767,22.785,0.794443622,221,9.961,22.455,0.791485813,221,10.092,22.135,0.788527017,221,10.25 +23.045,0.798092277,223,9.241,22.685,0.795034789,223,9.438,22.365,0.792175672,223,9.559,22.035,0.789216876,223,9.715 +22.705,0.800259561,215,8.924,22.585,0.797400444,216,9.507,22.335,0.794442635,216,9.924,22.035,0.791582531,216,10.28 +22.365,0.802427831,210,8.597,22.485,0.799667407,211,9.576,22.315,0.79680829,211,10.289,22.135,0.793949173,211,10.835 +20.565,0.802224525,213,8.478,20.985,0.799662472,215,9.724,20.865,0.796803356,216,10.615,20.735,0.793944239,216,11.271 +18.545,0.8056679,226,8.2,19.085,0.803205527,229,9.507,19.005,0.80034641,230,10.486,18.935,0.797487293,230,11.242 +16.805,0.803297311,234,7.308,17.285,0.800735258,240,8.617,17.315,0.79797582,242,9.737,17.335,0.795215396,242,10.726 +15.465,0.804573402,218,7.427,16.185,0.802112016,230,8.716,16.385,0.799451271,234,9.825,16.535,0.796691833,234,10.845 +14.505,0.80378189,217,6.227,15.285,0.801419196,233,7.461,15.705,0.798857143,238,8.691,16.135,0.796296077,238,9.903 +13.785,0.805060943,199,5.454,14.985,0.802995312,227,6.255,15.435,0.800433259,234,6.984,15.935,0.797872193,234,7.594 +13.125,0.803875648,201,6.237,15.285,0.802404145,226,6.799,15.635,0.799744387,233,7.122,16.035,0.797182334,233,7.227 +12.585,0.803874661,201,5.771,15.585,0.802898594,228,5.959,15.855,0.800237849,235,6.047,16.135,0.797577103,235,6.136 +12.225,0.804366149,183,4.968,15.285,0.803390081,206,4.239,15.535,0.800729336,213,3.788,15.835,0.798069578,213,3.737 +12.185,0.805056008,182,5.136,15.485,0.80417962,182,4.16,15.585,0.801420183,184,3.374,15.735,0.798660745,184,2.974 +13.465,0.803680237,188,4.879,15.985,0.802407106,188,4.319,16.015,0.799547989,190,3.739,16.035,0.796689859,190,3.45 +14.745,0.802304466,194,4.621,16.485,0.800535899,190,4.487,16.435,0.797676783,194,4.104,16.435,0.794817666,194,3.916 +14.965,0.80033358,167,4.115,16.585,0.798564027,176,4.437,16.505,0.795705897,179,4.173,16.435,0.79284678,179,3.916 +16.985,0.798269924,196,3.252,16.985,0.795411794,197,4.012,16.865,0.792552677,199,4.558,16.735,0.789692573,199,4.748 +19.585,0.799263755,212,4.214,19.285,0.796305946,212,4.319,18.955,0.79334715,213,4.419,18.635,0.790290649,213,4.54 +21.645,0.799861831,209,6.743,21.285,0.796904022,209,6.898,20.985,0.793945226,209,7.014,20.735,0.790987417,209,7.157 +22.845,0.797598816,218,9.4,22.485,0.79464002,218,9.625,22.185,0.791682211,219,9.766,21.935,0.788822107,219,9.933 +23.245,0.79750111,218,9.926,22.885,0.794542314,218,10.149,22.585,0.791683198,219,10.309,22.335,0.788725389,219,10.478 +23.545,0.797107328,216,10.293,23.185,0.794149519,216,10.525,22.885,0.791190723,216,10.703,22.535,0.788232914,216,10.885 +23.545,0.797798174,216,10.55,23.185,0.794839378,216,10.811,22.885,0.791881569,216,10.98,22.535,0.789021466,216,11.172 +23.385,0.797304713,215,10.54,23.085,0.794345917,215,10.821,22.755,0.791388108,215,10.999,22.435,0.788528004,215,11.202 +23.085,0.798683444,217,9.876,22.785,0.795725635,217,10.169,22.485,0.792865532,217,10.368,22.235,0.789907723,217,10.577 +22.995,0.799965458,214,8.528,22.785,0.797006662,214,9.052,22.515,0.794147545,214,9.45,22.235,0.791188749,214,9.804 +22.905,0.801147792,219,7.179,22.785,0.798288675,219,7.945,22.535,0.795428571,219,8.533,22.335,0.792470762,219,9.031 +21.765,0.803214409,226,5.91,21.885,0.800453985,228,6.74,21.685,0.797594868,229,7.359,21.535,0.794637059,229,7.851 +20.305,0.804786578,236,6.644,20.785,0.802225512,241,7.748,20.635,0.799366395,243,8.464,20.535,0.796507279,243,8.971 +18.905,0.804585245,235,6.564,19.685,0.802221564,248,7.698,19.585,0.799362448,251,8.405,19.535,0.796503331,251,8.892 +17.545,0.803791759,228,7.457,18.985,0.801824821,245,8.459,18.965,0.798966691,248,8.74,18.935,0.796206267,248,8.734 +16.565,0.804972119,227,7.546,18.485,0.803302245,244,8.41,18.585,0.800542808,246,8.444,18.735,0.797782383,246,8.248 +15.665,0.804574389,218,7.348,18.485,0.80349963,233,7.985,18.615,0.800739206,233,7.941,18.735,0.797979768,233,8.03 +14.785,0.804078954,211,7.536,18.385,0.803400938,222,8.311,18.455,0.800640513,221,8.405,18.535,0.797881076,221,8.684 +13.965,0.804174685,205,6.832,17.985,0.803793733,214,7.53,18.065,0.801033309,214,7.675,18.135,0.798273871,214,7.98 +13.305,0.802989391,199,6.792,17.385,0.802608438,202,7.412,17.515,0.799947693,203,7.458,17.635,0.797188256,203,7.594 +13.045,0.804270417,196,7.03,17.185,0.803988157,193,7.688,17.285,0.801130027,193,7.655,17.335,0.798369603,193,7.613 +13.615,0.80308907,210,6.812,17.035,0.802312361,204,7.392,17.015,0.799551937,204,7.3,16.935,0.79669282,204,7.266 +14.185,0.801907723,202,6.594,16.885,0.800734271,197,7.095,16.735,0.797875154,199,6.945,16.535,0.794917345,199,6.929 +15.045,0.801811991,183,5.84,16.485,0.79984604,186,6.819,16.435,0.796986923,187,7.043,16.435,0.794226499,187,7.038 +17.745,0.798666667,201,4.799,17.385,0.795610165,201,5.139,17.165,0.792651369,201,5.613,16.935,0.789792253,201,6.235 +19.845,0.799758204,202,7.407,19.485,0.796700716,203,7.55,19.165,0.793742906,203,7.655,18.835,0.790784111,203,7.792 +20.945,0.800549716,199,9.519,20.585,0.797591907,199,9.695,20.255,0.794534419,199,9.815,19.935,0.79157661,199,9.963 +21.445,0.797200099,204,11.254,21.085,0.794241303,204,11.503,20.755,0.791283494,204,11.65,20.435,0.788324698,204,11.826 +21.905,0.798778189,207,11.096,21.485,0.795721688,207,11.325,21.165,0.792762892,207,11.483,20.835,0.789805083,207,11.658 +22.145,0.796610906,210,11.591,21.785,0.79365211,210,11.849,21.435,0.790693314,210,12.005,21.035,0.787735505,210,12.193 +22.245,0.79680829,214,11.552,21.885,0.793849494,214,11.829,21.565,0.790891685,214,11.996,21.235,0.787932889,214,12.183 +22.245,0.797202073,217,11.294,21.885,0.794244264,217,11.552,21.565,0.791285468,217,11.729,21.235,0.788327659,217,11.916 +22.045,0.798188009,219,10.739,21.685,0.795229213,219,10.989,21.365,0.792270417,219,11.157,21.035,0.789312608,219,11.341 +21.385,0.800058228,208,9.291,21.235,0.797100419,209,9.882,20.975,0.794241303,209,10.319,20.735,0.791283494,209,10.716 +20.725,0.801830743,207,7.843,20.785,0.799070318,209,8.775,20.585,0.796211202,210,9.48,20.435,0.793253393,210,10.082 +19.545,0.802615347,207,7.278,19.785,0.799954602,210,8.311,19.665,0.797095485,211,9.125,19.535,0.794236368,211,9.784 +18.305,0.804977054,201,6.703,18.785,0.80251468,209,7.728,18.685,0.799556871,211,8.494,18.535,0.796697755,211,9.12 +17.105,0.803494695,197,7.189,17.885,0.801132001,208,8.113,17.765,0.798272884,211,8.681,17.635,0.795315075,211,9.16 +15.745,0.805560326,190,6.882,16.585,0.803296324,202,7.856,16.565,0.800437207,206,8.454,16.535,0.79757809,206,8.902 +14.505,0.803683198,192,7.685,15.585,0.801518875,202,8.795,15.635,0.798758451,206,9.352,15.735,0.795900321,206,9.715 +13.225,0.802594621,187,8.418,14.485,0.800528991,195,10.05,14.715,0.797868246,199,10.851,14.935,0.795108808,199,11.152 +12.205,0.802394276,188,8.032,12.985,0.800031582,193,10.031,13.465,0.797469529,196,11.394,13.935,0.795007155,196,12.233 +11.405,0.801505058,186,8.101,12.185,0.799141377,190,10.05,12.685,0.796580311,192,11.433,13.235,0.794117937,192,12.362 +10.885,0.800813225,191,7.625,11.785,0.798548236,193,9.675,12.235,0.795888478,194,10.999,12.735,0.793327412,194,11.727 +10.265,0.801697508,189,7.695,11.585,0.799631878,190,9.902,11.955,0.796972119,192,10.95,12.335,0.794410067,192,11.133 +10.345,0.800022699,193,7.447,11.635,0.797957069,192,9.566,11.845,0.795296324,193,10.388,12.035,0.792536886,193,10.29 +10.425,0.798445596,187,7.209,11.685,0.796281273,185,9.23,11.735,0.793520849,186,9.825,11.835,0.790662719,186,9.447 +11.865,0.797168517,189,7.268,11.685,0.794210708,188,8.489,11.815,0.791451271,188,9.815,11.935,0.788691833,188,11.123 +14.345,0.799148285,193,7.605,13.985,0.795993092,193,7.965,13.685,0.793034296,193,8.277,13.435,0.789977794,193,8.605 +16.245,0.796690846,201,8.052,15.885,0.793633358,201,8.242,15.585,0.790675549,201,8.395,15.335,0.787716753,201,8.585 +17.645,0.797878115,204,10.193,17.285,0.794820627,204,10.436,16.985,0.791862818,204,10.605,16.735,0.788904022,204,10.815 +18.445,0.797880089,210,11.294,18.085,0.794823587,210,11.572,17.755,0.791864792,210,11.759,17.435,0.788906982,210,11.965 +18.945,0.796403652,215,11.443,18.585,0.793444856,215,11.72,18.285,0.790487047,215,11.917,18.035,0.787528251,215,12.124 +19.545,0.795320997,215,11.71,19.185,0.792362201,215,12.007,18.865,0.789404392,216,12.203,18.535,0.786445596,216,12.421 +19.745,0.795814458,217,11.463,19.385,0.79275697,217,11.75,19.085,0.789799161,217,11.946,18.835,0.786940044,217,12.163 +19.685,0.796011843,219,11.185,19.385,0.793053047,219,11.473,19.065,0.790095238,219,11.68,18.735,0.787136442,219,11.896 +19.445,0.796799408,222,10.699,19.085,0.793840612,222,11.019,18.785,0.790882803,222,11.236,18.535,0.787924007,222,11.46 +19.185,0.797389588,217,10.005,18.885,0.794431779,217,10.525,18.595,0.791472983,217,10.891,18.335,0.788515174,217,11.222 +18.925,0.797980755,216,9.311,18.685,0.795022946,216,10.031,18.415,0.79206415,216,10.555,18.135,0.789205033,216,10.984 +17.665,0.798765359,217,8.458,17.485,0.79580755,218,9.398,17.265,0.792848754,219,10.141,17.035,0.789989637,219,10.805 +16.305,0.800534912,226,7.754,16.185,0.797675796,227,8.736,15.955,0.794717987,227,9.559,15.735,0.791760178,227,10.31 +15.105,0.801418209,222,5.92,14.985,0.7984604,225,6.671,14.765,0.795502591,226,7.32,14.535,0.792544782,226,7.911 +14.005,0.799245991,220,5.493,13.885,0.796288182,224,6.216,13.635,0.793330373,225,6.836,13.435,0.790273871,225,7.405 +12.965,0.799636812,225,4.611,12.785,0.796679003,229,5.267,12.565,0.793721194,230,5.87,12.335,0.790763385,230,6.463 +12.425,0.801015544,238,2.915,12.185,0.797958056,242,3.301,11.935,0.795000247,243,3.699,11.735,0.791943745,243,4.134 +11.765,0.800618801,222,2.667,11.585,0.797660992,235,2.935,11.335,0.794604491,238,3.236,11.035,0.791645695,238,3.569 +11.025,0.80032075,197,3.55,11.085,0.797461633,216,3.558,10.855,0.794503824,220,3.64,10.635,0.791447323,220,3.787 +9.825,0.799724648,191,4.988,10.485,0.797262275,207,4.961,10.315,0.794305453,212,4.814,10.135,0.791347644,212,4.778 +8.625,0.799918085,191,5.999,9.885,0.797852455,205,6.087,9.865,0.794894646,210,5.771,9.835,0.792036516,210,5.581 +8.465,0.799128547,196,6.277,9.335,0.796864545,208,7.224,9.455,0.794006415,213,7.626,9.535,0.791246978,213,7.812 +8.305,0.798339995,214,6.544,8.785,0.795777942,219,8.36,9.065,0.793118184,222,9.47,9.335,0.790358747,222,10.042 +10.385,0.797853442,221,7.962,10.085,0.794796941,222,8.439,9.855,0.791740439,223,9.007,9.635,0.788683938,223,9.675 +12.345,0.794903528,238,8.587,11.985,0.79184604,238,8.835,11.665,0.788789539,238,9.036,11.335,0.78573205,238,9.269 +13.945,0.795697015,228,7.883,13.585,0.792640513,228,8.044,13.255,0.789583025,228,8.168,12.935,0.786625216,228,8.327 +15.305,0.795011103,233,8.24,14.885,0.791954602,233,8.4,14.565,0.788897113,233,8.503,14.235,0.785939304,233,8.644 +16.405,0.794226499,238,8.656,15.985,0.791169011,238,8.825,15.665,0.788211202,238,8.928,15.335,0.785252406,238,9.071 +17.305,0.793342216,238,8.607,16.885,0.790284727,238,8.756,16.565,0.787228226,238,8.868,16.235,0.78426943,238,8.991 +17.905,0.794132741,232,9.301,17.485,0.791075253,232,9.477,17.165,0.788117444,232,9.589,16.835,0.785158648,232,9.725 +18.145,0.794035036,232,10.035,17.785,0.79107624,232,10.228,17.455,0.788019738,232,10.348,17.135,0.785060943,232,10.498 +18.145,0.793147792,234,10.144,17.785,0.79009129,234,10.337,17.455,0.787132494,234,10.467,17.135,0.784173698,234,10.627 +17.845,0.794526524,237,9.747,17.485,0.791470022,237,9.951,17.185,0.788511226,237,10.082,16.935,0.785553417,237,10.24 +17.735,0.796301012,235,9.043,17.435,0.79324451,236,9.438,17.135,0.790285714,236,9.697,16.835,0.787327905,236,9.933 +17.625,0.797976807,234,8.349,17.385,0.795018011,235,8.924,17.085,0.792060202,235,9.312,16.835,0.789102393,235,9.626 +16.305,0.801126079,238,7.933,16.185,0.798169257,240,8.914,15.955,0.795210461,240,9.707,15.735,0.792252652,240,10.389 +14.705,0.802107081,230,8.418,14.885,0.799347644,233,9.942,14.765,0.796488527,235,11.098,14.635,0.793530718,235,12.025 +13.205,0.801609672,231,9.241,13.385,0.798850234,234,11.038,13.415,0.795991118,236,12.627,13.435,0.793132001,236,14.007 +12.085,0.801999507,233,7.863,12.385,0.799241056,238,9.596,12.565,0.796579324,240,11.187,12.735,0.793819887,240,12.58 +11.325,0.801011596,245,7.427,11.985,0.798549223,251,9.349,12.265,0.795888478,253,10.703,12.535,0.793227733,253,11.589 +11.105,0.801601776,235,6.703,12.185,0.799437454,254,8.242,12.385,0.796678016,258,9.115,12.535,0.793918579,258,9.437 +10.745,0.80160079,220,6.604,12.485,0.799832223,249,7.52,12.585,0.796974093,256,7.902,12.735,0.794214656,256,7.931 +10.005,0.801302739,193,5.285,12.285,0.799832223,233,5.109,12.385,0.797071799,243,5.08,12.535,0.794213669,243,5.085 +8.865,0.799721688,189,5.483,11.985,0.7988453,207,4.694,12.085,0.796084875,216,4.064,12.235,0.793325438,216,3.817 +8.565,0.802185048,192,5.523,12.285,0.801704416,189,4.911,12.265,0.798747594,191,4.133,12.235,0.795888478,191,3.658 +9.245,0.801497163,206,5.166,12.035,0.800422403,204,4.605,11.935,0.797464594,208,3.966,11.835,0.794605477,208,3.569 +9.925,0.800907969,199,4.809,11.785,0.799238095,200,4.289,11.615,0.796281273,203,3.798,11.435,0.793224772,203,3.48 +10.325,0.797262275,203,5.93,12.185,0.795493708,205,6.344,11.935,0.792535899,207,6.067,11.735,0.789479398,207,5.859 +12.885,0.795791759,191,3.976,12.585,0.792735258,193,4.259,12.335,0.789678756,194,4.656,12.035,0.786720947,194,5.155 +15.645,0.797082655,200,5.364,15.285,0.794026153,201,5.485,14.955,0.790968665,201,5.593,14.635,0.788010856,201,5.73 +17.545,0.796497409,209,7.11,17.185,0.793538613,209,7.244,16.865,0.790482112,209,7.339,16.535,0.787523316,209,7.465 +18.705,0.794825561,211,9.37,18.285,0.791768073,211,9.546,17.955,0.788810264,211,9.658,17.635,0.785752776,211,9.794 +19.305,0.793742906,212,10.302,18.885,0.790685418,212,10.495,18.565,0.787727609,212,10.624,18.235,0.784768813,212,10.776 +19.605,0.792856649,213,10.808,19.185,0.789799161,213,11.029,18.865,0.786841352,213,11.167,18.535,0.783882556,213,11.331 +19.845,0.793942265,216,11.225,19.485,0.790983469,216,11.463,19.165,0.788024673,217,11.621,18.835,0.785066864,217,11.797 +20.005,0.793548483,218,11.026,19.585,0.790589687,218,11.266,19.255,0.787630891,218,11.423,18.935,0.784673082,218,11.608 +19.845,0.79374488,225,10.828,19.485,0.790687392,225,11.068,19.185,0.787729583,225,11.236,18.935,0.784869479,225,11.42 +19.065,0.795023933,220,9.47,18.885,0.792164816,220,10.06,18.635,0.78920602,221,10.486,18.435,0.786248211,221,10.885 +18.285,0.796401678,220,8.121,18.285,0.793542561,220,9.042,18.085,0.790683444,220,9.746,17.935,0.787725635,220,10.349 +17.205,0.799946706,218,6.882,17.385,0.797285961,220,7.847,17.235,0.794328152,220,8.582,17.035,0.791468048,220,9.199 +16.085,0.799351591,209,6.653,16.685,0.796889218,214,7.619,16.535,0.793931409,216,8.267,16.335,0.791072292,216,8.793 +15.045,0.799347644,206,6.574,15.885,0.796984949,215,7.431,15.785,0.794125833,217,8,15.735,0.791266716,217,8.515 +13.825,0.801512953,199,6.485,14.785,0.799347644,212,7.244,14.735,0.796389835,216,7.685,14.735,0.793530718,216,8.069 +12.785,0.800819146,200,6.534,13.985,0.798753516,215,7.145,13.935,0.795795707,220,7.448,13.935,0.79293659,220,7.772 +11.785,0.798449544,202,7.159,13.285,0.796582285,216,7.787,13.285,0.793723168,220,8.06,13.335,0.790865038,220,8.416 +11.085,0.800714532,201,6.435,12.285,0.79855021,214,7.313,12.385,0.795790772,219,7.862,12.535,0.793031335,219,8.396 +10.405,0.801205033,205,5.92,11.485,0.799040711,217,6.809,11.635,0.796281273,221,7.468,11.835,0.793521836,221,8.129 +9.925,0.798641007,203,5.226,10.885,0.796377005,219,5.939,11.035,0.793617567,223,6.619,11.235,0.79085813,223,7.356 +9.705,0.801794226,196,3.798,10.485,0.799431532,219,4.012,10.635,0.796573402,226,4.498,10.835,0.793813965,226,5.165 +9.785,0.799133481,180,3.986,10.685,0.796770787,212,3.815,10.725,0.79391167,223,3.847,10.735,0.791053541,223,4.124 +9.865,0.796373057,171,4.165,10.885,0.794208734,187,3.617,10.815,0.791250925,195,3.196,10.735,0.788391809,195,3.083 +10.525,0.797558352,181,5.622,10.585,0.794798914,184,6.621,10.605,0.791939798,187,6.915,10.635,0.789081668,187,6.731 +13.045,0.796285221,193,6.663,12.685,0.793130027,194,6.967,12.385,0.790172218,194,7.231,12.035,0.78711473,194,7.524 +15.345,0.794419936,211,8.309,14.985,0.791363434,212,8.519,14.685,0.788404639,212,8.691,14.435,0.785348137,212,8.882 +16.745,0.795705897,218,8.974,16.385,0.792649396,218,9.171,16.065,0.789592894,218,9.312,15.735,0.786634098,218,9.477 +17.845,0.793245497,220,8.984,17.485,0.790188009,220,9.181,17.165,0.7872302,220,9.322,16.835,0.784271404,220,9.497 +18.545,0.793838638,223,9.916,18.185,0.790782137,223,10.129,17.865,0.787823341,223,10.269,17.535,0.784865532,223,10.439 +18.945,0.792755983,224,10.56,18.585,0.789797187,224,10.791,18.285,0.786839378,224,10.95,18.035,0.783881569,224,11.142 +19.145,0.794531458,228,9.896,18.785,0.791572662,228,10.119,18.485,0.788613866,228,10.269,18.235,0.785656057,228,10.439 +19.285,0.793841599,231,9.44,18.985,0.790882803,231,9.655,18.665,0.787924994,231,9.796,18.335,0.784966198,231,9.963 +19.185,0.794727856,229,8.994,18.885,0.791770047,229,9.22,18.585,0.788812238,229,9.372,18.335,0.785853442,229,9.546 +19.255,0.796108562,212,8.795,18.985,0.793150753,212,9.289,18.705,0.790191957,212,9.648,18.435,0.787234148,212,9.973 +19.325,0.797390575,212,8.607,19.085,0.794432766,212,9.368,18.835,0.79147397,212,9.934,18.535,0.788614853,212,10.409 +17.985,0.799850975,213,7.298,17.985,0.796991858,215,8.341,17.785,0.794132741,216,9.164,17.535,0.791173945,216,9.864 +16.745,0.801325438,199,6.247,16.985,0.798664693,206,7.283,16.865,0.795805576,209,8.079,16.735,0.792946459,209,8.743 +15.645,0.800335554,212,6.118,16.185,0.797774488,218,7.244,16.135,0.794915371,220,8.069,16.035,0.792154947,220,8.734 +14.725,0.800332593,207,5.176,15.385,0.797968912,220,5.999,15.415,0.795110782,225,6.669,15.435,0.792350358,225,7.306 +13.605,0.800427338,211,5.563,14.685,0.798164323,226,6.147,14.705,0.795403898,231,6.57,14.735,0.792545769,231,7.058 +12.665,0.800226992,209,5.493,13.985,0.798161362,227,5.801,14.065,0.795401925,233,6.037,14.135,0.792543795,233,6.434 +11.845,0.800618801,199,4.998,13.285,0.798651863,221,4.991,13.385,0.795892425,229,5.001,13.535,0.793132988,229,5.244 +11.165,0.801897853,192,4.65,12.785,0.800030595,213,4.269,12.915,0.797271157,224,3.946,13.035,0.794413027,224,3.955 +10.505,0.800318776,194,4.789,12.485,0.798748581,213,4.19,12.565,0.795889465,224,3.68,12.635,0.793130027,224,3.499 +9.825,0.801499136,194,5.196,12.285,0.800127313,207,4.427,12.335,0.797367876,217,3.65,12.435,0.794508759,217,3.202 +10.085,0.79992302,186,5.354,12.335,0.798451517,201,5.02,12.245,0.795494695,205,4.439,12.135,0.792635579,205,4.025 +10.345,0.798248211,194,5.523,12.385,0.796678016,196,5.623,12.165,0.793720207,198,5.228,11.935,0.790662719,198,4.838 +11.525,0.79716753,188,5.949,11.885,0.794507772,189,6.977,11.735,0.791549963,189,7.191,11.535,0.788592154,189,6.89 +13.645,0.795893412,207,4.264,13.285,0.792835924,207,4.417,12.955,0.789779423,207,4.567,12.635,0.786820627,207,4.739 +15.805,0.795013077,213,5.811,15.385,0.791955588,213,5.929,15.085,0.788997779,213,6.018,14.835,0.785941278,213,6.146 +17.605,0.794329139,211,6.227,17.185,0.791271651,211,6.354,16.865,0.788215149,211,6.442,16.535,0.785256353,211,6.553 +19.045,0.794629164,218,8.726,18.685,0.791670368,218,8.894,18.335,0.788712559,218,9.016,18.035,0.785656057,218,9.15 +19.805,0.793646188,220,10.104,19.385,0.790687392,220,10.287,19.065,0.787728596,220,10.427,18.735,0.784770787,220,10.577 +20.205,0.792463854,221,11.076,19.785,0.789506045,222,11.305,19.455,0.786547249,222,11.453,19.135,0.783588453,222,11.628 +20.345,0.793056008,223,11.502,19.985,0.790098199,223,11.75,19.665,0.787139403,223,11.927,19.335,0.784180607,223,12.114 +20.245,0.79344979,223,11.155,19.885,0.790491981,223,11.384,19.565,0.787533185,224,11.552,19.235,0.784575376,224,11.727 +19.945,0.793547496,227,10.64,19.585,0.790589687,227,10.88,19.285,0.787630891,227,11.039,19.035,0.784673082,227,11.222 +19.485,0.795714779,210,9.896,19.185,0.79275697,210,10.367,18.885,0.789798174,210,10.693,18.535,0.786840365,210,11.004 +19.025,0.797882063,209,9.162,18.785,0.794924254,209,9.853,18.485,0.791966445,209,10.348,18.235,0.789007649,209,10.776 +17.945,0.798371577,206,7.447,17.885,0.79551246,208,8.459,17.685,0.792653343,209,9.253,17.535,0.789695534,209,9.923 +16.725,0.801128053,202,6.059,16.785,0.798367629,207,7.263,16.735,0.795509499,209,8.375,16.735,0.792650382,209,9.398 +15.725,0.801420183,205,5.959,16.085,0.79885813,211,7.095,16.105,0.796,214,8.01,16.135,0.793239576,214,8.813 +14.545,0.800036516,201,5.186,15.085,0.79747545,213,6.315,15.185,0.794715026,217,7.103,15.335,0.791955588,217,7.623 +13.425,0.801412287,228,5.652,14.085,0.798950901,239,6.759,14.165,0.796091784,244,7.497,14.235,0.793332346,244,8.069 +12.685,0.800621762,233,4.849,13.285,0.798159388,256,5.692,13.415,0.795301258,262,6.471,13.535,0.792639526,262,7.177 +11.945,0.801604737,220,4.442,12.785,0.799340735,251,4.911,12.955,0.796581298,259,5.396,13.135,0.79382186,259,5.888 +11.345,0.800124352,195,3.778,12.485,0.798057735,235,3.765,12.665,0.795298298,246,3.926,12.835,0.79253886,246,4.064 +10.425,0.800613866,177,4.829,12.285,0.798944979,194,4.012,12.415,0.796086849,205,3.216,12.535,0.793326425,205,2.677 +9.685,0.800315815,196,5.493,12.385,0.799142364,199,5.04,12.435,0.796283247,205,4.222,12.535,0.79352381,205,3.559 +10.525,0.799036763,210,4.224,12.535,0.797367876,219,3.923,12.435,0.794508759,222,3.532,12.335,0.791551937,222,3.242 +11.365,0.797758697,209,2.955,12.685,0.795693067,235,2.816,12.435,0.792735258,240,2.841,12.235,0.789678756,240,2.924 +11.545,0.798252159,189,1.616,12.685,0.796086849,217,1.443,12.415,0.793030348,222,1.351,12.135,0.790072539,222,1.348 +14.205,0.796683938,246,0.843,13.785,0.793527757,243,0.909,13.435,0.790471256,243,0.957,13.035,0.787413768,243,1.011 +15.805,0.793337281,185,1.686,15.385,0.790279793,186,1.888,15.035,0.787223291,187,2.032,14.735,0.784264495,187,2.151 +17.045,0.795608191,205,3.53,16.685,0.79255169,205,3.637,16.385,0.789592894,205,3.719,16.035,0.786635085,205,3.807 +18.705,0.795219344,216,6.733,18.285,0.792162842,216,6.878,17.935,0.789105354,216,6.974,17.535,0.786146558,216,7.098 +19.765,0.795124599,217,9.817,19.285,0.792067111,217,10.021,18.955,0.789108315,217,10.151,18.635,0.786051813,217,10.3 +19.905,0.794730817,217,11.423,19.485,0.791772021,217,11.671,19.135,0.788813225,217,11.818,18.835,0.785756723,217,11.985 +19.905,0.792660252,219,11.185,19.485,0.789602763,219,11.434,19.135,0.786644954,219,11.581,18.835,0.783686158,219,11.757 +19.805,0.79403997,225,10.58,19.385,0.791081174,225,10.801,19.065,0.788123365,225,10.95,18.735,0.785164569,225,11.113 +19.445,0.793742906,227,10.154,19.085,0.790686405,227,10.367,18.755,0.787727609,227,10.496,18.435,0.7847698,227,10.657 +18.845,0.794924254,215,9.162,18.635,0.792065137,216,9.704,18.355,0.789107328,216,10.082,18.035,0.786148532,216,10.419 +18.245,0.796204293,210,8.18,18.185,0.793345176,212,9.042,17.955,0.790387367,212,9.668,17.735,0.787527264,212,10.181 +17.405,0.800440168,214,6.743,17.585,0.79768073,216,7.599,17.385,0.794820627,216,8.208,17.235,0.791863805,216,8.674 +16.465,0.802605477,222,5.454,16.885,0.800044412,229,6.315,16.735,0.797086603,231,6.886,16.535,0.794226499,231,7.296 +15.805,0.8010264,226,5.146,16.585,0.798662719,242,5.682,16.435,0.795803602,245,6.057,16.335,0.792845793,245,6.364 +15.025,0.802404145,219,4.462,16.285,0.800337528,251,4.773,16.135,0.797379719,256,4.982,16.035,0.794521589,256,5.135 +14.245,0.802302492,206,4.839,15.985,0.800533925,236,4.526,15.865,0.797576116,241,4.39,15.735,0.794717,241,4.382 +13.205,0.802299531,193,4.978,15.785,0.8010264,204,4.328,15.685,0.798167283,207,3.857,15.535,0.795209474,207,3.658 +12.205,0.801211942,200,6.158,15.685,0.800532939,189,6.216,15.565,0.79757513,189,5.83,15.435,0.794716013,189,5.522 +11.625,0.802097212,200,6.911,15.285,0.801517888,184,7.382,15.205,0.798658771,184,7.103,15.135,0.795700962,184,6.701 +10.685,0.801896867,199,7.635,14.285,0.801317543,188,8.301,14.385,0.798458426,188,7.882,14.535,0.795698988,188,7.346 +9.925,0.80209129,193,8.637,12.985,0.801115223,189,9.339,13.285,0.798455465,190,8.73,13.535,0.79579472,190,7.94 +9.955,0.801204046,236,7.377,12.235,0.799732544,234,7.896,12.385,0.796973106,238,7.556,12.535,0.794213669,238,7.108 +9.985,0.800316802,238,6.118,11.485,0.798350851,255,6.443,11.485,0.795491735,260,6.392,11.535,0.792633605,260,6.275 +10.565,0.799135455,239,4.641,11.285,0.796674069,271,5.603,11.335,0.793815939,280,5.948,11.435,0.791055514,280,5.532 +12.485,0.799438441,275,2.747,12.185,0.796380952,277,2.905,12.065,0.79342413,279,3.127,11.935,0.790565014,279,3.39 +14.145,0.798261041,342,2.261,13.785,0.795203553,342,2.283,13.455,0.792147052,342,2.289,13.135,0.789089563,342,2.31 +15.205,0.79816531,330,2.251,14.785,0.795010116,329,2.263,14.455,0.79205132,329,2.269,14.135,0.788994819,329,2.28 +15.905,0.797083642,334,3.411,15.485,0.794026153,333,3.439,15.185,0.790969652,333,3.453,14.935,0.788011843,333,3.489 +16.645,0.796297064,339,3.233,16.285,0.793240563,339,3.261,15.955,0.790281767,339,3.275,15.635,0.787323958,339,3.311 +17.405,0.795511473,357,3.649,16.985,0.792453985,357,3.686,16.685,0.789496176,357,3.699,16.435,0.78653738,357,3.737 +18.045,0.796499383,14,3.689,17.685,0.793540587,14,3.735,17.365,0.790484086,14,3.758,17.035,0.78752529,14,3.797 +18.545,0.795021959,12,3.034,18.185,0.791965458,12,3.073,17.865,0.789006662,12,3.098,17.535,0.786048853,12,3.133 +18.845,0.796896126,16,2.687,18.485,0.793838638,16,2.728,18.185,0.790880829,16,2.742,17.935,0.78792302,16,2.786 +19.615,0.797785344,335,1.963,19.285,0.794827535,335,2.006,18.985,0.791868739,335,2.022,18.735,0.78891093,335,2.062 +20.385,0.798675549,55,1.239,20.085,0.795716753,52,1.285,19.785,0.792758944,51,1.302,19.535,0.789800148,51,1.338 +20.125,0.801138909,148,1.636,19.885,0.7981811,140,1.581,19.615,0.795222304,138,1.509,19.335,0.792264495,138,1.447 +19.605,0.803108808,186,4.541,19.785,0.800349371,179,4.467,19.535,0.797489267,178,4.321,19.335,0.794531458,178,4.183 +17.845,0.802709104,217,7.407,19.285,0.800840859,219,7.995,19.135,0.79788305,219,7.931,19.035,0.795023933,219,7.861 +15.425,0.805460646,238,9.331,15.785,0.802800888,245,11.048,15.985,0.800140143,248,12.607,16.235,0.797380706,248,14.057 +14.565,0.803289415,246,9.658,14.985,0.800728349,250,11.622,15.565,0.798167283,252,13.406,16.135,0.795703923,252,15.028 +14.385,0.803683198,255,9.519,14.985,0.801122132,260,11.582,15.465,0.798659758,262,13.377,15.935,0.796097705,262,14.88 +14.165,0.802401184,260,8.805,14.885,0.800037503,266,10.693,15.335,0.797476437,269,12.114,15.835,0.794914384,269,13.135 +14.185,0.803485813,259,8.061,15.085,0.801220824,269,9.774,15.505,0.798659758,273,10.792,15.935,0.796097705,273,11.182 +14.065,0.802794967,248,6.753,15.385,0.800729336,266,8.183,15.815,0.798167283,272,8.977,16.235,0.795606218,272,9.11 +13.745,0.805060943,220,5.285,15.185,0.803094004,252,5.732,15.635,0.800532939,262,6.096,16.035,0.797970886,262,6.285 +13.705,0.804371083,192,3.312,14.935,0.80220676,239,2.984,15.195,0.799546015,252,3.423,15.435,0.79688527,252,3.757 +13.665,0.803582531,159,1.339,14.685,0.801318529,240,0.237,14.765,0.798558105,332,0.75,14.835,0.795798668,332,1.239 +13.885,0.798851221,180,2.062,14.785,0.796587219,158,1.344,14.735,0.79362941,138,1.026,14.735,0.790869973,138,1.269 +15.945,0.796689859,136,3.659,15.585,0.793632371,136,3.795,15.285,0.790674562,135,3.926,15.035,0.787617074,135,4.074 +17.945,0.797286948,146,4.155,17.585,0.794230447,147,4.23,17.255,0.791271651,147,4.311,16.935,0.788215149,147,4.392 +20.605,0.795323958,172,5.255,20.185,0.792366149,173,5.366,19.885,0.789407353,173,5.465,19.535,0.786448557,173,5.571 +22.705,0.793753763,211,9.202,22.285,0.790696274,211,9.398,21.985,0.787837158,211,9.529,21.735,0.784878362,211,9.675 +22.945,0.79404984,217,11.235,22.585,0.791092031,217,11.463,22.255,0.788133235,217,11.611,21.935,0.785174439,217,11.777 +22.905,0.793753763,217,9.886,22.485,0.790795954,217,10.09,22.165,0.787837158,217,10.22,21.835,0.784879349,217,10.369 +22.945,0.793852455,219,9.202,22.585,0.790894646,219,9.378,22.255,0.78793585,219,9.49,21.935,0.785076733,219,9.616 +22.945,0.793656057,220,9.132,22.585,0.790697261,220,9.309,22.285,0.787739452,220,9.431,22.035,0.784879349,220,9.556 +22.845,0.794937084,229,9.4,22.485,0.791978288,229,9.606,22.165,0.789020479,229,9.737,21.835,0.786061683,229,9.883 +22.315,0.795723661,225,9.995,21.985,0.792765852,225,10.446,21.675,0.789905749,225,10.753,21.335,0.78694794,225,11.043 +21.785,0.796609919,234,10.59,21.485,0.793651123,234,11.286,21.185,0.790693314,234,11.779,20.935,0.78783321,234,12.203 +19.965,0.794829509,232,9.658,19.785,0.791970392,233,10.653,19.535,0.789011596,233,11.453,19.335,0.78615248,233,12.173 +18.065,0.798963731,234,8.865,17.885,0.796005922,235,9.902,17.665,0.793048113,236,10.782,17.435,0.790089317,236,11.598 +16.365,0.797084629,246,8.805,16.185,0.79412682,247,9.853,15.955,0.791267703,247,10.753,15.735,0.788309894,247,11.608 +15.105,0.797278066,241,8.171,14.985,0.794418949,243,9.23,14.785,0.79146114,244,10.161,14.535,0.788503331,244,11.073 +14.105,0.797570195,244,7.972,13.985,0.794711078,246,9.052,13.815,0.791753269,247,10.042,13.635,0.78879546,247,11.014 +13.445,0.797174439,231,6.257,13.385,0.794315322,237,7.204,13.235,0.791357513,239,8.05,13.035,0.788498396,239,8.872 +12.725,0.79796003,225,5.949,12.785,0.7951019,232,6.908,12.665,0.792242783,234,7.764,12.535,0.789284974,234,8.575 +12.065,0.797169504,227,6.227,12.185,0.794311374,233,7.244,12.115,0.791452258,236,8.099,12.035,0.788593141,236,8.892 +11.365,0.796772761,222,5.791,11.485,0.794013323,231,6.799,11.465,0.791154207,234,7.724,11.435,0.788296077,234,8.605 +10.485,0.797360967,202,6.425,10.785,0.794701209,213,7.501,10.835,0.791940785,217,8.346,10.935,0.789082655,217,9.1 +11.005,0.795588453,213,6.554,11.035,0.792730323,217,7.481,11.035,0.789871207,219,8.336,11.035,0.787013077,219,9.18 +11.525,0.793717246,210,6.683,11.285,0.790759437,212,7.471,11.235,0.787802615,213,8.336,11.235,0.784943499,213,9.249 +13.245,0.794413027,222,8.369,12.885,0.791356526,223,8.805,12.565,0.788299038,223,9.204,12.235,0.785242536,223,9.606 +14.845,0.792150999,226,10.848,14.485,0.789094498,226,11.118,14.165,0.786135702,226,11.335,13.835,0.783079201,226,11.589 +15.945,0.792844806,231,10.768,15.585,0.789788305,231,10.989,15.235,0.786730817,231,11.147,14.835,0.783772021,231,11.331 +16.805,0.791566741,228,10.104,16.385,0.788509252,229,10.287,16.065,0.785550456,229,10.417,15.735,0.782592647,229,10.577 +17.705,0.789795213,233,10.947,17.285,0.786737725,234,11.147,16.935,0.783680237,234,11.285,16.535,0.780722428,234,11.44 +18.405,0.791176906,236,9.896,17.985,0.788119418,237,10.07,17.635,0.785161609,237,10.19,17.335,0.782202813,237,10.33 +18.905,0.790882803,245,9.826,18.485,0.787825315,245,10.011,18.165,0.784867506,245,10.121,17.835,0.78190871,245,10.25 +19.305,0.791278559,251,8.736,18.885,0.788221071,252,8.874,18.565,0.785263262,252,8.977,18.235,0.782304466,252,9.09 +19.605,0.791378238,254,8.894,19.185,0.78832075,254,9.062,18.865,0.785362941,255,9.145,18.535,0.782404145,255,9.269 +19.705,0.792068098,257,8.756,19.285,0.789011596,257,8.914,18.955,0.7860528,257,9.016,18.635,0.783094991,257,9.13 +19.055,0.792854676,250,8.746,18.785,0.789896867,251,9.24,18.505,0.786939057,251,9.608,18.235,0.783980262,251,9.923 +18.405,0.793542561,248,8.726,18.285,0.790683444,249,9.576,18.065,0.787824328,249,10.21,17.835,0.784866519,249,10.726 +17.225,0.796792499,251,8.587,17.285,0.793933383,252,9.704,17.115,0.791074266,253,10.565,16.935,0.788215149,253,11.291 +15.765,0.797969899,241,9.331,15.885,0.795210461,243,10.703,15.785,0.792351345,244,11.818,15.735,0.789493215,244,12.788 +14.625,0.798262028,248,9.539,14.685,0.795502591,250,10.871,14.585,0.792544782,251,12.025,14.535,0.789685665,251,13.115 +14.025,0.799837158,255,9.489,14.085,0.79707772,256,10.791,14.005,0.794119911,257,11.907,13.935,0.791260794,257,12.947 +13.625,0.798751542,279,9.856,13.685,0.795893412,279,11.167,13.665,0.793034296,279,12.311,13.635,0.790274858,279,13.403 +13.265,0.800130274,300,9.063,13.385,0.797370836,303,10.475,13.385,0.794512707,304,11.68,13.435,0.791752282,304,12.798 +12.505,0.798649889,313,8.131,12.685,0.795890452,318,9.586,12.705,0.793130027,320,10.753,12.735,0.790271897,320,11.727 +11.645,0.799927955,312,7.496,11.885,0.797267209,319,8.805,11.935,0.79440908,322,9.756,12.035,0.79155095,322,10.449 +10.745,0.800221071,319,7.169,10.985,0.797560326,326,8.4,11.035,0.794702196,330,9.184,11.035,0.791843079,330,9.725 +10.085,0.800514187,332,6.237,10.385,0.797853442,344,7.263,10.335,0.79489662,349,7.882,10.335,0.792037503,349,8.287 +9.755,0.799428571,359,5.295,9.935,0.796669134,8,6.285,9.835,0.793712312,11,7.034,9.735,0.790754503,11,7.633 +9.425,0.798343943,16,4.343,9.485,0.795484826,23,5.317,9.335,0.792527017,26,6.185,9.235,0.789471503,26,6.989 +9.885,0.795979275,10,3.153,9.585,0.792922773,11,3.241,9.285,0.789865285,12,3.344,9.035,0.786808784,12,3.46 +10.445,0.797755736,353,2.588,10.085,0.794698248,353,2.629,9.785,0.791641747,353,2.664,9.535,0.788585245,353,2.706 +11.405,0.796378979,349,2.955,10.985,0.79332149,348,2.994,10.665,0.790264989,348,3.028,10.335,0.787108808,348,3.073 +12.505,0.795889465,351,2.826,12.085,0.792831976,351,2.866,11.755,0.789775475,351,2.89,11.435,0.786718974,351,2.934 +13.445,0.795103874,327,4.016,13.085,0.792047372,327,4.081,12.755,0.788989884,327,4.123,12.435,0.785933383,327,4.183 +14.445,0.795896373,324,4.432,14.085,0.792838885,324,4.487,13.755,0.789782383,324,4.548,13.435,0.786724895,324,4.62 +15.445,0.795110782,333,5.245,15.085,0.792053294,332,5.327,14.755,0.789095485,332,5.376,14.435,0.786037997,332,5.452 +16.345,0.796197385,347,6.792,15.985,0.793140883,346,6.898,15.665,0.790182087,346,6.974,15.335,0.787125586,346,7.088 +16.945,0.794523563,341,6.178,16.585,0.791467061,341,6.275,16.285,0.788509252,341,6.353,16.035,0.785550456,341,6.463 +17.385,0.796989884,340,5.721,17.085,0.794031088,340,5.831,16.755,0.790974587,340,5.909,16.435,0.788016778,340,5.997 +18.305,0.798471256,340,4.938,18.035,0.795513447,340,5.168,17.735,0.792554651,340,5.327,17.435,0.78949815,340,5.472 +19.225,0.799952628,349,4.155,18.985,0.796994819,349,4.496,18.715,0.79403701,349,4.745,18.435,0.791078214,349,4.947 +18.865,0.80221959,349,3.679,18.685,0.799359487,350,4.131,18.435,0.796401678,351,4.488,18.235,0.793443869,351,4.778 +18.665,0.804979028,317,1.963,18.485,0.802021219,333,2.322,18.265,0.799062423,337,2.693,18.035,0.796203306,337,3.043 +18.165,0.804385887,277,3.183,18.285,0.801625463,303,3.32,18.065,0.798667654,309,3.492,17.835,0.795709845,309,3.658 +17.845,0.807046632,265,2.985,18.085,0.8043849,301,2.955,17.855,0.801328399,308,2.999,17.635,0.79837059,308,3.063 +17.525,0.806946953,285,3.937,17.885,0.8043849,314,3.973,17.685,0.801427091,320,3.966,17.535,0.798469282,320,3.965 +17.185,0.807832223,284,4.363,17.785,0.805369849,313,4.22,17.565,0.80241204,319,4.064,17.335,0.799355539,319,3.985 +16.885,0.807042684,288,4.046,17.485,0.804481619,320,4.002,17.265,0.80152381,325,3.956,17.035,0.798566,325,3.985 +16.525,0.807041698,310,4.918,16.885,0.804380952,331,5.238,16.685,0.801423143,335,5.376,16.535,0.798465334,335,5.423 +15.545,0.8060528,322,5.216,15.785,0.803293363,339,5.653,15.665,0.800434246,343,5.81,15.535,0.797476437,343,5.809 +14.785,0.807331853,326,3.827,14.785,0.804472736,349,3.933,14.785,0.801614606,356,4.005,14.835,0.79875549,356,4.005 +14.245,0.803978288,323,3.847,14.635,0.801417222,341,3.765,14.615,0.798459413,346,3.611,14.535,0.795698988,346,3.579 +13.705,0.800624722,303,3.867,14.485,0.798262028,340,3.587,14.435,0.795402911,351,3.206,14.435,0.792544782,351,3.152 +14.005,0.800133235,296,4.065,14.185,0.79747249,312,3.943,14.235,0.794613373,322,3.413,14.335,0.791853935,322,2.736 +15.745,0.799054528,46,1.943,15.385,0.795998026,47,1.996,15.085,0.79303923,48,2.072,14.835,0.789982729,48,2.151 +17.505,0.79866568,56,1.477,17.085,0.795609178,55,1.492,16.755,0.79255169,54,1.509,16.435,0.789593881,54,1.537 +18.805,0.800740192,5,1.299,18.385,0.797682704,5,1.304,18.065,0.794626203,4,1.332,17.735,0.791667407,4,1.348 +19.645,0.797391562,340,2.648,19.285,0.794432766,339,2.688,18.955,0.791376264,339,2.723,18.635,0.788417469,339,2.766 +20.805,0.79700074,329,2.826,20.385,0.793943252,328,2.876,20.065,0.790985443,328,2.93,19.735,0.788026647,328,2.974 +21.745,0.795426598,358,2.776,21.385,0.792369109,357,2.807,21.065,0.789509993,357,2.831,20.735,0.786551197,357,2.865 +22.445,0.796118431,29,3.778,22.085,0.793160622,28,3.815,21.755,0.790201826,28,3.837,21.435,0.78724303,28,3.866 +22.845,0.794247224,37,4.214,22.485,0.791288428,37,4.239,22.165,0.788428325,37,4.262,21.835,0.785470516,37,4.302 +20.745,0.797986677,230,9.47,20.385,0.795027881,231,9.734,20.065,0.791971379,231,9.954,19.735,0.789012583,231,10.191 +21.955,0.799074266,318,6.594,21.685,0.796215149,318,6.769,21.425,0.793256353,318,6.826,21.135,0.790397237,318,6.88 +23.165,0.800261535,215,3.728,22.985,0.797401431,227,3.795,22.785,0.794542314,231,3.709,22.535,0.791683198,231,3.569 +20.925,0.800254626,204,5.821,20.685,0.79729583,209,6.512,20.785,0.794536393,212,6.57,20.835,0.791874661,212,6.007 +17.365,0.801721194,210,8.736,17.185,0.798763385,212,10.1,17.885,0.796399704,214,10.486,18.535,0.794036023,214,9.923 +18.145,0.801230693,220,8.647,18.685,0.79876832,229,10.623,19.285,0.796305946,234,11.956,19.835,0.793941278,234,12.52 +18.745,0.804781643,237,7.05,18.985,0.802120898,244,8.42,19.135,0.799361461,246,9.815,19.335,0.796700716,246,11.222 +18.185,0.80379472,272,4.591,18.185,0.801034296,271,5.455,18.135,0.798175179,271,6.422,18.035,0.795316062,271,7.475 +17.085,0.802903528,280,4.938,17.085,0.800044412,283,5.524,16.935,0.797185295,284,6.126,16.835,0.794227486,284,6.791 +15.945,0.802702196,262,5.315,16.185,0.800041451,273,6.176,16.385,0.797282013,277,6.945,16.535,0.794621268,277,7.673 +15.045,0.803685171,247,6.544,15.285,0.801024426,257,7.53,15.815,0.798562053,263,8.356,16.335,0.796000987,263,9.11 +15.605,0.802208734,252,6.217,16.085,0.799745374,266,7.343,16.785,0.797283987,272,8.277,17.535,0.794920306,272,9.061 +16.385,0.804281273,262,6.336,16.985,0.801720207,280,7.481,17.285,0.799158154,285,8.434,17.535,0.796497409,285,9.18 +16.825,0.803592401,278,6.068,17.335,0.801031335,294,7.135,17.445,0.79837059,299,7.882,17.535,0.795610165,299,8.307 +17.265,0.803002221,302,5.811,17.685,0.800342462,319,6.789,17.615,0.797483346,324,7.33,17.535,0.794624229,324,7.445 +17.325,0.800242783,315,3.252,17.385,0.797482359,335,4.091,17.385,0.794624229,342,4.508,17.435,0.791863805,342,4.491 +19.005,0.799557858,323,2.33,18.585,0.79650037,324,2.401,18.285,0.793542561,325,2.476,18.035,0.790584752,325,2.558 +20.905,0.796902048,340,3.064,20.485,0.793845547,340,3.093,20.165,0.790886751,340,3.137,19.835,0.787928942,340,3.192 +22.705,0.797597829,3,2.638,22.285,0.794639033,2,2.648,21.935,0.791681224,2,2.673,21.535,0.788722428,2,2.696 +23.705,0.797699482,354,2.747,23.285,0.794741673,354,2.777,22.935,0.791782877,354,2.782,22.535,0.788824081,354,2.805 +24.265,0.795926968,2,3.58,23.785,0.792869479,2,3.617,23.455,0.78991167,2,3.64,23.135,0.787051567,2,3.668 +25.005,0.795732544,353,3.599,24.585,0.792773748,353,3.647,24.255,0.789814952,353,3.66,23.935,0.786955835,353,3.698 +25.605,0.7961283,346,4.442,25.185,0.793169504,345,4.496,24.835,0.790211695,345,4.528,24.535,0.787252899,345,4.57 +26.005,0.795932889,334,5.156,25.585,0.792974093,334,5.238,25.255,0.790015297,334,5.278,24.935,0.787155194,334,5.333 +26.045,0.796820133,317,6.277,25.685,0.793861337,317,6.364,25.365,0.791001234,317,6.422,25.035,0.788043425,317,6.493 +25.485,0.798099186,324,5.117,25.185,0.795141377,324,5.307,24.895,0.792281273,324,5.426,24.635,0.789422156,324,5.532 +24.925,0.799379225,297,3.956,24.685,0.796520109,300,4.239,24.435,0.793561313,301,4.419,24.235,0.790702196,301,4.56 +24.745,0.802928201,254,3.262,24.685,0.800167777,271,3.4,24.435,0.797208981,275,3.492,24.235,0.794349864,275,3.569 +23.405,0.804402665,228,5.117,24.185,0.802038983,249,5.346,24.065,0.799278559,254,5.278,23.935,0.796419442,254,5.195 +21.805,0.80212978,227,6.921,22.885,0.800063163,244,7.629,23.035,0.797402418,250,7.616,23.235,0.794740686,250,7.167 +20.545,0.805280039,236,6.653,21.085,0.802817666,251,7.797,21.505,0.800354305,256,8.513,21.935,0.79779324,256,8.714 +19.545,0.804291142,258,8.091,19.785,0.801630397,261,9.27,20.535,0.799266716,263,9.894,21.335,0.796904022,263,10.052 +19.105,0.803304219,254,6.753,19.285,0.800544782,259,7.758,20.115,0.798279793,262,8.178,20.935,0.796015791,262,8.178 +18.865,0.803796694,270,6.257,19.285,0.801234641,275,7.501,19.955,0.798772267,278,8.158,20.635,0.796408586,278,8.317 +18.925,0.804190476,272,5.85,19.585,0.801826795,286,6.977,20.035,0.799265729,291,7.596,20.535,0.796703676,291,7.703 +18.965,0.803698001,275,5.92,19.985,0.801532692,298,6.878,20.265,0.798871947,306,7.241,20.535,0.796309894,306,7.009 +18.865,0.80507772,273,6.564,20.185,0.80301209,301,7.066,20.335,0.800351345,309,6.935,20.535,0.79759092,309,6.295 +19.395,0.802910437,290,5.949,20.235,0.800646435,307,6.839,20.325,0.79788601,312,7.053,20.435,0.795126573,312,6.563 +19.925,0.800842832,306,5.335,20.285,0.79828078,320,6.601,20.315,0.795520355,324,7.162,20.335,0.792759931,324,6.84 +20.025,0.79887096,316,3.451,19.785,0.796011843,319,3.903,19.765,0.793152726,322,4.508,19.735,0.790392302,322,5.204 +21.045,0.79857883,329,2.3,20.685,0.795521342,329,2.342,20.385,0.792563533,329,2.358,20.035,0.78970343,329,2.379 +23.245,0.796613866,11,1.021,22.885,0.79365507,11,0.998,22.585,0.790697261,11,0.957,22.335,0.787739452,11,0.922 +24.545,0.796322724,30,1.249,24.185,0.79346262,30,1.235,23.865,0.790503824,30,1.204,23.535,0.787546015,30,1.18 +25.585,0.796325685,42,1.874,25.285,0.793465581,43,1.858,24.955,0.790507772,43,1.825,24.635,0.787647668,43,1.804 +26.345,0.794750555,38,3.48,25.985,0.791791759,38,3.498,25.665,0.788932642,39,3.492,25.335,0.786072539,39,3.489 +26.545,0.792582285,43,3.847,26.185,0.789623489,43,3.884,25.915,0.786764372,43,3.916,25.635,0.783904268,43,3.955 +26.245,0.792976067,15,1.15,25.885,0.790017271,15,1.166,25.635,0.787158154,15,1.194,25.435,0.784298051,15,1.209 +23.305,0.792079941,266,1.715,22.885,0.789121145,267,1.779,22.985,0.786459413,268,1.835,23.035,0.783797681,268,1.913 +21.645,0.792271404,225,3.52,21.285,0.789214903,226,3.666,21.315,0.78655317,226,3.828,21.335,0.783792746,226,4.064 +22.875,0.794641007,235,4.184,22.635,0.79178189,236,4.575,22.625,0.789021466,238,4.972,22.635,0.786359734,238,5.403 +24.105,0.797109302,228,4.849,23.985,0.794348877,236,5.495,23.935,0.791588453,239,6.106,23.935,0.788828029,239,6.741 +24.205,0.802728843,221,5.454,24.385,0.800068098,233,6.206,24.435,0.797307673,238,6.886,24.535,0.794645941,238,7.504 +23.485,0.802726869,241,7.863,23.785,0.800164816,247,9.092,23.785,0.797404392,250,10.111,23.835,0.794643967,250,10.944 +22.165,0.800159882,251,9.866,22.285,0.79749815,254,11.355,22.205,0.794639033,255,12.706,22.135,0.791878608,255,13.968 +20.825,0.803901308,247,10.372,20.885,0.801140883,250,11.888,20.915,0.798381446,252,13.327,20.935,0.795621021,252,14.741 +20.285,0.802124846,257,10.54,20.285,0.799365408,260,12.096,20.415,0.796604984,261,13.613,20.535,0.793944239,261,15.147 +20.065,0.802419936,263,9.717,20.185,0.799660498,265,11.216,20.285,0.796998766,267,12.627,20.335,0.794239329,267,13.997 +19.965,0.802716013,267,9.232,20.085,0.799955588,270,10.574,20.185,0.797196151,271,11.798,20.335,0.794534419,271,12.986 +19.565,0.802320257,270,8.547,19.685,0.799559832,272,9.823,19.835,0.796800395,273,10.999,20.035,0.79413965,273,12.163 +19.085,0.80261436,292,5.067,19.085,0.799853935,291,5.939,19.085,0.796994819,290,6.767,19.035,0.794235381,290,7.574 +18.605,0.804288182,338,2.648,18.485,0.801330373,332,3.281,18.335,0.798471256,330,3.837,18.235,0.795514434,330,4.283 +19.265,0.803501604,335,2.737,19.085,0.800543795,338,3.222,18.895,0.797684678,338,3.699,18.735,0.794726869,338,4.144 +19.925,0.802715026,308,2.826,19.685,0.799757217,319,3.172,19.455,0.7968981,322,3.561,19.235,0.793940291,322,3.995 +19.885,0.79887096,281,2.459,19.585,0.795912164,291,2.807,19.435,0.793053047,297,3.305,19.335,0.79019393,297,3.916 +21.245,0.798382433,327,3.827,20.885,0.795423637,328,3.913,20.565,0.792465828,328,3.985,20.235,0.789507032,328,4.084 +22.505,0.796414508,315,2.786,22.085,0.793455712,315,2.807,21.755,0.790497903,315,2.831,21.435,0.787539107,315,2.855 +23.805,0.796714532,326,3.084,23.385,0.793755736,326,3.113,23.035,0.790796941,326,3.147,22.735,0.787839132,326,3.182 +24.905,0.795535159,328,2.826,24.485,0.792576363,327,2.866,24.135,0.789617567,327,2.89,23.835,0.786659758,327,2.924 +25.705,0.795044658,354,2.826,25.285,0.792085862,353,2.846,24.935,0.789127066,353,2.871,24.535,0.786266963,353,2.885 +26.505,0.795244017,327,1.358,26.085,0.792285221,325,1.374,25.735,0.789425117,325,1.391,25.335,0.786466321,325,1.418 +26.445,0.79494794,282,2.201,26.085,0.792087836,283,2.233,25.755,0.789130027,283,2.249,25.435,0.786269924,283,2.28 +23.705,0.7940528,260,3.173,23.285,0.791094004,261,3.32,23.315,0.78833358,262,3.512,23.335,0.785671848,262,3.826 +22.505,0.793654083,233,4.829,22.085,0.790695287,234,5.109,21.935,0.787836171,234,5.396,21.835,0.785075746,234,5.71 +22.535,0.795922033,259,3.758,22.235,0.792963237,259,3.913,22.195,0.790202813,259,4.064,22.135,0.787442388,259,4.243 +22.565,0.798188996,209,2.687,22.385,0.795329879,218,2.718,22.455,0.792569455,224,2.733,22.535,0.78990871,224,2.776 +23.585,0.800558599,209,4.016,23.885,0.797996546,223,4.052,23.735,0.795136442,227,3.985,23.535,0.792376018,227,3.965 +23.205,0.801542561,218,7.001,24.285,0.799475944,231,7.58,24.115,0.796616827,234,7.793,23.935,0.79375771,234,8.01 +21.545,0.800946459,231,8.537,22.385,0.798681471,241,9.833,22.365,0.795921046,245,10.763,22.335,0.793160622,245,11.489 +19.765,0.800545769,241,9.47,20.185,0.797984703,248,11.048,20.485,0.79542265,250,12.518,20.835,0.792860597,250,13.918 +19.025,0.801727116,250,9.945,19.385,0.799165063,254,11.582,19.815,0.79660301,256,13.11,20.235,0.794041944,256,14.543 +18.825,0.801430052,256,9.46,19.185,0.798868986,261,11.068,19.685,0.796405625,263,12.538,20.235,0.79384456,263,13.908 +18.765,0.802119911,258,8.746,19.185,0.799558845,264,10.258,19.815,0.797096472,266,11.611,20.435,0.794732791,266,12.818 +18.645,0.800444115,265,9.192,19.185,0.797981742,270,10.742,19.935,0.795618061,273,11.996,20.735,0.793353072,273,13.036 +18.165,0.800738219,276,7.853,18.585,0.798177153,279,9.329,19.355,0.795813472,282,10.772,20.135,0.793548483,282,12.193 +17.565,0.801820873,300,6.277,17.985,0.799258821,302,7.629,18.515,0.796796447,303,8.967,19.035,0.794333087,303,10.31 +18.155,0.801329386,308,6.356,18.635,0.798867012,316,7.629,18.835,0.796107575,319,8.671,19.035,0.79344683,319,9.596 +18.745,0.800838885,323,6.425,19.285,0.798375524,334,7.639,19.165,0.795516408,337,8.375,19.035,0.792657291,337,8.892 +19.025,0.795023933,329,4.046,18.785,0.792163829,333,4.605,18.685,0.789304713,335,5.376,18.535,0.786445596,335,6.255 +20.045,0.797293856,325,4.303,19.685,0.794336047,325,4.457,19.365,0.791377251,326,4.607,19.035,0.788418455,326,4.778 +21.145,0.794833457,320,3.5,20.785,0.791775968,320,3.538,20.455,0.788818159,320,3.581,20.135,0.785958056,320,3.628 +22.145,0.79454034,303,3.322,21.785,0.791581545,303,3.36,21.455,0.788722428,303,3.364,21.135,0.785763632,303,3.4 +23.145,0.792966198,293,3.58,22.785,0.790106094,293,3.617,22.455,0.787148285,293,3.64,22.135,0.784189489,293,3.668 +24.045,0.79158944,314,2.826,23.685,0.788729336,314,2.856,23.385,0.78577054,314,2.861,23.035,0.782911424,314,2.885 +25.045,0.791986183,344,3.064,24.685,0.789027387,344,3.093,24.365,0.78616827,344,3.107,24.035,0.783209474,344,3.123 +25.745,0.791100913,346,4.968,25.385,0.788143104,346,5.02,25.065,0.785283,346,5.051,24.735,0.782422897,346,5.105 +26.245,0.792088823,343,5.047,25.885,0.789130027,343,5.119,25.565,0.786269924,343,5.159,25.235,0.783410807,343,5.204 +26.285,0.794158401,323,5.295,25.985,0.791299284,323,5.406,25.685,0.788439181,323,5.455,25.435,0.785481372,323,5.522 +26.925,0.795442388,322,6.356,26.685,0.792680977,323,6.829,26.415,0.789723168,323,7.172,26.135,0.786863064,323,7.415 +27.565,0.796824081,323,7.417,27.385,0.793964964,326,8.252,27.135,0.791105848,326,8.878,26.935,0.788344436,326,9.299 +25.045,0.797309647,266,5.682,24.985,0.794549223,276,6.236,24.965,0.791788798,280,6.688,24.935,0.789028374,280,7.118 +21.465,0.799368369,232,9.142,21.285,0.796509252,235,10.159,21.455,0.793848507,237,11.068,21.635,0.791186775,237,11.886 +21.005,0.796508265,247,9.608,21.185,0.79384752,254,10.959,21.485,0.791285468,257,12.144,21.835,0.788723415,257,13.185 +20.485,0.800055268,249,8.359,20.785,0.797394523,258,9.704,21.185,0.794932149,262,10.861,21.535,0.792370096,262,11.886 +19.005,0.798670614,252,6.862,19.185,0.796009869,262,8.094,19.565,0.793447816,265,9.263,19.935,0.790885764,265,10.409 +18.105,0.798667654,251,4.74,18.285,0.795908216,271,5.574,18.335,0.793148779,277,6.491,18.435,0.790388354,277,7.455 +18.005,0.799456205,267,5.067,18.185,0.796696768,288,5.949,18.185,0.793936343,294,6.876,18.235,0.791176906,294,7.762 +17.465,0.799651616,294,7.427,17.585,0.796892179,302,8.558,17.535,0.794131754,304,9.539,17.535,0.791272638,304,10.409 +15.805,0.799251912,301,9.023,15.985,0.796492475,305,10.594,16.215,0.79383173,308,11.907,16.435,0.791170984,308,12.986 +14.845,0.799544041,305,9.42,15.085,0.796884283,310,11.147,15.435,0.79432223,313,12.301,15.835,0.791661485,313,12.947 +14.865,0.79875549,301,8.349,14.985,0.795996052,308,9.961,15.375,0.793434986,311,11.236,15.735,0.790872934,311,12.183 +14.885,0.797967925,302,7.288,14.885,0.795108808,309,8.775,15.315,0.792546755,314,10.171,15.735,0.78998569,314,11.42 +16.285,0.796197385,298,5.295,15.985,0.793140883,300,5.603,15.865,0.790281767,302,6.008,15.735,0.78742265,302,6.523 +18.045,0.797879102,330,5.146,17.685,0.794822601,330,5.317,17.365,0.791863805,331,5.455,17.035,0.788905009,331,5.611 +19.445,0.794630151,329,4.224,19.085,0.791573649,329,4.289,18.755,0.788614853,329,4.321,18.435,0.785657044,329,4.382 +20.805,0.794733777,320,4.68,20.385,0.791774981,320,4.724,20.065,0.788816186,320,4.775,19.735,0.785858377,320,4.828 +22.005,0.795131508,311,4.69,21.585,0.792172712,310,4.743,21.255,0.789214903,310,4.775,20.935,0.786256107,310,4.828 +23.105,0.794345917,322,4.413,22.685,0.791387121,321,4.457,22.365,0.788429312,321,4.488,22.035,0.785470516,321,4.52 +24.465,0.793956082,319,3.064,23.985,0.790898594,317,3.093,23.665,0.788039477,316,3.117,23.335,0.785080681,316,3.142 +24.965,0.795041698,315,3.421,24.485,0.792082902,313,3.469,24.165,0.789125093,313,3.492,23.835,0.786264989,313,3.529 +24.945,0.794154453,294,5.543,24.585,0.791196644,294,5.633,24.255,0.788237849,294,5.682,23.935,0.785377745,294,5.75 +24.145,0.795926968,292,8.081,23.785,0.792968172,292,8.222,23.485,0.790010363,292,8.316,23.235,0.787150259,292,8.416 +23.995,0.797405379,287,6.832,23.785,0.794446583,287,7.254,23.535,0.791587466,287,7.547,23.335,0.788728349,287,7.772 +23.845,0.798785097,309,5.583,23.785,0.796024673,310,6.275,23.585,0.793164569,310,6.767,23.435,0.790305453,310,7.128 +23.285,0.800162842,242,2.667,23.285,0.797402418,266,2.619,23.165,0.794543301,274,2.644,23.035,0.791782877,274,2.696 +19.825,0.802321244,231,7.179,20.185,0.799759191,235,8.084,20.585,0.797197138,237,8.05,21.035,0.794733777,237,7.256 +18.585,0.801331359,232,7.655,19.185,0.798868986,239,9.092,19.585,0.796306933,243,9.894,20.035,0.79374488,243,10.082 +17.785,0.802610412,242,7.774,18.085,0.799949667,247,9.032,18.415,0.797387614,250,10.19,18.735,0.794825561,250,11.291 +17.365,0.803693067,253,7.367,17.485,0.800933629,256,8.479,17.565,0.798173205,258,9.529,17.635,0.795413768,258,10.558 +16.685,0.801916605,274,7.119,16.685,0.799057488,275,8.133,16.615,0.796198372,276,9.046,16.535,0.793340242,276,9.923 +15.745,0.803095978,264,4.879,15.685,0.800139156,271,5.583,15.585,0.797280039,273,6.274,15.535,0.794420923,273,6.999 +15.265,0.803488774,231,8.299,15.685,0.800927708,239,9.349,15.935,0.79816827,243,9.845,16.235,0.795507525,243,9.973 +16.265,0.802801875,224,8.032,17.585,0.800834937,231,8.439,17.385,0.797877128,232,8.286,17.235,0.794919319,232,8.159 +16.425,0.803591414,219,5.027,17.685,0.801525783,215,5.06,17.565,0.798666667,213,4.873,17.435,0.79580755,213,4.758 +16.385,0.800830989,252,4.839,17.585,0.798666667,246,4.753,17.545,0.795906242,244,4.429,17.535,0.793047126,244,4.173 +16.345,0.797971873,241,4.641,17.485,0.79580755,252,4.457,17.535,0.793047126,254,3.976,17.535,0.790286701,254,3.589 +17.205,0.797383666,214,2.499,17.385,0.794624229,242,2.767,17.455,0.791962497,253,2.742,17.535,0.789203059,253,2.449 +19.745,0.799560819,306,1.061,19.385,0.796602023,304,1.107,19.065,0.793643227,304,1.154,18.735,0.790586726,304,1.209 +20.945,0.796409573,298,0.992,20.585,0.793450777,295,1.028,20.255,0.790492968,294,1.056,19.935,0.787534172,294,1.1 +22.145,0.796512213,317,2.439,21.785,0.793553417,316,2.48,21.455,0.790595608,315,2.506,21.135,0.787636812,315,2.548 +23.105,0.795331853,354,3.778,22.685,0.792274365,353,3.815,22.365,0.789415248,352,3.837,22.035,0.786456452,352,3.876 +23.805,0.79474266,11,4.125,23.385,0.791783864,10,4.18,23.065,0.788826055,10,4.202,22.735,0.785965951,10,4.243 +23.545,0.794051813,18,2.995,23.185,0.791093018,17,3.034,22.935,0.788233901,17,3.068,22.735,0.785374784,17,3.113 +23.645,0.794150506,89,0.813,23.285,0.791192697,87,0.81,23.015,0.788332593,87,0.809,22.735,0.785473476,87,0.803 +20.705,0.793056995,201,2.35,20.285,0.790098199,201,2.441,20.285,0.787338761,201,2.525,20.335,0.784578337,201,2.627 +19.405,0.792560572,217,4.065,18.985,0.789601776,217,4.348,19.265,0.786941031,217,4.735,19.535,0.784378979,217,5.264 +20.145,0.793745867,210,8.24,19.785,0.790787071,211,8.607,19.895,0.788126326,212,9.007,20.035,0.785365902,212,9.487 +20.885,0.794931162,212,12.405,20.585,0.791972366,212,12.877,20.535,0.789211942,212,13.288,20.535,0.786452504,212,13.7 +16.945,0.796396743,199,14.179,16.585,0.793438934,199,14.912,16.535,0.790579817,199,15.567,16.535,0.787720701,199,16.297 +15.865,0.799251912,201,13.505,15.685,0.796294103,202,14.675,16.065,0.793733037,203,15.675,16.435,0.791170984,203,16.605 +14.365,0.798655811,206,12.236,14.185,0.795698001,206,14.013,14.935,0.79333432,206,15.902,15.735,0.790971626,206,17.864 +13.305,0.800327659,212,12.147,13.185,0.797468542,213,13.904,13.615,0.794808784,214,15.557,14.035,0.792247718,214,17.16 +14.025,0.800528004,225,11.82,14.085,0.797668887,228,13.41,14.415,0.795009129,229,14.896,14.735,0.792447076,229,16.327 +15.565,0.80132149,245,11.701,15.685,0.798561066,248,13.213,15.865,0.795801628,249,14.58,16.035,0.793140883,249,15.911 +16.325,0.801718233,256,11.879,16.385,0.798957809,258,13.46,16.565,0.796198372,259,14.896,16.735,0.793537626,259,16.277 +16.405,0.801718233,265,11.393,16.585,0.798958796,267,13.124,17.065,0.796495435,268,14.758,17.535,0.79393437,268,16.327 +16.585,0.800831976,274,10.788,16.885,0.798171231,278,12.718,17.455,0.795708858,280,14.472,18.035,0.793246484,280,16.03 +16.505,0.801816926,288,9.41,16.985,0.799354552,293,11.355,17.315,0.796693807,295,12.923,17.635,0.794131754,295,14.176 +16.735,0.801226746,303,7.893,17.035,0.798566,308,9.675,17.245,0.795905255,310,11.167,17.435,0.79324451,310,12.362 +16.965,0.800536886,310,6.366,17.085,0.797777449,315,7.995,17.185,0.795018011,318,9.411,17.335,0.792356279,318,10.538 +18.045,0.798865038,317,4.76,17.685,0.795906242,318,5.099,17.435,0.792948433,319,5.495,17.235,0.789990624,319,5.988 +19.245,0.796995806,322,3.937,18.885,0.79403701,322,4.032,18.565,0.790980508,322,4.133,18.235,0.788021712,322,4.253 +20.545,0.797098446,309,3.461,20.185,0.79413965,309,3.518,19.835,0.791181841,309,3.571,19.535,0.788223045,309,3.638 +21.945,0.795426598,318,3.302,21.585,0.792468789,318,3.35,21.255,0.789509993,317,3.384,20.935,0.786552184,317,3.44 +23.305,0.79533284,328,3.927,22.885,0.792374044,327,3.992,22.565,0.789415248,326,4.015,22.235,0.786457439,326,4.064 +24.265,0.793758697,334,4.958,23.785,0.790701209,333,5.03,23.455,0.787841105,333,5.09,23.135,0.784883296,333,5.155 +24.965,0.793859363,342,5.731,24.485,0.790900567,342,5.821,24.165,0.787941772,341,5.87,23.835,0.785081668,341,5.938 +25.405,0.794846287,352,5.93,24.985,0.791887491,352,6.018,24.635,0.788928695,352,6.057,24.335,0.786069578,352,6.126 +25.505,0.794747594,352,6.614,25.085,0.791789785,352,6.72,24.755,0.788929682,352,6.787,24.435,0.785970886,352,6.86 +25.545,0.795733531,344,6.267,25.185,0.792775722,343,6.384,24.865,0.789915618,343,6.442,24.535,0.786956822,343,6.523 +25.315,0.797508019,325,3.827,24.985,0.794549223,324,3.903,24.685,0.791689119,324,3.956,24.435,0.78873131,324,4.025 +25.085,0.799182828,277,1.388,24.785,0.796322724,284,1.423,24.515,0.793364915,286,1.47,24.235,0.790504811,286,1.537 +23.245,0.79789588,218,4.383,23.185,0.795135455,220,4.556,23.185,0.792375031,220,4.39,23.235,0.789614606,220,4.045 +21.245,0.80153664,219,8.061,21.485,0.798875894,223,9.299,21.515,0.79611547,226,10.102,21.535,0.793356033,226,10.528 +20.685,0.801830743,234,8.2,20.985,0.799169998,241,9.487,20.985,0.796409573,243,10.575,21.035,0.793650136,243,11.529 +19.845,0.802912411,248,8.042,20.085,0.800251665,255,9.309,20.065,0.797491241,258,10.427,20.035,0.794632124,258,11.479 +19.185,0.802220577,261,8.329,19.485,0.799559832,268,9.754,19.615,0.7968981,271,11.098,19.735,0.794138663,271,12.382 +18.925,0.801923513,265,8.339,19.285,0.799262768,275,9.803,19.535,0.796700716,278,11.167,19.835,0.79403997,278,12.491 +18.345,0.802808784,253,8.2,18.885,0.80034641,265,9.596,19.265,0.797784357,270,10.841,19.635,0.795222304,270,11.975 +18.425,0.802612386,256,7.754,19.085,0.800248705,270,9.102,19.565,0.797686652,276,10.21,20.035,0.795224278,276,11.113 +18.485,0.801823834,267,7.576,19.385,0.799558845,282,9.013,19.735,0.796997779,288,9.993,20.035,0.794435727,288,10.528 +18.345,0.804287195,280,7.784,19.485,0.802221564,298,9.082,19.615,0.79946114,304,9.707,19.735,0.796701702,304,9.695 +18.625,0.802218604,296,6.921,19.435,0.799854922,309,8.291,19.435,0.797094498,314,8.997,19.435,0.794236368,314,9.001 +18.905,0.800050333,319,6.059,19.385,0.79758796,329,7.501,19.265,0.794630151,333,8.296,19.135,0.791869726,333,8.307 +19.485,0.797390575,328,4.71,19.185,0.794432766,329,5.159,18.985,0.791474957,330,5.791,18.835,0.78861584,330,6.642 +20.545,0.796211202,330,4.789,20.185,0.7931547,330,4.911,19.885,0.790294597,330,5.001,19.535,0.787335801,330,5.125 +21.845,0.796412534,317,4.274,21.485,0.793453738,317,4.338,21.165,0.790495929,316,4.38,20.835,0.787537133,316,4.441 +23.105,0.796613866,316,3.738,22.685,0.79365507,316,3.785,22.365,0.790696274,315,3.798,22.035,0.787738465,315,3.836 +24.405,0.795434493,334,2.261,23.985,0.792475697,332,2.263,23.665,0.789517888,332,2.259,23.335,0.786559092,332,2.27 +24.945,0.795041698,356,2.231,24.585,0.792182581,355,2.243,24.255,0.789223785,355,2.249,23.935,0.786363681,355,2.26 +25.245,0.795042684,18,0.268,24.885,0.792182581,11,0.247,24.585,0.789224772,9,0.237,24.335,0.786365655,9,0.218 +25.745,0.795044658,221,0.883,25.385,0.792085862,222,0.919,25.065,0.789225759,222,0.947,24.735,0.786366642,222,0.981 +25.785,0.794551197,253,3.58,25.485,0.79169208,252,3.627,25.165,0.788831976,252,3.66,24.835,0.785874167,252,3.708 +24.985,0.795633851,245,6.009,24.685,0.792773748,245,6.127,24.385,0.789815939,245,6.195,24.035,0.786955835,245,6.275 +25.075,0.796817172,247,3.609,24.835,0.793957069,254,3.765,24.645,0.791097952,257,3.946,24.435,0.788337528,257,4.164 +25.165,0.798000493,46,1.2,24.985,0.79514039,28,1.403,24.915,0.792379965,23,1.707,24.835,0.789619541,23,2.042 +23.585,0.80134715,310,0.278,23.285,0.798487047,338,0.287,23.315,0.795726622,345,0.523,23.335,0.792967185,345,1.09 +20.725,0.801830743,234,4.799,20.485,0.798872934,234,5.129,20.735,0.796310881,234,4.834,21.035,0.793650136,234,3.975 +19.145,0.799361461,238,6.237,19.685,0.796899087,237,7.471,20.185,0.794337034,236,7.606,20.735,0.791874661,236,6.483 +18.825,0.80290945,226,5.88,20.385,0.801041204,234,7.412,20.935,0.798577844,236,8.03,21.535,0.796214162,236,7.623 +18.145,0.801132001,220,6.455,20.185,0.799561806,247,7.56,20.455,0.796901061,254,8,20.735,0.794339008,254,7.99 +19.125,0.80005132,224,7.437,21.285,0.798481125,255,8.232,21.615,0.795919072,265,8.76,21.935,0.793357019,265,8.942 +19.165,0.803106834,253,8.22,20.785,0.801338268,274,9.932,21.285,0.798874907,281,11.206,21.835,0.796313842,281,11.846 +18.865,0.803008142,259,7.209,20.185,0.801040217,283,8.588,20.705,0.798577844,290,9.756,21.235,0.796114483,290,10.627 +17.905,0.801723168,242,5.999,18.985,0.799557858,273,6.799,19.435,0.797094498,282,7.616,19.935,0.794533432,282,8.426 +16.585,0.802606464,219,5.801,18.385,0.80093659,257,6.048,18.635,0.798274858,266,6.195,18.935,0.7956151,266,6.384 +15.855,0.800730323,207,6.306,17.235,0.798763385,226,6.651,17.575,0.796103627,232,6.412,17.935,0.793541574,232,5.997 +15.125,0.798756477,212,6.812,16.085,0.796591167,227,7.263,16.505,0.794030101,234,6.629,16.935,0.791468048,234,5.611 +15.245,0.796884283,228,5.117,15.185,0.794025167,236,6.048,15.815,0.791562793,242,6.185,16.435,0.789199112,242,5.551 +15.165,0.796194424,232,5.702,14.685,0.793136936,233,6.097,14.815,0.790376511,235,6.491,14.935,0.787617074,235,6.919 +17.545,0.796596102,206,3.084,17.185,0.793637306,207,3.232,17.085,0.790778189,207,3.433,17.035,0.787920059,207,3.717 +22.305,0.797991611,125,1.805,21.885,0.795032815,126,1.818,21.565,0.792074019,126,1.835,21.235,0.78911621,126,1.854 +24.005,0.797207994,92,1.775,23.585,0.794150506,94,1.789,23.235,0.79119171,94,1.786,22.835,0.788332593,94,1.794 +24.245,0.794053787,137,1.279,23.885,0.791095978,137,1.304,23.585,0.788235875,137,1.302,23.335,0.785278066,137,1.318 +20.105,0.79413965,233,4.452,19.685,0.791082161,233,4.625,19.615,0.788224032,233,4.844,19.535,0.785463607,233,5.155 +19.445,0.792757957,252,4.512,19.085,0.789799161,251,4.684,18.885,0.786841352,251,4.883,18.735,0.783982235,251,5.145 +19.305,0.792264495,274,3.421,18.885,0.789305699,274,3.548,18.665,0.78634789,274,3.68,18.435,0.783487787,274,3.846 +19.905,0.794237355,275,1.894,19.485,0.791180854,276,1.947,19.235,0.78832075,276,2.003,19.035,0.785362941,276,2.072 +18.495,0.796007895,124,3.233,18.135,0.793049099,127,3.449,18.015,0.790189983,128,3.719,17.935,0.787330866,128,4.064 +17.085,0.797876141,138,4.571,16.785,0.79481964,139,4.951,16.785,0.792059215,140,5.436,16.835,0.789298791,140,6.067 +16.485,0.800042438,156,3.946,16.185,0.796985936,160,4.427,16.535,0.794423884,163,5.041,16.835,0.791861831,163,5.75 +18.185,0.803301258,178,5.454,18.485,0.800640513,186,6.433,18.665,0.797979768,190,7.211,18.835,0.795220331,190,7.851 +18.685,0.802415988,208,7.199,19.285,0.80005132,215,8.617,19.335,0.797291883,217,9.737,19.435,0.794532445,217,10.577 +18.125,0.804779669,211,7.119,18.785,0.802317296,218,8.578,18.885,0.799557858,220,9.648,19.035,0.796798421,220,10.32 +17.125,0.802607451,225,7.07,17.785,0.80024377,232,8.449,17.955,0.797484333,235,9.529,18.135,0.794823587,235,10.389 +16.065,0.802604491,236,6.981,16.485,0.800042438,247,8.212,16.565,0.797283,251,9.184,16.635,0.794522576,251,10.032 +15.085,0.803882556,246,6.872,15.385,0.801123119,257,8.183,15.615,0.798462374,260,9.381,15.835,0.795801628,260,10.508 +14.525,0.804669134,251,6.207,14.885,0.802009376,262,7.461,15.185,0.799348631,266,8.671,15.535,0.796786578,266,9.854 +13.965,0.80249889,234,6.178,14.685,0.800135208,252,7.54,15.065,0.79747545,258,8.612,15.435,0.794913397,258,9.398 +13.385,0.803876635,228,6.247,14.585,0.801811004,247,7.866,14.955,0.799150259,253,8.928,15.335,0.796589193,253,9.378 +14.065,0.80249889,237,4.234,14.785,0.800037503,252,5.277,14.935,0.797375771,255,6.126,15.035,0.794616334,255,6.642 +14.745,0.801121145,205,2.211,14.985,0.798361707,248,2.698,14.915,0.795503578,255,3.324,14.835,0.792644461,255,3.896 +15.285,0.79747545,195,2.866,14.985,0.794418949,208,3.192,14.935,0.791559832,216,3.62,14.935,0.788800395,216,4.045 +17.545,0.798271897,189,2.806,17.185,0.795214409,189,2.876,16.865,0.7922566,189,2.93,16.535,0.789297804,189,3.014 +19.445,0.799066371,187,3.738,19.085,0.796009869,187,3.815,18.755,0.793051073,188,3.887,18.435,0.790093264,188,3.965 +21.105,0.798086356,187,5.513,20.685,0.79512756,187,5.623,20.365,0.792070072,188,5.692,20.035,0.789112263,188,5.789 +22.305,0.796610906,203,7.526,21.885,0.793553417,203,7.659,21.535,0.790595608,203,7.744,21.235,0.787636812,203,7.861 +22.905,0.794641007,208,8.448,22.485,0.791584505,208,8.598,22.165,0.788724402,209,8.691,21.835,0.785765606,209,8.813 +23.205,0.794641994,207,9.232,22.785,0.791683198,207,9.398,22.455,0.788725389,207,9.5,22.135,0.785766593,207,9.626 +23.305,0.794938071,210,9.212,22.885,0.791979275,211,9.378,22.565,0.789021466,211,9.48,22.235,0.78606267,211,9.616 +23.305,0.794938071,215,9.688,22.885,0.791979275,215,9.863,22.565,0.789021466,215,9.983,22.235,0.78606267,215,10.111 +22.945,0.796415495,218,9.727,22.585,0.793457686,218,9.922,22.255,0.79049889,218,10.042,21.935,0.787541081,218,10.181 +22.425,0.798484086,218,9.103,22.185,0.795624969,219,9.586,21.915,0.79266716,219,9.944,21.635,0.789807057,219,10.25 +21.905,0.800651369,222,8.468,21.785,0.797792253,223,9.25,21.565,0.794933136,223,9.845,21.335,0.791975327,223,10.33 +20.425,0.800843819,230,8.309,20.485,0.798084382,231,9.447,20.335,0.795225265,231,10.358,20.235,0.792267456,231,11.133 +18.845,0.802810757,235,8.032,19.085,0.800150012,238,9.388,19.005,0.797290896,239,10.526,18.935,0.794431779,239,11.509 +17.545,0.80280681,238,7.724,17.785,0.800146065,241,9.032,17.735,0.797286948,242,10.2,17.735,0.794427831,242,11.291 +16.405,0.803196644,236,7.982,16.585,0.800535899,240,9.27,16.535,0.797676783,242,10.447,16.535,0.794818653,242,11.539 +15.065,0.803390081,235,8.111,15.185,0.800629657,240,9.447,15.185,0.797771527,242,10.664,15.235,0.795011103,242,11.826 +13.965,0.800330619,236,7.526,14.085,0.797570195,242,8.864,14.185,0.794712065,244,10.121,14.335,0.791952628,244,11.341 +12.945,0.802593634,231,7.139,13.185,0.799835184,239,8.568,13.515,0.797174439,243,9.875,13.835,0.794612386,243,11.093 +12.265,0.803774981,225,6.296,12.685,0.801114236,240,7.58,13.135,0.79855317,245,8.73,13.535,0.795991118,245,9.794 +11.465,0.80189884,202,6.396,12.485,0.799634838,226,7.254,12.965,0.797073773,235,7.675,13.435,0.794512707,235,7.871 +10.645,0.802881816,193,7.06,12.685,0.801311621,214,7.323,13.035,0.798651863,223,6.965,13.335,0.795991118,223,6.652 +10.915,0.799432519,182,6.782,12.685,0.79766494,188,7.224,12.985,0.795004194,193,6.915,13.335,0.792343449,193,6.592 +11.185,0.796081915,196,6.495,12.685,0.794115963,195,7.125,12.935,0.791455218,198,6.866,13.235,0.788794473,198,6.543 +12.645,0.798256107,187,3.837,12.885,0.795496669,186,5.366,13.115,0.792835924,186,6.087,13.335,0.790076487,186,5.869 +15.445,0.795308167,198,3.401,15.085,0.792349371,198,3.548,14.815,0.789292869,198,3.699,14.535,0.786334074,198,3.896 +17.605,0.796398717,181,4.393,17.185,0.793342216,181,4.477,16.885,0.79038342,181,4.538,16.535,0.787425611,181,4.62 +18.945,0.795811498,190,4.641,18.585,0.792754996,190,4.714,18.255,0.7897962,190,4.775,17.935,0.786838391,190,4.857 +20.105,0.793647175,203,6.296,19.685,0.790589687,203,6.414,19.365,0.787630891,203,6.481,19.035,0.784673082,203,6.572 +21.005,0.793255366,209,6.842,20.585,0.79029657,209,6.957,20.255,0.787338761,209,7.034,19.935,0.784379965,209,7.128 +21.605,0.793158648,212,7.655,21.185,0.790199852,213,7.787,20.865,0.787242043,213,7.872,20.535,0.784283247,213,7.96 +21.845,0.793060943,218,7.814,21.485,0.790102147,218,7.955,21.165,0.787144338,218,8.03,20.835,0.784185542,218,8.129 +21.945,0.794046879,223,7.496,21.585,0.791088083,223,7.629,21.255,0.788130274,223,7.704,20.935,0.78527017,223,7.792 +21.845,0.794046879,228,7.447,21.485,0.791088083,228,7.58,21.165,0.788130274,228,7.645,20.835,0.785171478,228,7.742 +21.865,0.796412534,235,7.288,21.535,0.793454725,235,7.639,21.245,0.790495929,236,7.882,20.935,0.787635825,236,8.099 +21.885,0.798778189,239,7.139,21.585,0.79582038,240,7.708,21.335,0.792960276,240,8.119,21.035,0.790002467,240,8.456 +20.545,0.798971626,232,7.139,20.485,0.796112509,235,8.084,20.315,0.793253393,236,8.77,20.135,0.790394276,236,9.308 +18.605,0.801035283,231,8.21,18.785,0.798374537,236,9.625,18.705,0.795515421,237,10.782,18.635,0.792656304,237,11.727 +16.965,0.802016284,240,8.746,17.085,0.79925586,244,10.228,17.065,0.796495435,246,11.591,17.035,0.793637306,246,12.907 +15.765,0.800927708,239,8.299,15.885,0.79816827,245,9.823,15.955,0.795407846,247,11.216,16.035,0.792648409,247,12.52 +14.885,0.801713299,238,8.22,15.185,0.799052554,245,9.942,15.515,0.796490501,248,11.502,15.835,0.793830743,248,12.897 +14.405,0.802303479,244,7.744,14.885,0.799741426,253,9.398,15.285,0.79718036,256,10.851,15.735,0.794618307,256,12.163 +13.845,0.801216876,236,7.635,14.685,0.798854182,249,9.428,15.135,0.796292129,253,10.634,15.535,0.793731063,253,11.38 +13.585,0.802103133,243,6.822,14.785,0.800037503,258,8.568,15.135,0.797376758,262,9.608,15.535,0.794814705,262,9.963 +13.125,0.802102147,249,5.553,14.385,0.800035529,269,6.858,14.705,0.797474463,275,7.655,15.035,0.794813718,275,7.94 +13.025,0.804270417,246,3.758,13.985,0.802006415,282,4.319,14.215,0.79934567,293,4.784,14.435,0.796586232,293,5.066 +13.835,0.801118184,205,2.618,14.435,0.798655811,291,2.589,14.455,0.795797681,315,2.624,14.535,0.793037256,315,2.657 +14.645,0.797966938,192,1.468,14.885,0.795306193,204,0.87,14.705,0.792348384,206,0.464,14.535,0.789390575,206,0.248 +14.585,0.799149272,194,1.666,14.885,0.796488527,195,1.295,14.705,0.79362941,189,0.927,14.535,0.790671601,189,0.724 +16.705,0.797776462,202,0.734,16.285,0.794718974,203,0.751,15.955,0.791662472,204,0.789,15.635,0.788703676,204,0.823 +18.005,0.795808537,145,0.387,17.585,0.792752036,155,0.435,17.235,0.789694547,157,0.483,16.835,0.786735751,157,0.515 +19.165,0.795221318,151,0.952,18.685,0.792163829,157,1.067,18.365,0.789205033,158,1.144,18.035,0.786148532,158,1.209 +20.565,0.793648162,200,1.259,20.085,0.790590674,203,1.443,19.735,0.787632865,203,1.578,19.335,0.784674069,203,1.695 +21.805,0.793750802,213,1.973,21.385,0.790792006,213,2.214,21.035,0.787834197,213,2.368,20.735,0.784875401,213,2.508 +22.605,0.792964224,229,5.007,22.185,0.789907723,229,5.129,21.865,0.787047619,229,5.209,21.535,0.78408981,229,5.304 +23.105,0.793656057,242,5.563,22.685,0.790598569,243,5.663,22.365,0.787739452,243,5.722,22.035,0.784780656,243,5.799 +23.205,0.794149519,250,5.592,22.785,0.791190723,250,5.682,22.455,0.788231927,250,5.741,22.135,0.785274118,250,5.819 +23.105,0.794543301,253,5.791,22.685,0.791584505,253,5.89,22.365,0.788626696,253,5.948,22.035,0.785766593,253,6.007 +22.805,0.796119418,228,6.772,22.535,0.793161609,228,7.145,22.245,0.7902038,228,7.399,21.935,0.787343696,228,7.603 +22.505,0.797597829,225,7.764,22.385,0.794738712,226,8.41,22.135,0.791878608,226,8.849,21.935,0.788920799,226,9.199 +20.825,0.799267703,231,8.359,20.885,0.796508265,232,9.428,20.735,0.793649149,233,10.279,20.535,0.790789045,233,10.964 +19.285,0.801037256,235,7.427,19.585,0.798377498,240,8.607,19.455,0.795517395,242,9.579,19.335,0.792658278,242,10.409 +17.785,0.80024377,246,8.022,18.085,0.797583025,252,9.487,18.105,0.794823587,253,10.841,18.135,0.792063163,253,12.124 +16.625,0.801423143,242,7.695,16.985,0.798861091,250,9.102,17.115,0.796101653,252,10.348,17.235,0.793342216,252,11.489 +15.605,0.800829016,251,8.111,16.085,0.798266963,258,9.774,16.415,0.79570491,261,11.275,16.735,0.793044165,261,12.639 +14.865,0.800826055,252,7.883,15.585,0.798462374,261,9.576,16.005,0.795901308,265,10.792,16.435,0.793339255,265,11.628 +14.485,0.800825068,249,7.952,15.685,0.798659758,262,9.833,16.085,0.796098692,267,10.703,16.535,0.79353664,267,10.667 +14.225,0.802401184,252,7.526,15.785,0.800435233,271,9.171,16.085,0.79787318,277,9.944,16.435,0.795212435,277,9.844 +14.005,0.802006415,251,7.07,15.685,0.800139156,276,8.133,15.935,0.797478411,285,8.622,16.235,0.794817666,285,8.565 +13.925,0.803977301,250,6.068,15.785,0.802307427,286,6.641,15.935,0.799547989,296,6.856,16.035,0.796788552,296,6.791 +14.465,0.802795954,278,5.126,15.635,0.800730323,290,5.366,15.575,0.797772514,292,5.376,15.535,0.794913397,292,5.284 +15.005,0.801615593,294,4.175,15.485,0.799152233,313,4.101,15.215,0.796095732,316,3.906,14.935,0.793136936,316,3.777 +15.085,0.796193437,290,2.519,15.085,0.793434,317,2.955,14.885,0.79047619,324,3.038,14.735,0.787518381,324,2.895 +16.285,0.797380706,26,2.34,15.985,0.794324204,26,2.362,15.665,0.791365408,26,2.387,15.335,0.788308907,26,2.409 +17.705,0.79837059,39,1.666,17.285,0.795314088,39,1.66,16.955,0.792355292,40,1.667,16.635,0.789298791,40,1.675 +18.905,0.797290896,44,1.557,18.485,0.794233407,44,1.561,18.165,0.791274611,44,1.569,17.835,0.788316802,44,1.576 +19.905,0.796997779,30,2.142,19.485,0.793940291,30,2.154,19.165,0.790982482,31,2.151,18.835,0.788023686,31,2.161 +21.005,0.795917098,20,1.854,20.585,0.79285961,20,1.848,20.255,0.789901801,20,1.835,19.935,0.786943005,20,1.834 +21.805,0.795130521,24,2.211,21.385,0.792074019,24,2.214,21.065,0.789115223,24,2.2,20.735,0.786157414,24,2.201 +22.505,0.794541327,20,3.381,22.085,0.791582531,20,3.409,21.755,0.788624722,20,3.413,21.435,0.785665926,20,3.44 +22.945,0.79375475,25,4.085,22.585,0.790795954,25,4.111,22.255,0.78793585,25,4.114,21.935,0.784978041,25,4.134 +23.045,0.795134468,36,5.077,22.685,0.792176659,36,5.129,22.385,0.789316556,36,5.149,22.035,0.78635776,36,5.185 +23.235,0.79750111,46,5.325,22.935,0.794543301,46,5.574,22.645,0.791683198,46,5.731,22.335,0.788725389,46,5.849 +23.425,0.799867752,43,5.583,23.185,0.796909943,44,6.018,22.915,0.79404984,44,6.304,22.635,0.791092031,44,6.513 +23.045,0.803415741,54,4.303,22.985,0.800556625,52,4.813,22.735,0.797696521,51,5.179,22.535,0.794738712,51,5.472 +23.105,0.804302985,106,1.626,22.985,0.801443869,79,2.046,22.765,0.798583765,76,2.437,22.535,0.795625956,76,2.766 +21.145,0.805479398,241,5.91,22.285,0.803412781,250,5.633,22.135,0.800553664,252,5.09,22.035,0.797694547,252,4.62 +18.905,0.807542068,263,8.359,19.685,0.805179373,272,10.169,19.835,0.802418949,275,11.305,20.035,0.799758204,275,11.698 +18.025,0.803695041,283,9.499,18.685,0.801331359,288,11.276,19.035,0.798670614,291,12.548,19.335,0.796108562,291,13.343 +17.685,0.805073773,287,8.557,18.585,0.802809771,296,10.08,18.985,0.800247718,300,10.999,19.335,0.797685665,300,11.38 +17.185,0.80596003,293,7.992,18.085,0.803695041,305,9.606,18.585,0.801232667,310,10.684,19.035,0.798670614,310,11.261 +16.285,0.805957069,302,7.615,16.885,0.803396003,314,8.983,17.455,0.800932642,318,10.052,18.035,0.798470269,318,10.914 +15.285,0.804967185,301,6.723,15.885,0.802504811,316,7.827,16.285,0.799943745,321,8.78,16.735,0.797381693,321,9.665 +14.805,0.806937084,300,5.949,15.285,0.804376018,319,6.661,15.705,0.801814952,326,7.349,16.135,0.799252899,326,8.089 +15.125,0.804868492,298,5.007,15.635,0.802307427,326,5.228,15.915,0.799646681,335,5.485,16.235,0.796985936,335,5.968 +15.445,0.802701209,293,4.075,15.985,0.800237849,323,3.785,16.115,0.797478411,334,3.62,16.235,0.794718974,334,3.846 +15.845,0.8010264,282,3.54,15.785,0.798167283,297,3.854,16.065,0.795506538,307,3.63,16.335,0.792845793,307,2.964 +18.145,0.7993585,298,2.271,17.785,0.796301012,299,2.273,17.515,0.793343203,300,2.229,17.235,0.790384407,300,2.151 +19.845,0.799758204,4,2.975,19.485,0.796700716,5,3.004,19.165,0.793742906,5,3.038,18.835,0.790784111,5,3.073 +21.145,0.799564767,20,2.885,20.785,0.796605971,21,2.905,20.435,0.79354947,21,2.91,20.035,0.790590674,21,2.934 +22.245,0.798089317,22,2.985,21.885,0.795032815,23,2.994,21.535,0.792074019,23,2.999,21.235,0.78911621,23,3.024 +23.305,0.797599803,36,2.866,22.885,0.794641007,36,2.886,22.535,0.791683198,37,2.89,22.235,0.788724402,37,2.914 +24.205,0.798884777,29,2.737,23.785,0.795925981,29,2.747,23.435,0.792967185,29,2.752,23.035,0.790008389,29,2.766 +24.705,0.797801135,21,3.242,24.285,0.794842339,21,3.271,23.955,0.79188453,22,3.275,23.635,0.789024426,22,3.301 +25.105,0.797112263,11,3.679,24.685,0.794154453,11,3.706,24.365,0.79129435,11,3.729,24.035,0.788335554,11,3.747 +25.345,0.797901801,19,4.115,24.985,0.795041698,19,4.16,24.665,0.792083888,19,4.183,24.335,0.789125093,19,4.223 +25.355,0.799774981,46,3.58,25.085,0.796817172,46,3.696,24.785,0.793957069,46,3.758,24.435,0.790998273,46,3.817 +25.365,0.80154947,54,3.044,25.185,0.798690353,54,3.232,24.915,0.795830249,54,3.324,24.635,0.79287244,54,3.4 +25.265,0.804604984,54,3.193,25.085,0.801745867,56,3.409,24.815,0.798788058,56,3.532,24.535,0.795927955,56,3.618 +25.205,0.806675549,12,1.031,25.085,0.803914138,39,1.186,24.815,0.800956329,43,1.273,24.535,0.79799852,43,1.348 +25.045,0.808350358,288,1.19,24.985,0.805589933,316,0.85,24.735,0.802632124,328,0.661,24.535,0.799773008,328,0.545 +23.905,0.808347397,246,2.538,24.685,0.805983716,239,1.729,24.485,0.803124599,231,1.381,24.335,0.800264495,231,1.249 +21.925,0.80912904,249,4.452,24.385,0.807757217,267,3.647,24.185,0.804799408,265,3.078,24.035,0.801939304,265,2.558 +20.785,0.807844066,253,5.186,24.085,0.806967678,293,4.704,23.915,0.804108562,294,3.976,23.735,0.801248458,294,3.152 +20.405,0.807843079,261,5.047,23.285,0.806767333,308,4.121,23.265,0.804007895,317,3.167,23.235,0.801148779,317,2.478 +21.025,0.809520849,263,2.41,22.585,0.807652603,350,1.897,22.705,0.804893166,19,2.239,22.835,0.802231434,19,2.25 +20.885,0.807942758,244,0.734,21.785,0.805678756,60,0.988,21.985,0.803018011,67,2.151,22.235,0.800357266,67,2.845 +20.605,0.810012337,205,1.269,21.085,0.807548976,113,1.769,21.335,0.804888231,102,2.851,21.535,0.802227486,102,3.876 +21.035,0.807647668,143,1.656,21.335,0.804986923,115,2.283,21.435,0.802227486,110,3.226,21.535,0.799565754,110,4.154 +21.465,0.805184308,129,2.043,21.585,0.802523563,102,2.787,21.535,0.799664446,100,3.611,21.535,0.796904022,100,4.441 +20.805,0.800154947,181,2.38,21.285,0.797692573,135,2.955,21.205,0.794833457,130,3.591,21.135,0.792073032,130,4.223 +22.085,0.800751049,123,1.329,21.785,0.797792253,126,1.403,21.585,0.794933136,127,1.499,21.435,0.792074019,127,1.626 +23.985,0.801150753,93,0.972,23.685,0.798192943,97,0.978,23.365,0.795234148,99,0.967,23.035,0.792276339,99,0.981 +25.445,0.799085122,107,1.418,25.085,0.796126326,110,1.423,24.785,0.793267209,111,1.43,24.535,0.7903094,111,1.447 +27.045,0.798301505,200,1.874,26.685,0.795342709,201,1.947,26.385,0.792483592,201,2.012,26.035,0.789524796,201,2.082 +28.345,0.798404145,215,5.126,27.985,0.795544041,215,5.277,27.665,0.792586232,215,5.376,27.335,0.789726129,215,5.482 +28.805,0.79801135,221,8.032,28.385,0.795052554,221,8.192,28.085,0.79219245,221,8.296,27.835,0.789333333,221,8.406 +28.605,0.798503824,225,9.291,28.185,0.795545028,225,9.467,27.865,0.792684925,225,9.589,27.535,0.789824821,225,9.705 +28.145,0.798304466,227,9.202,27.785,0.795445349,227,9.388,27.485,0.792486553,227,9.5,27.235,0.789627436,227,9.626 +27.785,0.7994868,227,9.083,27.485,0.796626696,227,9.27,27.165,0.793668887,227,9.401,26.835,0.790808784,227,9.527 +27.205,0.800470762,238,8.151,27.085,0.797710338,238,8.687,26.845,0.794850234,238,9.085,26.635,0.791991118,238,9.427 +26.625,0.801454725,248,7.219,26.685,0.798792993,249,8.094,26.535,0.795933876,249,8.78,26.335,0.793173452,249,9.338 +25.425,0.802930175,243,6.366,25.785,0.800368122,247,7.303,25.685,0.797607698,248,8.069,25.535,0.794748581,248,8.684 +23.645,0.805191216,246,8.349,24.785,0.803124599,251,9.932,24.765,0.800365162,253,10.989,24.735,0.797604737,253,11.727 +22.285,0.805285961,243,7.476,23.485,0.803120651,255,9.24,23.665,0.800459906,257,10.664,23.835,0.797799161,257,11.618 +21.205,0.805874167,236,7.843,22.585,0.803907229,251,9.546,22.885,0.801345176,255,10.615,23.235,0.798684431,255,10.944 +20.125,0.807250925,247,8.27,21.685,0.805382679,261,10.416,22.115,0.802820627,264,11.463,22.535,0.800259561,264,11.162 +19.525,0.806164323,246,8.349,21.685,0.804594128,265,10.189,22.135,0.802130767,270,10.92,22.535,0.799568715,270,10.359 +19.225,0.805374784,251,8.458,21.985,0.804200345,271,9.655,22.385,0.80163928,278,10.092,22.835,0.799077227,278,9.834 +19.105,0.807246978,236,6.683,21.985,0.806172218,270,7.026,22.415,0.803610165,279,7.073,22.835,0.801049099,279,7.088 +18.365,0.807047619,201,3.946,21.185,0.805874167,264,3.419,21.585,0.803312114,282,3.512,22.035,0.800848754,282,3.648 +18.025,0.808032568,187,2.33,20.185,0.806462374,235,0.712,20.315,0.803800642,321,0.927,20.435,0.801041204,321,1.586 +19.925,0.806856156,138,2.102,20.885,0.804689859,117,1.344,20.835,0.801830743,111,1.499,20.835,0.798972613,111,1.874 +21.825,0.805777449,46,1.874,21.585,0.80281964,54,1.976,21.355,0.799860844,55,2.072,21.135,0.797001727,55,2.161 +21.345,0.801931409,128,3.52,21.585,0.799270664,113,3.795,21.355,0.796312855,113,3.818,21.135,0.793452751,113,3.787 +22.445,0.801047126,135,1.914,22.085,0.798089317,136,1.967,21.785,0.795130521,136,2.003,21.535,0.792172712,136,2.042 +23.845,0.800854676,160,1.606,23.485,0.797896867,162,1.64,23.185,0.795036763,162,1.677,22.935,0.792078954,162,1.725 +25.245,0.798789045,154,1.269,24.885,0.795928942,158,1.304,24.585,0.792971132,159,1.332,24.335,0.790111029,159,1.378 +26.545,0.799778929,178,2.231,26.185,0.796918826,180,2.312,25.865,0.79396003,180,2.377,25.535,0.791100913,180,2.449 +27.705,0.797022453,218,4.789,27.285,0.794063657,218,4.931,26.955,0.791203553,218,5.031,26.635,0.788343449,218,5.135 +28.405,0.797812978,229,7.338,27.985,0.794854182,229,7.501,27.665,0.791994078,229,7.596,27.335,0.789134962,229,7.703 +28.305,0.796629657,236,7.794,27.885,0.793670861,236,7.955,27.585,0.790810757,236,8.05,27.335,0.787951641,236,8.159 +28.205,0.798009376,240,7.07,27.785,0.79505058,240,7.224,27.485,0.792190476,240,7.31,27.235,0.789331359,240,7.415 +28.045,0.79850185,244,7.01,27.685,0.795543055,244,7.145,27.415,0.792683938,244,7.241,27.135,0.789823834,244,7.346 +27.365,0.80007698,232,7.189,27.185,0.797316556,232,7.639,27.015,0.794456452,232,8.03,26.835,0.791696028,232,8.436 +26.685,0.801750802,226,7.357,26.685,0.798990377,227,8.123,26.615,0.796229953,228,8.819,26.535,0.793469529,228,9.527 +26.245,0.802637059,232,7.992,26.485,0.800074019,233,9.141,26.335,0.797214903,233,10.052,26.235,0.794454478,233,10.805 +24.845,0.806477177,245,6.525,25.085,0.803914138,247,7.787,25.065,0.801056008,247,9.016,25.035,0.798295584,247,10.221 +23.165,0.804204293,267,4.908,23.285,0.801543548,267,6.087,23.435,0.798881816,266,7.458,23.535,0.796221071,266,8.981 +20.945,0.804098692,207,1.299,20.885,0.801239576,259,1.808,21.285,0.79867851,263,2.762,21.735,0.796116457,263,4.312 +19.165,0.806458426,216,2.737,19.285,0.803698988,246,3.054,19.785,0.801236615,253,3.729,20.335,0.798773254,253,4.848 +17.785,0.804383913,233,4.363,18.385,0.80192154,261,4.911,18.985,0.799459166,269,5.633,19.535,0.797095485,269,6.602 +16.045,0.803590427,228,5.632,17.485,0.801623489,266,5.999,18.185,0.799259808,279,6.284,18.935,0.796896126,279,6.612 +14.885,0.803389094,206,5.88,16.685,0.801620528,241,5.139,17.435,0.799256847,256,4.281,18.235,0.796992845,256,3.807 +14.045,0.802696274,199,8.19,16.985,0.801720207,211,7.629,17.685,0.799257834,214,5.692,18.435,0.796894152,214,3.569 +14.185,0.803978288,204,10.382,16.885,0.802803849,201,11.394,17.435,0.800341475,201,10.624,18.035,0.797879102,201,9.239 +14.975,0.803389094,196,9.132,17.435,0.802017271,189,10.327,17.865,0.799456205,187,10.25,18.335,0.796894152,187,9.576 +15.765,0.802702196,208,7.883,17.985,0.801230693,194,9.26,18.285,0.798668641,190,9.875,18.535,0.796007895,190,9.923 +17.605,0.800736245,196,5.999,18.085,0.798273871,190,7.767,18.485,0.795711818,188,9.056,18.835,0.793149766,188,9.487 +21.045,0.800452011,206,4.968,20.685,0.797493215,206,5.366,20.535,0.794634098,205,5.751,20.335,0.791774981,205,6.166 +24.045,0.799672341,207,1.557,23.685,0.796713546,206,1.591,23.365,0.793755736,206,1.608,23.035,0.790796941,206,1.646 +24.645,0.799476931,61,2.628,24.285,0.796519122,62,2.648,23.985,0.793560326,62,2.644,23.735,0.790701209,62,2.647 +25.505,0.798493955,65,3.143,25.085,0.795535159,66,3.152,24.755,0.79257735,66,3.167,24.435,0.789717246,66,3.182 +26.205,0.796426351,67,2.905,25.785,0.793467555,68,2.925,25.455,0.790607451,69,2.93,25.135,0.787648655,69,2.954 +26.145,0.795834197,59,2.965,25.785,0.792875401,59,3.004,25.485,0.790016284,60,3.028,25.235,0.787156181,60,3.063 +25.445,0.795142364,70,3.451,25.085,0.792183568,70,3.558,24.835,0.789324451,70,3.68,24.535,0.786464347,70,3.807 +24.045,0.794644954,126,2.201,23.685,0.791686158,125,2.312,23.665,0.788925734,123,2.545,23.635,0.786264002,123,2.964 +24.545,0.796125339,42,2.053,24.185,0.793166543,43,2.135,24.035,0.790406119,44,2.279,23.835,0.787547002,44,2.518 +24.875,0.798393289,347,4.274,24.635,0.79543548,346,4.694,24.435,0.792576363,346,5.051,24.235,0.789814952,346,5.383 +25.205,0.800563533,339,6.495,25.085,0.797704416,341,7.263,24.835,0.794844313,342,7.833,24.535,0.791985196,342,8.258 +23.945,0.803713792,273,1.953,23.885,0.800953368,300,2.451,23.735,0.798094251,305,2.95,23.535,0.795235134,305,3.4 +23.645,0.80607846,279,5.354,24.185,0.803616087,291,6.196,24.015,0.800658278,293,6.708,23.835,0.797799161,293,7.019 +24.005,0.804699729,309,6.356,24.485,0.802138663,312,6.631,24.185,0.799179867,312,6.511,23.935,0.79632075,312,6.453 +24.205,0.806770294,346,3.986,24.385,0.804109548,346,4.239,24.165,0.801151739,347,4.222,23.935,0.798291636,347,4.164 +22.045,0.803707871,176,2.142,23.185,0.801542561,123,1.077,23.265,0.798881816,82,1.144,23.335,0.796121392,82,1.556 +19.285,0.802713052,199,6.267,21.985,0.801538613,187,5.544,22.235,0.798976561,182,4.35,22.535,0.796315815,182,3.261 +18.425,0.803696028,203,7.417,22.085,0.803018011,191,7.471,22.235,0.800357266,187,6.649,22.335,0.797695534,187,6.027 +18.125,0.803399951,201,6.138,22.085,0.802919319,187,6.542,22.315,0.800357266,183,6.185,22.535,0.797696521,183,5.66 +17.225,0.803199605,209,6.941,20.885,0.802521589,197,7.876,21.285,0.800058228,194,7.803,21.735,0.797496176,194,7.276 +16.625,0.803296324,201,8.914,17.585,0.801032322,195,10.811,18.435,0.798767333,192,12.351,19.335,0.796503331,192,13.422 +16.975,0.801917592,190,8.29,17.485,0.799454231,187,9.853,18.235,0.797091537,185,11.226,19.035,0.794727856,185,12.372 +17.325,0.800636566,197,7.665,17.385,0.797877128,194,8.884,18.035,0.795414755,193,10.102,18.735,0.793051073,193,11.311 +19.485,0.79946114,189,4.998,19.185,0.796502344,190,5.336,19.115,0.793643227,190,5.633,19.035,0.790785097,190,5.997 +21.705,0.799566741,205,2.162,21.285,0.796509252,206,2.243,20.955,0.793551443,206,2.338,20.635,0.790592647,206,2.439 +23.665,0.798291636,53,0.972,23.185,0.795234148,55,1.038,22.835,0.792275352,56,1.065,22.535,0.789317543,56,1.09 +24.365,0.796716506,67,1.239,23.885,0.79375771,70,1.334,23.535,0.790798914,70,1.401,23.235,0.787840118,70,1.437 +25.125,0.795929928,60,1.339,24.585,0.79287244,62,1.453,24.235,0.789913644,62,1.509,23.835,0.786954848,62,1.546 +25.665,0.796325685,51,1.706,25.185,0.793366889,53,1.848,24.835,0.790408093,53,1.934,24.535,0.787548976,53,1.993 +25.965,0.793961017,79,1.081,25.485,0.791002221,81,1.206,25.215,0.788142117,81,1.273,24.935,0.785283,81,1.318 +24.845,0.794549223,229,2.291,24.485,0.791590427,229,2.362,24.335,0.788830002,230,2.437,24.235,0.785970886,230,2.558 +23.745,0.793165556,259,2.459,23.385,0.79020676,259,2.55,23.265,0.787347644,260,2.673,23.135,0.784587219,260,2.865 +24.445,0.794744634,318,3.352,24.085,0.791785838,317,3.479,23.815,0.788926721,317,3.591,23.535,0.785968912,317,3.708 +23.535,0.796221071,4,2.053,23.235,0.793360967,4,2.105,23.035,0.79050185,4,2.121,22.835,0.787641747,4,2.102 +22.625,0.797795213,187,0.744,22.385,0.79493511,181,0.741,22.265,0.792075993,178,0.651,22.135,0.789216876,178,0.496 +22.465,0.799470022,165,1.408,22.285,0.796610906,160,1.492,22.135,0.793751789,157,1.529,22.035,0.790892672,157,1.566 +22.325,0.804103627,152,3.401,22.385,0.801441895,149,3.627,22.205,0.798484086,149,3.798,22.035,0.795624969,149,3.975 +21.465,0.803804589,209,5.196,22.185,0.801440908,200,5.307,22.035,0.798581791,198,5.337,21.835,0.795722675,198,5.393 +19.785,0.803207501,200,8.052,21.585,0.801438934,201,9.21,21.585,0.798679497,200,9.598,21.535,0.795919072,200,9.794 +17.465,0.800736245,204,10.253,17.885,0.798174192,203,12.373,18.335,0.795613126,203,14.235,18.835,0.793051073,203,15.802 +16.185,0.800534912,199,10.818,16.785,0.798072539,198,13.282,17.335,0.795609178,199,14.768,17.935,0.793146805,199,15.296 +15.785,0.801223785,197,9.569,16.685,0.798958796,197,12.066,17.165,0.796496422,198,13.367,17.635,0.79393437,198,13.492 +15.505,0.801222798,195,9.122,17.185,0.799453245,196,11.888,17.535,0.796892179,197,13.16,17.835,0.794231434,197,12.808 +15.105,0.800530965,193,8.815,17.085,0.798862077,195,11.424,17.485,0.796300025,195,12.657,17.835,0.793836664,195,12.471 +14.885,0.801614606,195,8.28,16.685,0.79984604,194,10.525,17.205,0.797383666,194,11.621,17.735,0.794921293,194,11.638 +14.205,0.799838145,175,6.227,15.135,0.797574143,180,7.817,15.775,0.795111769,181,8.898,16.435,0.792748088,181,9.527 +13.525,0.798061683,128,4.165,13.585,0.795203553,145,5.109,14.335,0.792839872,150,6.175,15.035,0.790574883,150,7.415 +15.285,0.796293116,110,2.737,14.985,0.793236615,115,2.896,15.265,0.790674562,120,3.265,15.535,0.788013817,120,3.916 +18.245,0.794331113,108,3.629,17.885,0.791372317,110,3.765,17.615,0.788414508,111,3.887,17.335,0.785456699,111,4.025 +21.445,0.794933136,117,3.619,21.085,0.79197434,119,3.676,20.755,0.789015544,119,3.729,20.435,0.786057735,119,3.797 +23.545,0.793065877,167,5.652,23.185,0.790108068,168,5.781,22.915,0.787247964,168,5.879,22.635,0.784388848,168,5.997 +25.145,0.79228226,195,9.876,24.785,0.789323464,195,10.11,24.455,0.786464347,195,10.259,24.135,0.783505551,195,10.429 +25.405,0.792874414,200,11.948,24.985,0.789915618,200,12.224,24.685,0.787056501,200,12.4,24.435,0.784097705,200,12.58 +25.345,0.79198717,201,12.266,24.985,0.789028374,201,12.55,24.665,0.786169257,201,12.735,24.335,0.783210461,201,12.947 +25.545,0.792776709,204,11.453,25.185,0.789817913,204,11.73,24.865,0.786957809,204,11.917,24.535,0.784098692,204,12.114 +25.545,0.792381939,210,11.591,25.185,0.78942413,210,11.888,24.885,0.786564027,210,12.084,24.535,0.783703923,210,12.292 +25.285,0.793761658,212,11.235,24.985,0.790901554,212,11.562,24.665,0.787943745,212,11.769,24.335,0.785083642,212,11.995 +24.675,0.795041698,212,9.608,24.435,0.792181594,212,10.11,24.135,0.789223785,212,10.467,23.835,0.786363681,212,10.795 +24.065,0.79632075,210,7.982,23.885,0.793461633,211,8.667,23.615,0.79060153,211,9.164,23.335,0.787742413,211,9.596 +23.185,0.797599803,203,5.999,23.185,0.794839378,207,6.7,22.935,0.791881569,208,7.221,22.735,0.789022453,208,7.643 +21.885,0.799764125,208,6.108,22.185,0.797202073,214,7.095,22.035,0.794342956,216,7.823,21.835,0.791483839,216,8.387 +20.425,0.798970639,198,6.872,21.085,0.796606958,209,7.817,20.985,0.793747841,212,8.425,20.835,0.790888724,212,8.922 +18.725,0.800444115,200,7.308,19.385,0.797981742,210,8.558,19.385,0.795222304,214,9.45,19.435,0.79246188,214,10.082 +17.325,0.800636566,204,6.723,17.985,0.798174192,216,7.945,18.065,0.795414755,220,8.839,18.135,0.792655317,220,9.507 +16.125,0.799055514,198,6.554,17.085,0.796791512,214,7.54,17.255,0.794032075,220,8.198,17.435,0.79137133,220,8.793 +15.085,0.799841105,187,6.604,16.585,0.797874167,205,7.412,16.855,0.795213422,211,7.813,17.135,0.792651369,211,8.198 +14.205,0.800725389,173,7.476,16.485,0.799253886,186,8.163,16.735,0.796593141,190,8.198,17.035,0.793933383,190,8.387 +13.785,0.799541081,173,8.676,16.185,0.798169257,174,9.843,16.435,0.795508512,176,9.973,16.735,0.792847767,176,9.973 +13.585,0.800427338,180,8.766,15.685,0.798955835,176,10.653,16.015,0.79629509,176,11.345,16.335,0.793635332,176,11.251 +13.745,0.797766593,186,8.379,14.885,0.795601283,184,10.169,15.325,0.793040217,183,11.394,15.735,0.790576857,183,12.183 +13.905,0.795007155,197,7.982,14.085,0.79234641,195,9.685,14.635,0.78988305,195,11.433,15.235,0.787420676,195,13.115 +16.685,0.795016038,195,8.508,16.385,0.791959536,196,8.894,16.135,0.789099433,196,9.352,15.935,0.786141623,196,9.933 +18.645,0.795614113,200,10.62,18.285,0.792655317,200,10.91,17.955,0.789598816,200,11.137,17.635,0.78664002,200,11.39 +19.745,0.792265482,203,11.661,19.385,0.789208981,203,11.938,19.065,0.786250185,203,12.144,18.735,0.783292376,203,12.382 +20.305,0.793352085,200,11.849,19.885,0.790294597,200,12.106,19.565,0.787335801,200,12.292,19.235,0.784377992,200,12.501 +20.705,0.791282507,203,12.613,20.285,0.788226005,203,12.886,19.955,0.785365902,203,13.071,19.635,0.782408093,203,13.284 +21.105,0.792171725,206,13.317,20.685,0.789114236,206,13.598,20.365,0.78615544,206,13.811,20.035,0.783296324,206,14.037 +21.305,0.791383173,209,13.089,20.885,0.788424377,209,13.39,20.565,0.785466568,210,13.584,20.235,0.782507772,210,13.809 +21.505,0.791777942,214,12.533,21.085,0.788721441,214,12.807,20.755,0.785762645,214,12.992,20.435,0.782902541,214,13.214 +21.545,0.792074019,215,11.978,21.185,0.789115223,215,12.234,20.865,0.786157414,215,12.42,20.535,0.783297311,215,12.62 +21.245,0.793748828,216,11.572,20.885,0.790791019,216,11.839,20.585,0.787832223,216,12.025,20.335,0.784874414,216,12.243 +21.145,0.794734764,209,10.302,20.935,0.791874661,209,10.93,20.685,0.788916852,209,11.404,20.435,0.786057735,209,11.836 +21.045,0.795719714,211,9.043,20.985,0.792959289,212,10.021,20.785,0.790100173,212,10.782,20.535,0.787241056,212,11.44 +19.765,0.795715766,220,7.526,19.885,0.793055021,221,8.588,19.765,0.790195904,222,9.45,19.635,0.787336788,222,10.191 +18.485,0.799458179,218,6.862,18.785,0.796896126,223,7.975,18.685,0.79403701,224,8.839,18.535,0.791177893,224,9.586 +16.965,0.798762398,230,6.872,17.385,0.796300025,235,8.084,17.335,0.793440908,237,9.095,17.335,0.790581791,237,10.002 +15.645,0.800040464,214,6.306,16.185,0.797577103,225,7.343,16.185,0.794718974,229,8.188,16.235,0.791958549,229,8.962 +14.565,0.799641747,223,5.949,15.285,0.797279053,233,7.145,15.385,0.794518628,237,8.129,15.535,0.791759191,237,8.991 +13.545,0.799737478,202,6.138,14.685,0.797572169,222,7.016,14.865,0.794812731,228,7.616,15.035,0.792151986,228,8.109 +12.545,0.799537133,198,6.435,13.985,0.797570195,216,7.441,14.235,0.794810757,223,7.852,14.535,0.792150012,223,7.94 +11.785,0.800913891,195,6.059,13.285,0.79894794,213,6.769,13.585,0.796287195,220,7.034,13.935,0.793725142,220,7.118 +10.905,0.801009623,191,7.09,12.885,0.799340735,204,7.679,13.165,0.79667999,209,7.596,13.435,0.794019245,209,7.524 +10.185,0.800711572,189,7.744,12.285,0.799141377,197,8.617,12.585,0.796481619,201,8.543,12.935,0.793820873,201,8.367 +10.515,0.798346904,178,6.862,12.135,0.796479645,185,7.787,12.375,0.7938189,190,7.783,12.635,0.791059462,190,7.544 +10.845,0.795982235,180,5.969,11.985,0.793817913,184,6.967,12.165,0.791058475,188,7.034,12.335,0.78839773,188,6.731 +12.165,0.794212682,152,3.986,11.985,0.791254873,161,5.129,12.185,0.788495435,164,5.879,12.435,0.78583469,164,6.146 +15.145,0.795404885,174,3.629,14.785,0.792348384,175,3.765,14.485,0.789389588,175,3.897,14.235,0.786333087,175,4.064 +18.145,0.792063163,200,5.563,17.785,0.789105354,200,5.692,17.455,0.786047866,200,5.81,17.135,0.783090057,200,5.948 +20.645,0.7944377,207,6.644,20.285,0.791478905,208,6.779,19.955,0.788520109,208,6.896,19.635,0.7855623,208,7.028 +22.245,0.794146558,217,8.478,21.885,0.791187762,217,8.637,21.565,0.788229953,218,8.75,21.235,0.785369849,218,8.892 +23.145,0.792276339,213,9.678,22.785,0.789317543,213,9.853,22.455,0.786458426,213,9.993,22.135,0.78349963,213,10.151 +23.745,0.791784851,212,10.412,23.385,0.788827042,212,10.633,23.065,0.785868246,212,10.772,22.735,0.783008142,212,10.944 +24.045,0.793659018,218,10.778,23.685,0.790700222,218,11.009,23.365,0.787841105,218,11.167,23.035,0.784882309,218,11.351 +23.945,0.794151493,218,11.106,23.585,0.791193684,218,11.355,23.285,0.788234888,218,11.522,23.035,0.785375771,218,11.717 +23.445,0.795431532,214,11.185,23.085,0.792473723,214,11.473,22.755,0.789514927,215,11.68,22.435,0.786556131,215,11.906 +23.385,0.796516161,208,10.56,23.085,0.793557365,208,11.098,22.785,0.790698248,208,11.483,22.535,0.787739452,208,11.846 +23.325,0.797599803,218,9.936,23.085,0.794641994,218,10.712,22.815,0.79178189,218,11.295,22.535,0.788824081,218,11.787 +21.705,0.798778189,217,8.438,21.585,0.795919072,218,9.507,21.385,0.793059956,219,10.398,21.235,0.790199852,219,11.182 +20.045,0.800941525,223,7.923,19.985,0.798082408,224,9.092,19.835,0.795223291,224,10.131,19.735,0.792364175,224,11.113 +18.685,0.799951641,225,7.149,18.685,0.797092524,227,8.262,18.565,0.794233407,228,9.273,18.435,0.791374291,228,10.26 +17.685,0.801623489,222,6.029,17.685,0.798765359,226,7.016,17.585,0.795906242,228,7.931,17.535,0.793047126,228,8.823 +16.725,0.800043425,231,5.84,16.785,0.797185295,235,6.799,16.665,0.794326178,236,7.695,16.535,0.791467061,236,8.545 +16.085,0.800533925,220,4.66,16.085,0.797774488,229,5.277,15.915,0.794816679,231,5.909,15.735,0.79185887,231,6.572 +15.225,0.801516901,210,4.323,15.285,0.798757464,224,4.882,15.135,0.795799655,228,5.475,15.035,0.792940538,228,6.107 +14.385,0.802204787,214,4.809,14.685,0.799544041,228,5.406,14.585,0.796684925,232,5.998,14.535,0.793727116,232,6.662 +13.445,0.800722428,211,4.73,13.985,0.798260054,228,5.307,14.015,0.795401925,231,5.968,14.035,0.7926415,231,6.721 +12.425,0.801015544,210,5.305,13.085,0.79855317,224,6.008,13.135,0.795694054,227,6.6,13.235,0.792934616,227,7.197 +12.625,0.798748581,196,4.631,12.835,0.795989144,207,5.336,12.895,0.793229706,211,6.018,12.935,0.79037059,211,6.731 +12.825,0.796383913,195,3.966,12.585,0.793425117,203,4.664,12.665,0.79066568,206,5.436,12.735,0.787905255,206,6.265 +14.645,0.794023193,198,4.531,14.285,0.790966691,200,4.832,13.985,0.78791019,200,5.149,13.735,0.784951394,200,5.492 +17.645,0.797188256,206,5.335,17.285,0.794130767,207,5.495,16.955,0.791171971,207,5.643,16.635,0.78811547,207,5.829 +20.245,0.792562546,209,6.663,19.885,0.789604737,210,6.789,19.565,0.786645941,210,6.896,19.235,0.783688132,210,7.028 +22.005,0.795526277,208,7.506,21.585,0.792567481,208,7.639,21.255,0.789608685,208,7.734,20.935,0.786650876,208,7.851 +23.305,0.793163583,214,8.775,22.885,0.790204787,214,8.924,22.535,0.787246978,214,9.026,22.235,0.784288182,214,9.17 +24.105,0.791095978,215,9.38,23.685,0.788137182,215,9.536,23.335,0.785179373,215,9.648,23.035,0.78231927,215,9.794 +24.705,0.791196644,213,9.787,24.285,0.788237849,213,9.961,23.955,0.785377745,213,10.082,23.635,0.782419936,213,10.221 +25.005,0.791788798,211,10.074,24.585,0.788830002,211,10.268,24.255,0.785872193,211,10.388,23.935,0.78301209,211,10.538 +25.105,0.792380952,214,10.223,24.685,0.789422156,214,10.416,24.365,0.786562053,214,10.545,24.035,0.783604244,214,10.696 +24.945,0.793070812,213,10.382,24.585,0.790112016,213,10.594,24.255,0.787251912,213,10.743,23.935,0.784392795,213,10.914 +24.415,0.794153467,216,9.589,24.235,0.79129435,216,10.199,23.975,0.788434246,216,10.664,23.735,0.78557513,216,11.063 +23.885,0.795236121,221,8.795,23.885,0.792574389,221,9.803,23.685,0.789715273,221,10.575,23.535,0.786855169,221,11.202 +22.185,0.796709598,219,8.2,22.485,0.794048853,222,9.447,22.365,0.791288428,222,10.427,22.235,0.788429312,222,11.222 +20.325,0.800547742,214,8.458,20.685,0.79798569,216,9.882,20.635,0.795226252,217,11.039,20.535,0.792367135,217,11.995 +18.585,0.798177153,213,8.528,18.885,0.795614113,217,9.922,18.865,0.792755983,218,11.088,18.835,0.789995559,218,12.114 +17.145,0.797876141,216,7.873,17.385,0.795215396,219,9.23,17.385,0.792454972,221,10.407,17.435,0.789596842,221,11.499 +15.965,0.796886257,219,6.951,16.085,0.79412682,222,8.133,16.165,0.791367382,224,9.283,16.235,0.788606958,224,10.439 +14.905,0.796587219,205,6.604,15.085,0.793926474,211,7.698,15.165,0.791167037,213,8.711,15.235,0.788406612,213,9.695 +14.065,0.798654824,201,5.166,14.185,0.795895386,208,6.058,14.265,0.793036269,211,6.935,14.335,0.790276832,211,7.812 +13.525,0.799441401,205,4.095,13.585,0.796681964,214,4.813,13.705,0.79392154,218,5.495,13.835,0.791162102,218,6.136 +12.485,0.798156427,189,6.068,13.385,0.795892425,204,6.621,13.565,0.793132988,209,6.787,13.735,0.790472243,209,6.929 +11.465,0.799040711,184,7.377,12.785,0.79697508,194,8.36,13.135,0.794413027,200,8.563,13.535,0.791753269,200,8.426 +12.305,0.795198618,181,6.733,12.935,0.792736245,187,7.876,13.295,0.790175179,190,8.691,13.635,0.787514434,190,9.378 +13.145,0.791357513,182,6.088,13.085,0.788498396,183,7.382,13.455,0.785936343,185,8.809,13.835,0.783276585,185,10.32 +15.745,0.792942512,181,6.574,15.385,0.78988601,182,7.026,15.115,0.786928201,182,7.438,14.835,0.783969405,182,7.871 +18.745,0.792754996,201,8.696,18.385,0.789797187,201,8.963,18.065,0.786739699,201,9.204,17.735,0.78378189,201,9.477 +20.745,0.791973353,203,10.332,20.385,0.789014557,203,10.594,20.065,0.786056748,203,10.792,19.735,0.783097952,203,11.033 +21.945,0.791483839,206,11.463,21.585,0.788525043,206,11.73,21.255,0.785567234,206,11.936,20.935,0.782608438,206,12.173 +22.845,0.790796941,208,12.603,22.485,0.787838145,208,12.916,22.165,0.784880336,208,13.14,21.835,0.782020232,208,13.403 +23.345,0.790797927,212,12.315,22.985,0.787840118,212,12.61,22.665,0.784980015,212,12.814,22.335,0.782021219,212,13.046 +23.745,0.791094991,215,12.395,23.385,0.788234888,215,12.689,23.065,0.785277079,215,12.893,22.735,0.782416975,215,13.115 +23.845,0.791391068,217,12.504,23.485,0.788530965,217,12.807,23.165,0.785573156,217,13.022,22.835,0.782713052,217,13.264 +23.645,0.791587466,216,12.107,23.285,0.788629657,216,12.432,22.955,0.785670861,216,12.666,22.635,0.782810757,216,12.927 +23.145,0.792966198,212,11.572,22.785,0.790106094,213,11.888,22.485,0.787148285,213,12.144,22.235,0.784288182,213,12.391 +22.835,0.793261288,211,11.492,22.535,0.790302492,211,12.086,22.235,0.787443375,211,12.528,21.935,0.784484579,211,12.947 +22.525,0.793457686,209,11.403,22.285,0.790597582,210,12.274,21.985,0.787639773,210,12.923,21.735,0.784779669,210,13.502 +20.865,0.79414261,217,10.074,20.685,0.791282507,217,11.098,20.435,0.78842339,218,11.927,20.235,0.785465581,218,12.699 +19.265,0.798080434,218,7.605,19.085,0.795122625,219,8.558,18.855,0.792262522,220,9.372,18.635,0.789304713,220,10.131 +17.945,0.797977794,199,6.138,17.885,0.795118678,204,6.967,17.685,0.792259561,206,7.685,17.535,0.789301752,206,8.347 +16.485,0.797381693,195,7.01,16.485,0.794621268,200,8.103,16.385,0.791762151,202,9.036,16.335,0.788903035,202,9.893 +15.085,0.796292129,199,7.724,15.085,0.793532692,203,8.914,15.065,0.790673575,204,10.023,15.035,0.787814458,204,11.113 +14.185,0.79678263,198,7.119,14.185,0.793923513,202,8.222,14.135,0.791064397,203,9.233,14.035,0.78820528,203,10.25 +13.345,0.796977054,204,7.238,13.285,0.794117937,207,8.331,13.205,0.791258821,209,9.332,13.135,0.788301012,209,10.33 +12.485,0.796974093,199,7.288,12.485,0.794114977,204,8.36,12.385,0.79125586,205,9.352,12.335,0.788299038,205,10.33 +11.645,0.795886504,198,7.873,11.585,0.793027387,202,9.013,11.535,0.790169257,203,10.052,11.535,0.787310141,203,11.063 +10.945,0.797659018,194,7.774,10.885,0.794799901,199,8.904,10.835,0.791940785,200,9.934,10.835,0.788983962,200,10.944 +12.215,0.795592401,199,7.705,12.035,0.792634592,202,8.499,11.885,0.789676783,203,9.283,11.735,0.786817666,203,10.121 +13.485,0.79352677,194,7.625,13.185,0.790470269,196,8.103,12.935,0.78751246,196,8.642,12.735,0.784554651,196,9.299 +15.245,0.792251665,188,9.212,14.885,0.789194177,189,9.685,14.565,0.786236368,189,10.102,14.235,0.78317888,189,10.538 +17.745,0.791372317,205,11.542,17.385,0.788314829,205,11.928,17.035,0.785357019,205,12.252,16.735,0.782398224,205,12.61 +19.305,0.793250432,209,11.155,18.885,0.790192943,209,11.434,18.565,0.787234148,209,11.66,18.235,0.784276339,209,11.906 +20.205,0.793745867,215,11.73,19.785,0.790787071,215,11.987,19.455,0.787829262,216,12.173,19.135,0.784870466,216,12.391 +20.805,0.792170738,217,12.712,20.385,0.789113249,217,12.985,20.065,0.786154453,217,13.199,19.735,0.783196644,217,13.442 +21.305,0.793157661,218,12.405,20.885,0.790100173,218,12.659,20.535,0.787142364,218,12.854,20.235,0.784183568,218,13.076 +21.605,0.792666173,218,12.732,21.185,0.789707377,218,13.015,20.865,0.786748581,218,13.209,20.535,0.783790772,218,13.442 +21.845,0.793258327,225,11.929,21.485,0.790299531,225,12.185,21.135,0.787340735,225,12.37,20.835,0.784382926,225,12.59 +21.945,0.794342956,237,11.145,21.585,0.79138416,237,11.384,21.235,0.788425364,237,11.552,20.835,0.785467555,237,11.747 +21.745,0.795130521,236,10.035,21.385,0.792172712,236,10.248,21.065,0.789213916,236,10.388,20.735,0.78625512,236,10.548 +21.625,0.797200099,236,8.438,21.385,0.79424229,236,8.884,21.095,0.791383173,236,9.194,20.835,0.788424377,236,9.477 +21.505,0.799171971,237,6.832,21.385,0.796411547,237,7.52,21.135,0.793452751,237,8.01,20.935,0.790494942,237,8.406 +20.425,0.799562793,230,6.336,20.485,0.796901061,233,7.145,20.315,0.793943252,233,7.714,20.135,0.791084135,233,8.139 +19.105,0.802910437,236,6.277,19.585,0.800348384,240,7.194,19.415,0.797489267,241,7.764,19.235,0.794531458,241,8.188 +17.565,0.802609425,225,7.04,18.585,0.800345423,240,8.153,18.455,0.797486306,243,8.711,18.335,0.79462719,243,8.981 +16.285,0.804773748,236,7.754,17.785,0.80280681,252,8.983,17.705,0.799947693,256,9.411,17.635,0.797089563,256,9.457 +15.625,0.804278312,244,6.921,17.185,0.802411054,263,8.064,17.185,0.799551937,267,8.385,17.235,0.796693807,267,8.168 +15.025,0.805163583,250,7.348,17.185,0.803593388,275,8.242,17.165,0.800735258,278,8.267,17.135,0.797974833,278,7.911 +14.325,0.804471749,263,7.001,16.185,0.802801875,290,8.153,16.205,0.799943745,296,8.592,16.235,0.797183321,296,8.555 +13.385,0.805453738,298,7.328,13.985,0.802991364,314,8.499,14.015,0.800133235,319,9.184,14.035,0.797275105,319,9.536 +12.325,0.80554947,305,6.792,12.985,0.803087096,325,7.323,13.065,0.800228966,333,7.458,13.135,0.797369849,333,7.455 +11.925,0.805843573,300,5.791,12.885,0.803579571,328,5.9,12.935,0.800721441,338,5.85,13.035,0.797862324,338,5.849 +12.245,0.803872687,321,5.702,12.935,0.8014113,341,6.157,12.915,0.798552184,346,6.225,12.935,0.795694054,346,6.107 +12.565,0.80200148,316,5.612,12.985,0.799341722,332,6.423,12.885,0.796383913,338,6.6,12.835,0.793524796,338,6.364 +13.345,0.800229953,345,4.085,12.985,0.797172465,347,4.319,12.765,0.794115963,348,4.558,12.535,0.791158154,348,4.838 +14.445,0.801514927,26,4.194,14.085,0.798457439,26,4.23,13.785,0.795400938,26,4.262,13.535,0.792443129,26,4.312 +15.765,0.800532939,44,2.053,15.285,0.797377745,45,2.075,14.955,0.794418949,45,2.081,14.635,0.791362448,45,2.102 +16.705,0.798958796,1,2.538,16.285,0.795902295,1,2.55,15.955,0.792844806,1,2.555,15.635,0.789886997,1,2.568 +17.705,0.798864051,8,3.064,17.285,0.795806563,8,3.073,16.955,0.792750062,8,3.068,16.635,0.789791266,8,3.093 +18.605,0.799556871,11,3.589,18.185,0.796499383,11,3.607,17.865,0.793541574,11,3.611,17.535,0.790484086,11,3.638 +19.545,0.798180113,10,3.461,19.185,0.795122625,10,3.479,18.835,0.792163829,10,3.482,18.535,0.789107328,10,3.489 +20.245,0.797097459,359,3.976,19.885,0.794138663,359,4.002,19.565,0.791180854,359,4.005,19.235,0.788222058,359,4.015 +20.905,0.797789292,4,4.948,20.485,0.794732791,4,4.971,20.165,0.791773995,4,4.992,19.835,0.788816186,4,5.016 +21.045,0.799466075,3,6.951,20.685,0.796507279,3,7.036,20.365,0.79354947,4,7.103,20.035,0.790590674,4,7.177 +21.835,0.801735998,358,6.108,21.535,0.798777202,358,6.394,21.245,0.795819393,358,6.609,20.935,0.792860597,358,6.8 +22.625,0.804005922,352,5.265,22.385,0.801047126,353,5.742,22.135,0.798188009,354,6.116,21.935,0.7952302,354,6.434 +22.105,0.806369603,326,4.145,21.985,0.803411794,334,4.694,21.785,0.800552677,336,5.179,21.535,0.79769356,336,5.581 +21.245,0.808338515,307,5.216,21.485,0.80567777,320,5.761,21.335,0.802818653,324,6.126,21.235,0.799959536,324,6.414 +19.605,0.80577054,291,7.556,20.385,0.803406859,305,8.578,20.435,0.800646435,311,8.938,20.535,0.797886997,311,8.753 +18.205,0.808822107,305,7.288,18.985,0.806458426,319,8.538,19.115,0.803698988,324,9.145,19.235,0.801037256,324,9.16 +17.005,0.808029608,315,7.397,17.785,0.805665926,332,8.311,17.835,0.802807797,339,8.642,17.935,0.800047372,339,8.486 +16.165,0.807336788,320,5.533,16.885,0.804973106,346,5.85,16.935,0.80211399,355,6.027,17.035,0.799354552,355,6.176 +16.325,0.808815199,341,3.064,16.385,0.805957069,23,3.192,16.285,0.803097952,34,3.68,16.235,0.800140143,34,4.342 +16.665,0.809999507,50,2.915,16.485,0.806943005,70,3.459,16.365,0.804083888,76,4.212,16.235,0.801126079,76,5.095 +16.945,0.810000493,50,2.905,16.885,0.807141377,75,3.469,16.785,0.80428226,81,4.232,16.735,0.801325438,81,5.105 +16.765,0.810295584,20,3.639,16.885,0.807437454,52,3.913,16.835,0.804578337,61,4.479,16.835,0.80171922,61,5.145 +16.575,0.807830249,35,4.69,16.935,0.805170491,47,5.257,16.845,0.802311374,49,5.662,16.735,0.799353565,49,5.978 +16.385,0.805365902,16,5.741,16.985,0.802903528,29,6.601,16.865,0.799945719,34,6.836,16.735,0.797086603,34,6.81 +16.385,0.802113003,19,3.589,16.085,0.799055514,22,3.933,16.005,0.796196398,25,4.528,15.935,0.793338268,25,5.363 +17.545,0.803397977,39,3.48,17.185,0.800340489,40,3.538,16.865,0.797283987,41,3.591,16.535,0.794226499,41,3.668 +19.405,0.802220577,87,2.41,18.985,0.799164076,88,2.461,18.635,0.79620528,88,2.506,18.335,0.793148779,88,2.568 +20.905,0.800845793,84,3.252,20.485,0.797788305,84,3.311,20.165,0.794830496,85,3.344,19.835,0.7918717,85,3.39 +22.605,0.801836664,67,2.568,22.185,0.798779176,68,2.589,21.835,0.795821367,69,2.585,21.535,0.792862571,69,2.597 +23.605,0.801445843,25,2.935,23.185,0.798487047,25,2.935,22.865,0.795528251,25,2.92,22.535,0.792570442,25,2.914 +24.205,0.799673328,8,4.442,23.785,0.796714532,8,4.467,23.435,0.793755736,8,4.469,23.035,0.790796941,8,4.491 +24.705,0.798984456,7,5.662,24.285,0.79602566,7,5.722,23.955,0.793067851,7,5.741,23.635,0.790207747,7,5.779 +25.105,0.799084135,15,5.454,24.685,0.796125339,15,5.514,24.365,0.79316753,15,5.544,24.035,0.790208734,15,5.581 +25.345,0.798000493,10,5.255,24.985,0.795041698,10,5.317,24.665,0.792182581,10,5.347,24.335,0.789223785,10,5.403 +25.395,0.800464841,43,4.918,25.185,0.797605724,42,5.178,24.895,0.794647915,43,5.347,24.635,0.791787811,43,5.482 +25.445,0.802831483,47,4.571,25.385,0.800071058,47,5.03,25.135,0.797210955,47,5.347,24.935,0.794253146,47,5.571 +25.345,0.804703676,22,3.649,25.285,0.801943252,29,4.131,25.065,0.799084135,30,4.518,24.835,0.796126326,30,4.808 +24.965,0.809336294,323,2.538,25.085,0.806674562,354,2.787,24.915,0.803815445,1,3.078,24.735,0.800956329,1,3.281 +23.305,0.807851962,279,5.216,24.385,0.805686652,305,5.06,24.285,0.802827535,311,4.784,24.235,0.800067111,311,4.59 +21.985,0.809425117,283,6.128,23.185,0.8073585,310,6.68,23.235,0.804599062,317,6.678,23.335,0.801838638,317,6.216 +20.905,0.80843622,291,6.882,21.985,0.80627091,314,7.837,22.185,0.803511473,320,8.158,22.435,0.800850728,320,7.841 +20.165,0.808138169,303,6.653,20.885,0.805774488,324,7.599,21.165,0.803113743,330,8.227,21.435,0.800452998,330,8.555 +19.625,0.809023439,300,5.821,20.285,0.806561066,326,5.939,20.565,0.803900321,335,5.791,20.835,0.801239576,335,5.502 +19.145,0.809514927,297,4.928,19.985,0.807151246,328,4.2,20.435,0.80459018,338,3.393,20.935,0.80212682,338,2.776 +18.865,0.807838145,283,3.629,19.885,0.805574143,309,2.362,20.435,0.803111769,314,1.401,21.035,0.800648409,314,1.219 +18.665,0.808625709,287,4.313,19.985,0.806560079,304,3.014,20.615,0.804196398,304,1.697,21.235,0.801734024,304,0.565 +18.995,0.806162349,286,4.105,19.835,0.803898347,296,3.37,20.175,0.801237602,294,2.506,20.535,0.798675549,294,1.765 +19.325,0.803698988,289,3.897,19.685,0.801137923,313,3.726,19.735,0.798377498,313,3.324,19.835,0.795617074,313,2.954 +18.905,0.799755243,265,3.004,18.785,0.796896126,273,3.073,18.815,0.794135702,274,3.058,18.835,0.791276585,274,3.162 +20.745,0.800943499,258,2.39,20.385,0.797886997,256,2.48,20.085,0.794928201,255,2.565,19.835,0.791970392,255,2.677 +22.945,0.801837651,292,1.864,22.585,0.79878115,290,1.868,22.285,0.795921046,289,1.884,22.035,0.792963237,289,1.903 +24.305,0.800560572,341,1.934,23.885,0.797503084,340,1.917,23.585,0.794643967,339,1.904,23.335,0.791685171,339,1.884 +25.005,0.798394276,359,3.847,24.585,0.79543548,359,3.854,24.285,0.792476684,358,3.857,24.035,0.789617567,358,3.866 +25.645,0.797015544,17,4.333,25.285,0.794056748,17,4.358,24.955,0.791098939,17,4.36,24.635,0.788238835,17,4.372 +26.245,0.797214903,32,4.908,25.885,0.794354799,32,4.951,25.565,0.791396003,32,4.962,25.235,0.788536886,32,4.996 +26.745,0.797019492,34,5.711,26.385,0.794060696,34,5.761,26.065,0.7911019,34,5.791,25.735,0.788242783,34,5.829 +27.045,0.797118184,29,5.622,26.685,0.794259067,29,5.682,26.365,0.791300271,29,5.722,26.035,0.788440168,29,5.769 +27.245,0.797217863,24,5.176,26.885,0.794259067,24,5.228,26.585,0.791399951,24,5.268,26.335,0.788539847,24,5.313 +27.655,0.799092031,37,4.204,27.385,0.796231927,37,4.398,27.125,0.79337281,37,4.558,26.835,0.790512707,37,4.719 +28.065,0.800966198,33,3.242,27.885,0.798205774,33,3.577,27.665,0.79534567,33,3.847,27.435,0.792486553,33,4.124 +27.805,0.803626943,32,2.509,27.685,0.800866519,28,2.965,27.455,0.798007402,27,3.384,27.235,0.795147298,27,3.767 +27.245,0.807272638,228,1.2,27.185,0.804413521,271,0.82,27.035,0.801653096,297,0.947,26.835,0.79879398,297,1.358 +25.445,0.804408586,242,5.206,26.585,0.802243277,254,4.902,26.435,0.799482852,257,4.479,26.335,0.796623736,257,4.173 +23.705,0.80647323,254,7.724,25.685,0.804803356,275,8.331,25.635,0.802042931,278,8.138,25.535,0.799282507,278,7.742 +22.945,0.806175179,276,8.865,24.985,0.804603997,292,10.06,25.035,0.80184456,297,10.407,25.035,0.799182828,297,10.181 +22.645,0.806371577,272,7.199,24.685,0.804701702,302,7.945,24.705,0.80203997,311,8.286,24.735,0.799280533,311,8.198 +22.465,0.806666667,280,6.207,24.085,0.804798421,316,6.483,24.135,0.802038983,325,6.58,24.235,0.799278559,325,6.473 +21.925,0.806664693,291,5.86,23.185,0.804697755,325,5.761,23.335,0.80193733,334,5.613,23.535,0.799276585,334,5.452 +21.265,0.806958796,294,4.888,22.285,0.804793486,330,4.398,22.585,0.802132741,340,4.084,22.935,0.799570688,340,3.965 +20.765,0.807646681,300,4.234,21.485,0.805185295,329,3.548,21.935,0.802721934,341,3.147,22.435,0.800160868,341,3.113 +19.905,0.804982976,285,4.571,20.835,0.802717987,296,4.18,21.175,0.800058228,298,3.571,21.535,0.797496176,298,3.093 +19.045,0.802318283,277,4.898,20.185,0.800152973,289,4.813,20.415,0.797492228,287,3.995,20.635,0.794831483,287,3.063 +18.285,0.798569948,289,3.748,17.985,0.795612139,289,4.516,18.565,0.793148779,290,4.706,19.135,0.790686405,290,4.144 +19.545,0.798771281,323,2.053,19.185,0.795812485,320,2.164,19.435,0.793151739,318,2.466,19.735,0.790490994,318,2.964 +22.605,0.798977547,308,1.339,22.185,0.796019738,303,1.364,22.015,0.793060943,301,1.401,21.835,0.790300518,301,1.457 +25.965,0.798889711,299,0.545,25.485,0.795930915,287,0.613,25.165,0.792972119,283,0.661,24.835,0.790113003,283,0.724 +26.805,0.797709351,2,2.003,26.385,0.794750555,1,2.164,26.085,0.791890452,1,2.249,25.835,0.789031335,1,2.3 +26.345,0.796623736,11,4.353,25.985,0.793763632,12,4.407,25.735,0.790904515,12,4.439,25.535,0.788044412,12,4.491 +26.845,0.797118184,7,3.074,26.485,0.794159388,7,3.103,26.265,0.791300271,7,3.147,26.035,0.78853886,7,3.192 +27.305,0.797020479,8,3.014,26.885,0.794061683,8,3.064,26.635,0.791202566,8,3.098,26.435,0.788442142,8,3.142 +27.705,0.79692376,12,2.776,27.285,0.793964964,12,2.826,27.015,0.791104861,12,2.861,26.735,0.788245744,12,2.914 +28.345,0.797418209,354,2.529,27.985,0.794459413,354,2.589,27.685,0.791600296,354,2.634,27.435,0.788740192,354,2.677 +28.385,0.799390081,322,2.4,28.085,0.796529978,321,2.51,27.795,0.793670861,321,2.594,27.535,0.790810757,321,2.667 +28.425,0.801460646,353,2.281,28.185,0.798600543,351,2.431,27.915,0.795741426,350,2.565,27.635,0.792881322,350,2.657 +28.065,0.803430545,317,3.242,27.885,0.800571429,325,3.508,27.635,0.797712312,327,3.719,27.435,0.794852208,327,3.876 +26.945,0.806286701,271,4.016,27.185,0.803624969,294,4.17,27.015,0.800864545,301,4.331,26.835,0.798005428,301,4.421 +24.285,0.804996792,246,7.427,26.085,0.803227239,270,7.777,26.065,0.800466815,276,7.675,26.035,0.797707377,276,7.534 +22.965,0.807259808,245,8.914,24.885,0.805589933,262,10.525,25.015,0.802928201,267,11.493,25.135,0.800168764,267,11.807 +21.985,0.805482359,253,10.104,23.485,0.803515421,263,12.491,23.885,0.80105206,266,14.304,24.335,0.798490007,266,15.286 +21.405,0.806367629,252,9.341,22.785,0.804400691,264,11.118,23.365,0.80193733,268,12.39,23.935,0.799573649,268,13.125 +21.205,0.806465334,252,8.537,22.885,0.804696768,270,10.06,23.485,0.8023321,276,11.187,24.035,0.799869726,276,11.757 +21.165,0.806761411,260,7.744,22.485,0.804793486,279,9.003,23.135,0.802429805,285,10.22,23.835,0.800066124,285,11.4 +21.005,0.805282013,276,7.03,21.785,0.802918332,292,8.183,22.185,0.800356279,297,9.194,22.535,0.797893906,297,10.052 +20.285,0.807547002,295,6.296,20.585,0.804886257,309,7.115,20.705,0.802224525,314,7.734,20.835,0.799465088,314,8.129 +19.855,0.805376758,279,5.315,20.335,0.802815692,298,5.751,20.505,0.80015396,304,5.889,20.735,0.797493215,304,5.71 +19.425,0.803107821,273,4.343,20.085,0.80074414,303,4.388,20.315,0.798083395,315,4.054,20.535,0.79542265,315,3.281 +19.965,0.799265729,248,3.52,20.085,0.796505305,265,3.528,20.355,0.793943252,275,3.048,20.635,0.791282507,275,1.953 +22.045,0.800454972,256,2.638,21.685,0.797496176,255,2.728,21.485,0.794637059,254,2.772,21.335,0.791777942,254,2.796 +24.445,0.80203997,273,1.111,24.085,0.799081174,269,1.107,23.755,0.796122378,268,1.115,23.435,0.793164569,268,1.12 +25.645,0.800465828,314,1.874,25.285,0.797508019,313,1.878,24.955,0.794549223,312,1.874,24.635,0.791590427,312,1.884 +26.605,0.797708364,2,2.697,26.185,0.794750555,2,2.698,25.865,0.791791759,2,2.693,25.535,0.788931656,2,2.696 +27.205,0.798006415,26,3.401,26.785,0.795047619,26,3.409,26.485,0.792187515,26,3.423,26.235,0.789328399,26,3.44 +27.805,0.798500864,33,4.809,27.385,0.795542068,33,4.842,27.065,0.792682951,33,4.863,26.735,0.789822847,33,4.897 +28.205,0.797418209,27,5.523,27.785,0.794459413,27,5.574,27.455,0.791599309,27,5.613,27.135,0.788640513,27,5.66 +28.445,0.798108068,24,5.265,28.085,0.795150259,24,5.336,27.755,0.792290155,24,5.366,27.435,0.789430052,24,5.423 +28.545,0.798700222,23,4.898,28.185,0.795840118,22,4.961,27.885,0.792882309,22,5.001,27.535,0.790022206,22,5.046 +28.735,0.799193684,27,3.768,28.435,0.79633358,26,3.913,28.145,0.793474463,26,4.005,27.835,0.79061436,26,4.084 +28.925,0.799687145,56,2.648,28.685,0.796828029,52,2.866,28.415,0.793967925,51,3.009,28.135,0.791108808,51,3.113 +28.865,0.807474957,77,2.776,28.685,0.804714532,68,2.925,28.415,0.801755736,66,3.019,28.135,0.79889662,66,3.073 +28.705,0.808953368,135,1.834,28.585,0.806094251,110,1.808,28.335,0.803234148,103,1.786,28.035,0.800375031,103,1.774 +26.965,0.807173945,225,4.879,27.985,0.805007649,224,4.309,27.815,0.802148532,223,3.778,27.635,0.799289415,223,3.39 +23.405,0.8086415,249,8.944,24.185,0.806277819,258,10.406,24.565,0.803715766,262,11.473,24.935,0.801153713,262,12.025 +22.965,0.807949667,271,9.965,23.385,0.805387614,274,11.454,23.705,0.802825561,275,12.785,24.035,0.800263509,275,14.007 +22.645,0.80794868,272,8.26,23.185,0.805486306,277,9.615,23.735,0.803121638,279,10.782,24.335,0.800659265,279,11.807 +21.925,0.807848014,286,6.564,22.585,0.80538564,291,7.926,23.085,0.80292228,294,9.036,23.535,0.800459906,294,9.844 +21.365,0.807944732,292,6.296,22.385,0.805779423,309,7.422,22.635,0.803118678,314,8.326,22.935,0.800457932,314,9.13 +21.065,0.807548976,285,6.515,22.085,0.805383666,312,7.105,22.315,0.802722921,319,7.714,22.535,0.800062176,319,8.416 +20.785,0.808435233,292,6.852,21.985,0.80627091,319,7.451,22.185,0.803610165,326,8.079,22.435,0.80094942,326,8.912 +20.515,0.806660745,316,4.323,21.235,0.804297064,340,4.398,21.335,0.80153664,346,4.804,21.435,0.798777202,346,5.462 +20.245,0.80488527,249,1.805,20.485,0.802224525,303,1.354,20.485,0.799464101,327,1.519,20.535,0.796703676,327,2.012 +20.625,0.801830743,241,2.717,20.685,0.799070318,257,2.5,20.615,0.796309894,262,1.904,20.535,0.793450777,262,1.279 +22.745,0.803709845,332,2.766,22.385,0.800653343,333,2.807,22.085,0.797694547,333,2.851,21.835,0.794835431,333,2.905 +23.905,0.801840612,358,3.242,23.485,0.798783124,358,3.271,23.165,0.795825315,358,3.285,22.835,0.792866519,358,3.311 +25.365,0.801746854,2,2.271,24.885,0.798788058,1,2.273,24.565,0.795829262,1,2.269,24.235,0.792871453,1,2.27 +26.305,0.801157661,29,2.618,25.885,0.79810116,29,2.619,25.535,0.795241056,29,2.604,25.235,0.79228226,29,2.607 +27.525,0.799880582,33,1.973,26.985,0.796823094,33,1.957,26.635,0.79396299,33,1.934,26.335,0.791004194,33,1.923 +27.945,0.798895633,58,3.074,27.585,0.796035529,59,3.073,27.255,0.79307772,59,3.058,26.935,0.790217617,59,3.053 +28.365,0.799291389,49,4.631,27.885,0.796332593,50,4.674,27.565,0.79347249,50,4.686,27.235,0.79051468,50,4.709 +28.605,0.799094991,33,5.642,28.185,0.796136195,33,5.712,27.865,0.793177399,33,5.751,27.535,0.790318283,33,5.809 +27.905,0.798796941,48,2.271,27.485,0.795838145,49,2.283,27.165,0.792880336,49,2.299,26.835,0.790020232,49,2.31 +27.415,0.801260301,360,2.142,27.085,0.798301505,360,2.184,26.785,0.795442388,360,2.19,26.435,0.792483592,360,2.201 +26.925,0.803722675,171,2.013,26.685,0.800863558,172,2.075,26.415,0.797905749,172,2.081,26.135,0.795045645,172,2.092 +25.205,0.80371774,174,6.009,25.085,0.800957316,176,6.651,24.855,0.797999507,177,7.083,24.635,0.795139403,177,7.356 +22.325,0.803708858,189,8.022,22.385,0.801047126,188,9.388,22.315,0.798188009,188,10.457,22.235,0.795328892,188,11.321 +20.865,0.803507525,197,7.417,21.285,0.800945472,194,8.983,21.665,0.79838342,193,9.865,22.035,0.795920059,193,10.012 +20.405,0.803900321,201,7.516,21.785,0.801932396,200,8.736,22.185,0.79937133,201,8.928,22.535,0.796809277,201,8.446 +20.285,0.804195411,204,7.387,22.685,0.802822601,201,7.876,22.885,0.800161855,205,7.487,23.035,0.797500123,205,7.108 +19.965,0.803504565,203,8.21,23.385,0.802824574,202,8.647,23.385,0.800065137,206,8.168,23.435,0.797304713,206,7.911 +19.685,0.803798668,206,8.964,22.685,0.802723908,201,10.179,22.765,0.800063163,203,10.328,22.835,0.797302739,203,10.3 +18.805,0.804486553,202,9.42,19.285,0.8019245,197,11.009,19.515,0.799263755,195,12.351,19.735,0.79660301,195,13.492 +17.685,0.803299284,194,10.035,17.685,0.800441155,191,11.286,17.765,0.79768073,190,12.341,17.835,0.794921293,190,13.304 +17.085,0.804875401,195,8.904,17.085,0.802114977,192,10.08,17.255,0.799355539,191,11.118,17.435,0.796596102,191,12.104 +17.475,0.803594375,195,8.23,17.385,0.800735258,195,9.329,17.505,0.79797582,194,10.358,17.635,0.795216383,194,11.37 +17.865,0.802314335,195,7.556,17.685,0.799356526,193,8.588,17.765,0.796597089,192,9.589,17.835,0.793836664,192,10.637 +19.485,0.801826795,191,7.11,19.185,0.798868986,190,7.52,18.955,0.79591019,190,7.991,18.735,0.792952381,190,8.545 +21.545,0.800946459,200,6.782,21.185,0.797888971,200,7.184,20.865,0.794931162,200,7.537,20.535,0.791972366,200,7.891 +23.245,0.801739946,195,4.522,22.885,0.79878115,195,4.625,22.585,0.795823341,195,4.725,22.335,0.792865532,195,4.857 +24.645,0.799378238,173,3.332,24.285,0.796420429,173,3.38,23.985,0.793461633,174,3.413,23.735,0.790602517,174,3.47 +26.005,0.798988404,119,1.983,25.585,0.795930915,121,1.976,25.255,0.793071799,122,1.973,24.935,0.790113003,122,1.973 +26.445,0.798595608,79,3.778,26.085,0.795735505,80,3.805,25.755,0.792776709,80,3.808,25.435,0.789917592,80,3.817 +27.105,0.797710338,80,4.938,26.685,0.794751542,81,4.991,26.365,0.791891438,81,5.011,26.035,0.789032322,81,5.046 +27.545,0.797416235,76,5.642,27.185,0.794556131,76,5.702,26.885,0.791597335,76,5.741,26.535,0.788737232,76,5.799 +27.585,0.796922773,84,4.769,27.285,0.794063657,84,4.852,27.015,0.791203553,84,4.903,26.735,0.788344436,84,4.986 +27.385,0.798105107,77,3.827,27.085,0.795245991,77,3.913,26.835,0.792385887,77,4.035,26.535,0.78952677,77,4.233 +27.075,0.798202813,85,4.432,26.835,0.795343696,85,4.734,26.625,0.792582285,85,5.011,26.435,0.789723168,85,5.353 +26.765,0.798300518,132,5.037,26.585,0.795540094,128,5.544,26.415,0.792680977,127,5.988,26.235,0.789919566,127,6.473 +26.285,0.803129534,167,6.128,26.285,0.800369109,162,6.839,26.135,0.797509993,160,7.359,26.035,0.794749568,160,7.822 +24.165,0.801151739,180,9.906,23.985,0.798292623,179,11.088,23.765,0.795432519,178,12.114,23.535,0.792573402,178,13.046 +22.125,0.802328152,189,9.926,21.885,0.799370343,187,11.019,21.985,0.796609919,187,12.134,22.035,0.793949173,187,13.343 +20.965,0.802521589,182,9.926,20.785,0.79956378,182,11.127,21.265,0.797100419,182,12.38,21.735,0.794638046,182,13.779 +21.145,0.80084678,175,9.529,21.085,0.797987663,175,10.831,21.455,0.795425611,174,12.035,21.835,0.79296225,174,13.175 +20.785,0.80212682,182,9.311,20.785,0.799366395,180,10.683,21.235,0.796805329,179,11.996,21.735,0.794341969,179,13.274 +20.605,0.802323217,192,9.331,20.785,0.799662472,189,11.019,21.235,0.797100419,187,12.716,21.735,0.794638046,187,14.374 +20.405,0.802125833,188,9.777,20.585,0.799464101,186,11.444,20.785,0.796803356,185,13.081,21.035,0.79414261,185,14.711 +19.785,0.802517641,191,10.778,19.785,0.799659511,188,12.373,19.985,0.796997779,187,13.919,20.235,0.794337034,187,15.475 +19.045,0.802416975,195,10.659,18.985,0.799557858,193,12.116,19.085,0.796897113,192,13.505,19.235,0.794136689,192,14.909 +19.085,0.801233654,196,9.926,18.935,0.798374537,195,11.167,18.995,0.7956151,195,12.41,19.035,0.792854676,195,13.68 +19.125,0.800150012,198,9.192,18.885,0.797192203,197,10.218,18.915,0.794431779,197,11.305,18.935,0.791572662,197,12.451 +21.245,0.798382433,190,7.744,20.885,0.795423637,191,8.173,20.565,0.792465828,191,8.553,20.235,0.789507032,191,8.942 +23.545,0.798784111,200,6.098,23.185,0.795825315,201,6.236,22.865,0.792866519,201,6.353,22.535,0.790007402,201,6.503 +25.405,0.795241056,227,4.353,24.985,0.79228226,227,4.407,24.685,0.789422156,227,4.449,24.435,0.786464347,227,4.491 +26.965,0.797216876,200,2.152,26.485,0.79425808,201,2.184,26.165,0.791397977,201,2.21,25.835,0.788440168,201,2.24 +27.665,0.795149272,200,2.548,27.185,0.792189489,200,2.589,26.885,0.789330373,200,2.634,26.535,0.786470269,200,2.677 +28.405,0.793869233,222,3.302,27.985,0.791009129,222,3.38,27.685,0.788150012,222,3.423,27.435,0.785289909,222,3.48 +28.945,0.792983962,238,3.401,28.585,0.790123859,237,3.479,28.315,0.787264742,237,3.532,28.035,0.784503331,237,3.598 +29.345,0.794365655,244,4.006,28.985,0.791505551,244,4.091,28.715,0.788645448,244,4.163,28.435,0.785786331,244,4.233 +28.885,0.793476437,272,2.836,28.585,0.790617321,271,2.896,28.315,0.787757217,271,2.969,28.035,0.784897113,271,3.073 +28.685,0.793476437,278,2.529,28.385,0.790616334,277,2.599,28.165,0.787757217,277,2.703,27.935,0.784995806,277,2.875 +28.595,0.796729336,247,4.244,28.385,0.793967925,247,4.496,28.135,0.791108808,247,4.706,27.935,0.788248705,247,4.937 +28.505,0.800079941,252,5.969,28.385,0.797319516,252,6.394,28.115,0.7944604,251,6.718,27.835,0.791600296,251,6.999 +27.285,0.799978288,244,6.475,27.285,0.797316556,245,7.194,27.035,0.794456452,246,7.754,26.835,0.791597335,246,8.228 +25.825,0.800959289,250,6.306,25.885,0.798199852,251,7.194,25.685,0.795339748,252,7.941,25.535,0.792480632,252,8.555 +24.465,0.800462867,247,6.257,24.585,0.797801135,251,7.194,24.415,0.794942018,252,8,24.235,0.792082902,252,8.743 +23.345,0.80262719,223,6.148,23.585,0.799966445,234,6.967,23.455,0.797107328,237,7.665,23.335,0.794346904,237,8.337 +22.065,0.801933383,222,6.931,22.485,0.799372317,231,8.143,22.615,0.796710585,235,9.214,22.735,0.79404984,235,10.191 +21.105,0.80114187,219,6.425,21.585,0.798580804,231,7.649,21.915,0.796018752,235,8.888,22.235,0.793456699,235,10.171 +20.125,0.800646435,199,6.277,20.785,0.798184061,216,7.382,21.265,0.795720701,221,8.365,21.735,0.79325734,221,9.279 +19.465,0.799953615,193,6.812,20.785,0.797887984,210,8.005,21.265,0.795424624,217,8.77,21.735,0.79296225,217,9.209 +18.945,0.800445102,197,7.09,20.985,0.798775228,212,8.044,21.385,0.796312855,219,8.494,21.835,0.793750802,219,8.813 +18.565,0.80093659,207,7.159,21.085,0.799663459,220,8.044,21.435,0.797101406,226,8.356,21.835,0.794539354,226,8.654 +18.305,0.800640513,198,6.296,20.585,0.799267703,216,6.769,20.825,0.796605971,222,6.846,21.035,0.793945226,222,6.999 +18.045,0.800442142,186,5.424,20.085,0.79887096,194,5.485,20.205,0.796111522,201,5.337,20.335,0.793450777,201,5.343 +19.145,0.797586973,194,5.166,19.685,0.795124599,196,6.591,19.935,0.792463854,198,7.517,20.235,0.789901801,198,7.703 +23.045,0.797598816,216,7.516,22.685,0.794641007,216,7.787,22.385,0.791682211,216,8.03,22.035,0.788724402,216,8.307 +24.845,0.798590674,223,8.706,24.485,0.795631878,224,8.894,24.185,0.792772761,224,9.026,23.935,0.789813965,224,9.18 +25.745,0.792875401,224,8.637,25.385,0.790016284,224,8.795,25.065,0.787057488,224,8.928,24.735,0.784197385,224,9.061 +26.445,0.794455465,222,8.805,26.085,0.791496669,222,8.993,25.755,0.788636566,222,9.105,25.435,0.785678756,222,9.249 +26.945,0.794259067,228,9.668,26.585,0.791399951,228,9.853,26.255,0.788539847,228,9.983,25.935,0.785581051,228,10.131 +27.105,0.792978041,232,9.678,26.685,0.790019245,232,9.872,26.385,0.787160128,232,10.003,26.035,0.784300025,232,10.141 +27.145,0.793274118,239,9.737,26.785,0.790414014,239,9.942,26.455,0.787456205,239,10.062,26.135,0.784596102,239,10.211 +27.045,0.793471503,239,9.301,26.685,0.790611399,239,9.487,26.365,0.787652603,239,9.598,26.035,0.784793486,239,9.735 +26.745,0.795540094,237,9.45,26.385,0.792582285,237,9.655,26.065,0.789722181,237,9.776,25.735,0.786862077,237,9.913 +25.765,0.797607698,231,9.519,25.435,0.794648902,231,9.892,25.135,0.791789785,231,10.161,24.835,0.788929682,231,10.409 +24.785,0.799674315,233,9.579,24.485,0.796815199,233,10.139,24.215,0.793856403,233,10.545,23.935,0.790997286,233,10.905 +23.365,0.802824574,227,7.814,23.185,0.799965458,228,8.647,22.915,0.797007649,229,9.322,22.635,0.794147545,229,9.893 +21.605,0.801932396,229,8.131,21.485,0.799073279,231,9.378,21.315,0.79611547,231,10.417,21.135,0.793255366,231,11.331 +20.145,0.801927461,221,7.01,20.085,0.799068344,226,8.123,19.955,0.796209228,228,9.125,19.835,0.793350111,228,10.072 +19.165,0.801529731,220,6.356,19.285,0.798868986,225,7.481,19.265,0.796009869,227,8.434,19.235,0.793249445,227,9.279 +18.285,0.80152677,227,5.999,18.585,0.798867012,235,7.263,18.685,0.796106588,237,8.365,18.835,0.793445843,237,9.328 +17.505,0.801524796,225,6.148,18.285,0.799161115,239,7.392,18.415,0.796401678,243,8.346,18.535,0.793740933,243,9.11 +16.745,0.801128053,231,6.802,17.885,0.798962744,246,8.341,18.035,0.796301999,250,9.342,18.235,0.793542561,250,9.893 +16.185,0.802801875,223,5.384,17.385,0.800735258,250,6.591,17.585,0.798074513,257,7.556,17.835,0.795413768,257,8.129 +15.885,0.802407106,213,5.384,17.385,0.80053886,248,5.791,17.515,0.797778436,257,6.146,17.635,0.795018998,257,6.444 +15.665,0.804081915,213,5.622,17.585,0.80241204,244,5.603,17.605,0.799651616,252,5.524,17.635,0.796892179,252,5.482 +15.565,0.80132149,223,5.533,17.485,0.799651616,243,5.801,17.455,0.796792499,247,5.81,17.435,0.79393437,247,5.819 +15.465,0.798462374,218,5.444,17.385,0.796792499,245,6.008,17.315,0.793933383,249,6.106,17.235,0.791075253,249,6.156 +16.645,0.797381693,226,2.41,16.585,0.794522576,242,3.35,16.705,0.791763138,249,4.39,16.835,0.789102393,249,5.185 +19.145,0.797389588,240,2.747,18.785,0.794431779,240,2.826,18.485,0.791472983,240,2.92,18.235,0.788515174,240,3.024 +21.245,0.796016778,242,3.074,20.885,0.793057982,242,3.133,20.565,0.790099186,242,3.196,20.235,0.787141377,242,3.261 +22.745,0.795725635,258,3.163,22.385,0.792766839,258,3.222,22.065,0.789808043,257,3.285,21.735,0.786850234,257,3.351 +23.905,0.796517148,262,3.332,23.485,0.793460646,261,3.4,23.165,0.790600543,261,3.453,22.835,0.787641747,261,3.519 +24.805,0.795337774,276,3.649,24.385,0.792378979,275,3.726,24.085,0.789420183,275,3.768,23.835,0.786561066,275,3.826 +25.545,0.794649889,278,3.917,25.185,0.791691093,277,3.983,24.865,0.788830989,277,4.035,24.535,0.78587318,277,4.084 +26.145,0.795144338,286,4.065,25.785,0.792185542,286,4.121,25.455,0.789326425,285,4.173,25.135,0.786367629,285,4.223 +26.445,0.795736491,283,3.51,26.085,0.792876388,282,3.577,25.785,0.789918579,282,3.611,25.535,0.787058475,282,3.658 +26.745,0.796132248,275,4.353,26.385,0.793272144,275,4.417,26.085,0.79041204,274,4.469,25.835,0.787454231,274,4.52 +26.335,0.79938416,273,5.771,26.035,0.796425364,273,6.117,25.745,0.793566247,273,6.383,25.435,0.790706144,273,6.612 +25.925,0.80253738,266,7.189,25.685,0.799677276,266,7.827,25.415,0.796818159,267,8.296,25.135,0.793859363,267,8.694 +24.205,0.80233506,250,6.842,24.085,0.799474957,253,7.767,23.885,0.79661584,254,8.543,23.735,0.793756723,254,9.19 +22.285,0.804398717,254,6.703,22.285,0.8015396,258,7.827,22.135,0.798680484,259,8.799,22.035,0.795821367,259,9.665 +20.685,0.802323217,251,6.465,20.685,0.799465088,258,7.55,20.535,0.796605971,260,8.513,20.335,0.793745867,260,9.408 +19.665,0.804685912,246,5.979,19.785,0.802025167,258,6.819,19.665,0.799067358,262,7.556,19.535,0.796208241,262,8.278 +18.605,0.803302245,273,7.635,18.785,0.800542808,281,9.102,18.865,0.79778337,284,10.457,18.935,0.795121638,284,11.707 +18.185,0.802808784,291,8.597,18.485,0.800148038,298,10.09,18.635,0.797486306,301,11.345,18.835,0.794726869,301,12.401 +17.585,0.8031019,310,7.476,17.885,0.800539847,317,8.943,18.065,0.79778041,320,10.092,18.235,0.795119664,320,10.964 +17.145,0.804185542,306,6.951,17.685,0.801623489,321,7.886,17.815,0.798864051,327,8.375,17.935,0.796203306,327,8.545 +16.705,0.805366889,303,6.445,17.485,0.803003208,323,6.858,17.535,0.80024377,331,6.876,17.535,0.797483346,331,6.721 +16.305,0.806055761,307,6.296,17.385,0.803791759,330,6.433,17.365,0.801031335,338,6.146,17.335,0.798172218,338,5.849 +16.005,0.802899581,309,5.414,16.635,0.800437207,325,5.999,16.645,0.79767777,333,6.087,16.635,0.794818653,333,5.829 +15.705,0.799744387,315,4.522,15.885,0.797083642,331,5.564,15.935,0.794323217,338,6.027,16.035,0.791465088,338,5.799 +16.545,0.800831976,324,2.4,16.185,0.797774488,329,2.52,16.065,0.794915371,333,2.742,15.935,0.792056255,333,3.083 +19.105,0.798867999,338,0.714,18.685,0.795811498,337,0.751,18.335,0.792852702,336,0.769,18.035,0.789893906,336,0.793 +20.505,0.797492228,332,1.844,20.085,0.794534419,331,2.075,19.735,0.791476931,331,2.229,19.335,0.788518135,331,2.369 +20.745,0.798971626,352,4.422,20.385,0.795915125,352,4.457,20.065,0.792956329,352,4.479,19.735,0.78999852,352,4.52 +21.605,0.796017765,358,3.55,21.185,0.792960276,357,3.577,20.865,0.790100173,357,3.591,20.535,0.787142364,357,3.618 +22.705,0.79621811,5,3.381,22.285,0.793259314,5,3.409,21.935,0.790300518,5,3.433,21.535,0.787342709,5,3.46 +23.605,0.794741673,352,3.183,23.185,0.791685171,352,3.202,22.865,0.788825068,351,3.216,22.535,0.785867259,351,3.242 +24.245,0.795335801,3,4.789,23.885,0.792377005,2,4.832,23.535,0.789418209,2,4.853,23.235,0.7864604,2,4.897 +24.705,0.795336788,21,4.68,24.285,0.792377992,21,4.724,23.955,0.789420183,21,4.755,23.635,0.786560079,21,4.798 +25.045,0.797210955,26,4.075,24.685,0.794252159,26,4.121,24.365,0.791393042,26,4.143,24.035,0.788434246,26,4.193 +25.225,0.799577597,35,4.046,24.985,0.796619788,35,4.239,24.685,0.793759684,34,4.35,24.435,0.790801875,34,4.451 +25.405,0.801845547,23,4.016,25.285,0.799085122,24,4.358,25.015,0.796126326,24,4.558,24.735,0.793267209,24,4.699 +25.205,0.804308907,19,3.094,25.085,0.80144979,22,3.488,24.855,0.798590674,23,3.749,24.635,0.795731557,23,3.906 +24.825,0.806477177,278,2.033,24.885,0.803716753,311,1.888,24.685,0.800857636,321,1.835,24.535,0.79799852,321,1.765 +21.985,0.803905255,257,7.437,23.185,0.801838638,272,8.034,23.135,0.798979521,275,8,23.035,0.796219097,275,7.752 +19.405,0.805966938,276,9.44,19.885,0.803503578,280,11.276,20.235,0.800843819,282,12.617,20.535,0.798281767,282,13.512 +18.445,0.804780656,280,9.063,18.985,0.802318283,284,10.831,19.535,0.799855909,287,12.203,20.035,0.797392549,287,13.214 +18.365,0.805076733,275,7.923,19.085,0.80261436,284,9.359,19.635,0.800151986,289,10.338,20.235,0.797688626,289,10.905 +18.305,0.805766593,270,6.495,19.385,0.803601283,289,7.609,19.835,0.801137923,296,8.237,20.335,0.798576857,296,8.357 +18.325,0.807146311,270,4.978,19.285,0.804882309,302,5.643,19.515,0.802221564,311,6.126,19.735,0.799560819,311,6.384 +18.325,0.807146311,245,2.856,18.985,0.80478263,298,2.688,19.035,0.801923513,314,2.861,19.035,0.799164076,314,3.073 +18.245,0.807245004,244,2.201,18.785,0.804781643,297,1.729,18.835,0.802022206,317,1.638,18.935,0.799163089,317,1.735 +18.565,0.805175426,255,1.666,18.835,0.80251468,278,1.255,18.795,0.799655564,289,1.085,18.735,0.796896126,289,1.1 +18.885,0.803106834,214,1.13,18.885,0.800247718,221,0.781,18.765,0.797388601,211,0.543,18.635,0.794529484,211,0.466 +18.705,0.799261781,177,1.725,18.885,0.796501357,162,1.848,18.785,0.79364224,156,1.943,18.735,0.790882803,156,2.062 +21.045,0.798972613,128,1.279,20.685,0.795916112,129,1.304,20.385,0.792957316,129,1.322,20.035,0.790098199,129,1.348 +22.705,0.797499136,103,1.18,22.285,0.794442635,105,1.186,21.985,0.791483839,106,1.194,21.735,0.788624722,106,1.199 +24.005,0.798390328,61,1.557,23.585,0.795333827,62,1.552,23.285,0.792473723,62,1.529,23.035,0.789515914,62,1.517 +25.305,0.796028621,79,1.051,24.885,0.793069825,83,1.028,24.565,0.790112016,85,1.016,24.235,0.787251912,85,1.001 +26.305,0.796327659,84,1.021,25.885,0.793368863,90,0.998,25.565,0.790509746,92,0.967,25.235,0.78755095,92,0.952 +27.145,0.795639773,141,0.922,26.785,0.792780656,148,0.959,26.455,0.789920553,150,0.986,26.135,0.786961757,150,1.011 +27.745,0.795740439,186,2.291,27.385,0.792781643,187,2.362,27.065,0.789922527,187,2.417,26.735,0.787062423,187,2.468 +28.105,0.795840118,201,3.937,27.685,0.792881322,201,4.022,27.365,0.790022206,201,4.074,27.035,0.787162102,201,4.124 +27.945,0.796727362,230,5.751,27.585,0.793768566,230,5.85,27.285,0.790908463,230,5.909,27.035,0.788049346,230,5.978 +27.515,0.798007402,229,6.415,27.185,0.795147298,229,6.72,26.895,0.792189489,229,6.955,26.635,0.789329386,229,7.167 +27.085,0.799287441,231,7.08,26.785,0.796427338,232,7.599,26.515,0.793568221,232,8,26.235,0.790708117,232,8.367 +25.845,0.801156674,247,5.017,25.785,0.79839625,247,5.692,25.565,0.795537133,247,6.244,25.335,0.792677029,247,6.681 +24.225,0.802038983,243,6.128,24.585,0.799476931,251,7.125,24.435,0.796716506,253,7.803,24.335,0.79385739,253,8.317 +22.565,0.801146805,252,6.812,22.985,0.798683444,263,8.271,23.015,0.79592302,266,9.48,23.035,0.793163583,266,10.419 +21.165,0.803902295,257,7.03,21.585,0.801438934,266,8.617,21.885,0.798778189,270,9.806,22.235,0.796216136,270,10.478 +20.165,0.803209474,270,6.168,20.585,0.800647422,278,7.422,21.005,0.798085369,282,8.573,21.435,0.795622995,282,9.536 +19.865,0.803503578,275,5.335,20.285,0.800942512,291,6.384,20.585,0.798281767,297,7.369,20.935,0.795719714,297,8.238 +19.845,0.802912411,262,5.037,20.385,0.800450037,290,5.534,20.515,0.797689613,297,6.018,20.635,0.795028868,297,6.424 +19.965,0.804293116,281,4.879,20.385,0.801731063,306,5.257,20.385,0.798871947,313,5.653,20.435,0.796112509,313,6.037 +19.545,0.803601283,277,5.226,20.085,0.801138909,302,5.376,20.065,0.798279793,308,5.475,20.035,0.795519368,308,5.67 +18.985,0.80478263,266,5.156,19.885,0.802518628,294,5.04,19.885,0.799758204,301,4.814,19.935,0.796899087,301,4.739 +18.235,0.804385887,260,4.244,19.285,0.802220577,291,4.111,19.295,0.799361461,300,3.936,19.335,0.796602023,300,3.817 +17.485,0.803989144,254,3.332,18.685,0.801823834,312,3.192,18.705,0.799064397,327,3.048,18.735,0.796303972,327,2.895 +18.185,0.799850975,232,2.707,18.485,0.797190229,263,2.263,18.535,0.794430792,277,1.499,18.535,0.791670368,277,0.674 +20.685,0.800943499,211,1.14,20.385,0.797984703,209,1.176,20.065,0.795026894,208,1.223,19.735,0.792068098,208,1.269 +23.045,0.797205033,208,1.864,22.685,0.794246237,209,1.927,22.365,0.791288428,208,1.993,22.035,0.788329632,208,2.072 +25.045,0.797507032,231,1.507,24.685,0.794548236,231,1.571,24.365,0.791688132,230,1.628,24.035,0.788730323,230,1.695 +26.245,0.797509993,235,1.368,25.885,0.794552184,234,1.453,25.565,0.79169208,233,1.509,25.235,0.788734271,233,1.586 +27.105,0.798202813,224,1.834,26.685,0.795244017,223,1.927,26.365,0.7923849,223,1.993,26.035,0.789426104,223,2.062 +27.705,0.795149272,234,3.371,27.285,0.792190476,234,3.459,26.955,0.789330373,233,3.522,26.635,0.786372564,233,3.589 +28.265,0.796924747,223,3.441,27.785,0.793965951,223,3.528,27.455,0.791106834,222,3.581,27.135,0.788246731,222,3.648 +28.445,0.797221811,231,3.044,28.085,0.794263015,230,3.113,27.755,0.791402911,230,3.167,27.435,0.788542808,230,3.212 +28.345,0.79860153,232,1.735,27.985,0.795642734,232,1.789,27.685,0.79278263,232,1.825,27.435,0.789923513,232,1.864 +26.935,0.799878608,93,2.221,26.635,0.796919812,95,2.332,26.385,0.794060696,96,2.427,26.135,0.791200592,96,2.538 +25.525,0.801056995,58,2.697,25.285,0.798197878,64,2.866,25.085,0.795337774,67,3.038,24.935,0.792478658,67,3.212 +25.285,0.804013817,24,2.419,25.285,0.801253393,48,2.451,25.185,0.798492968,56,2.506,25.035,0.795633851,56,2.587 +25.105,0.805492228,295,1.309,25.285,0.802830496,283,0.652,25.185,0.800070072,242,0.483,25.035,0.797210955,242,0.773 +24.045,0.804897113,280,4.294,24.885,0.802632124,287,3.943,24.835,0.7998717,286,3.601,24.835,0.797112263,286,3.43 +23.125,0.8073585,274,4.65,24.385,0.805292869,300,4.664,24.315,0.802433753,303,4.646,24.235,0.799673328,303,4.778 +22.165,0.804201332,283,5.523,23.485,0.802233407,314,5.84,23.435,0.799375278,316,5.988,23.435,0.796614853,316,6.295 +21.545,0.807353565,276,4.135,22.085,0.804891192,311,4.467,22.205,0.802130767,319,4.932,22.335,0.799470022,319,5.423 +20.445,0.80459018,213,3.143,21.285,0.802325191,251,2.382,21.415,0.799664446,270,2.151,21.535,0.796904022,270,2.399 +20.145,0.806954848,209,3.51,21.585,0.80498791,227,2.698,21.535,0.802128793,235,2.032,21.535,0.799369356,235,1.477 +19.985,0.806757464,205,4.492,22.085,0.805186282,206,3.815,21.915,0.802327165,205,3.246,21.735,0.799369356,205,2.746 +19.365,0.807642734,210,5.93,21.885,0.806368616,200,5.722,21.835,0.803510486,196,5.317,21.835,0.800651369,196,4.967 +18.415,0.805076733,214,6.296,19.735,0.803010116,200,6.779,19.935,0.800349371,196,7.103,20.135,0.797688626,196,7.415 +17.465,0.80241204,203,6.653,17.585,0.799651616,196,7.827,18.035,0.797189243,193,8.888,18.535,0.79462719,193,9.854 +19.845,0.80103923,197,6.306,19.485,0.797982729,197,6.493,19.265,0.795023933,197,6.708,19.035,0.792164816,197,6.999 +21.985,0.803609178,196,4.294,21.685,0.800651369,196,4.368,21.385,0.797692573,196,4.449,21.035,0.794733777,196,4.53 +23.845,0.801939304,148,0.982,23.485,0.798980508,148,0.998,23.165,0.796022699,149,1.026,22.835,0.793063903,149,1.051 +24.645,0.800561559,69,1.319,24.285,0.797602763,71,1.324,23.985,0.794644954,72,1.312,23.735,0.791784851,72,1.309 +25.345,0.801155687,38,2.975,24.985,0.798196891,39,2.984,24.685,0.795238095,39,2.979,24.435,0.792378979,39,2.984 +26.105,0.800369109,48,4.135,25.685,0.797410313,48,4.16,25.365,0.794451517,48,4.183,25.035,0.791592401,48,4.203 +26.805,0.799286454,55,4.065,26.385,0.796327659,55,4.101,26.065,0.793468542,55,4.123,25.735,0.790509746,55,4.154 +27.505,0.799683198,38,2.826,27.085,0.796724402,38,2.846,26.785,0.793765606,38,2.851,26.535,0.790906489,38,2.865 +27.845,0.799684185,14,2.529,27.485,0.796725389,14,2.55,27.165,0.793866272,15,2.555,26.835,0.790907476,15,2.568 +27.845,0.799980262,354,2.975,27.485,0.797021466,355,3.004,27.185,0.794161362,355,3.009,26.935,0.791302245,355,3.033 +26.815,0.801554404,301,2.955,26.485,0.798595608,301,2.994,26.185,0.795735505,301,3.009,25.935,0.792876388,301,3.024 +25.785,0.803128547,293,2.935,25.485,0.800169751,293,2.984,25.185,0.797309647,293,2.999,24.935,0.794351838,293,3.014 +25.325,0.804901061,335,2.38,25.085,0.802041944,332,2.431,24.815,0.799083148,332,2.377,24.535,0.796224032,332,2.3 +24.865,0.805983716,15,2.618,24.685,0.803124599,8,2.569,24.455,0.800265482,8,2.348,24.235,0.797405379,8,2.102 +23.985,0.807460153,10,4.056,23.985,0.804699729,5,4.052,23.835,0.801840612,4,3.699,23.735,0.798981495,4,3.311 +23.465,0.808345423,30,3.837,23.585,0.805585986,24,3.745,23.505,0.802825561,25,3.374,23.435,0.799966445,25,3.043 +23.005,0.807850975,44,4.145,23.185,0.805091537,39,4.18,23.205,0.802331113,40,3.985,23.235,0.799571675,40,3.856 +22.685,0.808835924,59,3.352,22.985,0.806175179,44,3.765,23.035,0.803415741,45,3.897,23.035,0.800655317,45,3.995 +22.345,0.807651616,140,1.706,22.585,0.804990871,74,2.174,22.685,0.802330126,63,2.93,22.835,0.799569701,63,3.341 +21.705,0.807847027,168,2.965,22.185,0.805285961,128,2.648,22.285,0.802624229,115,2.94,22.335,0.799864792,115,3.608 +20.125,0.807349618,187,5.632,22.885,0.806175179,167,5.327,22.765,0.803316062,164,5.09,22.635,0.800456945,164,4.897 +18.685,0.807344683,192,8.458,21.385,0.806170244,178,9.932,21.635,0.803509499,176,10.802,21.935,0.800947446,176,11.539 +19.355,0.807346657,191,8.061,20.735,0.805281026,188,9.428,21.045,0.802718974,186,10.516,21.335,0.800156921,186,11.549 +20.025,0.807249938,196,7.675,20.085,0.804490501,193,8.934,20.455,0.801829756,191,10.23,20.835,0.799267703,191,11.549 +22.245,0.801736985,195,7.595,21.885,0.798679497,195,7.787,21.615,0.79582038,195,7.971,21.335,0.792862571,195,8.188 +23.985,0.804502344,209,4.214,23.685,0.801544535,209,4.279,23.365,0.798585739,209,4.341,23.035,0.79562793,209,4.411 +25.965,0.801748828,111,0.466,25.485,0.79869134,116,0.514,25.135,0.795732544,118,0.543,24.835,0.792774735,118,0.575 +26.565,0.803130521,67,1.477,26.085,0.800073032,68,1.621,25.755,0.797114236,68,1.707,25.435,0.79425512,68,1.765 +26.945,0.801751789,68,2.618,26.585,0.798792993,68,2.688,26.255,0.795834197,68,2.742,25.935,0.79297508,68,2.796 +27.265,0.800964224,71,4.125,26.785,0.797906736,71,4.16,26.455,0.795046632,71,4.173,26.135,0.792087836,71,4.203 +27.905,0.799881569,72,3.966,27.485,0.796922773,73,4.002,27.135,0.793963977,73,4.025,26.835,0.791104861,73,4.054 +28.505,0.800079941,68,3.768,28.085,0.797122132,68,3.795,27.755,0.794163336,68,3.808,27.435,0.791303232,68,3.836 +28.805,0.800080928,63,3.599,28.385,0.797122132,63,3.627,28.065,0.794164323,63,3.64,27.735,0.791304219,63,3.658 +28.745,0.800574389,48,2.717,28.385,0.797615593,48,2.757,28.085,0.79475549,49,2.782,27.835,0.791896373,49,2.796 +28.065,0.802050827,134,2.638,27.735,0.799190723,134,2.708,27.445,0.796232914,134,2.752,27.135,0.79337281,134,2.786 +27.385,0.803527264,165,2.558,27.085,0.80066716,164,2.658,26.815,0.797808043,164,2.723,26.535,0.794849247,164,2.766 +27.225,0.803723661,167,2.876,26.985,0.800864545,166,2.915,26.715,0.797905749,166,2.91,26.435,0.795046632,166,2.924 +26.965,0.806089317,219,1.696,26.785,0.803229213,215,1.631,26.515,0.800370096,214,1.549,26.235,0.797509993,214,1.487 +26.745,0.807862818,295,1.497,26.685,0.805102393,306,1.403,26.435,0.802243277,309,1.381,26.235,0.799383173,309,1.368 +26.305,0.808749075,314,1.259,26.485,0.806087343,348,1.255,26.265,0.803228226,354,1.273,26.035,0.800369109,354,1.309 +25.565,0.807662472,246,1.011,25.985,0.805199112,323,0.731,25.835,0.802339995,343,0.75,25.735,0.799480878,343,0.773 +24.065,0.807460153,195,3.937,25.085,0.805294843,176,3.281,25.035,0.802534419,170,2.703,25.035,0.799675302,170,2.389 +22.165,0.807355539,200,8.418,22.585,0.804794473,194,9.872,22.835,0.802132741,191,11.177,23.035,0.799471996,191,12.302 +21.145,0.806070565,199,10.035,21.085,0.803212435,197,11.335,21.105,0.800452011,196,12.558,21.135,0.797691586,196,13.75 +20.345,0.805673822,200,9.589,20.285,0.802814705,198,10.94,20.455,0.80015396,197,12.282,20.635,0.797493215,197,13.631 +20.045,0.80547545,199,9.38,19.985,0.802617321,197,10.772,20.235,0.799956575,196,12.134,20.535,0.797394523,196,13.462 +20.005,0.805081668,209,7.516,19.885,0.802222551,207,8.598,20.135,0.799561806,206,9.766,20.435,0.796999753,206,11.014 +19.965,0.804785591,196,5.662,19.785,0.801827782,196,6.423,20.035,0.799167037,196,7.399,20.335,0.796506292,196,8.555 +21.945,0.800750062,203,5.434,21.585,0.797792253,204,5.84,21.505,0.794933136,204,6.136,21.435,0.792172712,204,6.374 +24.445,0.802433753,256,2.281,24.085,0.799474957,256,2.303,23.785,0.796517148,256,2.318,23.535,0.793658031,256,2.33 +24.845,0.800365162,6,2.628,24.485,0.797406366,6,2.648,24.215,0.794547249,6,2.644,23.935,0.791588453,6,2.657 +24.945,0.800069085,32,4.105,24.585,0.797111276,32,4.141,24.285,0.794251172,32,4.163,24.035,0.791293363,32,4.193 +25.645,0.800860597,54,4.284,25.285,0.797901801,54,4.309,24.955,0.794943992,54,4.331,24.635,0.791985196,54,4.372 +26.705,0.798596595,61,2.995,26.285,0.795539107,61,3.004,25.955,0.792679003,61,3.019,25.635,0.7898189,61,3.043 +27.745,0.798303479,62,2.529,27.385,0.795344683,62,2.54,27.065,0.792485566,62,2.545,26.735,0.78952677,62,2.558 +28.445,0.798897607,55,2.697,28.085,0.795938811,55,2.718,27.755,0.793078707,55,2.723,27.435,0.79021959,55,2.736 +29.105,0.798603504,70,3.133,28.685,0.795644708,70,3.162,28.385,0.792784604,70,3.167,28.035,0.789925487,70,3.202 +29.345,0.799096965,92,3.599,28.985,0.796138169,92,3.647,28.715,0.793279053,92,3.68,28.435,0.790418949,92,3.717 +27.495,0.80165507,247,7.308,27.135,0.798696274,247,7.58,26.845,0.795836171,247,7.783,26.535,0.792977054,247,7.96 +25.645,0.804212189,264,11.026,25.285,0.801253393,264,11.523,24.985,0.798394276,264,11.887,24.735,0.79543548,264,12.213 +23.925,0.802629164,236,8.121,23.685,0.799671355,239,9.191,23.685,0.79691093,240,10.013,23.735,0.794249198,240,10.558 +23.865,0.804601036,220,5.811,24.585,0.802237355,231,6.809,24.815,0.79957661,236,7.152,25.035,0.79701357,236,6.791 +23.805,0.805192203,234,4.67,25.485,0.80342265,250,5.06,25.585,0.800663212,255,5.001,25.735,0.79800148,255,4.828 +23.345,0.80676832,217,3.599,25.385,0.805197138,262,3.607,25.485,0.802436714,272,3.689,25.535,0.799775968,272,3.727 +22.965,0.805287935,210,4.135,25.185,0.803816432,246,3.528,25.285,0.8011547,259,3.324,25.335,0.798492968,259,3.361 +22.305,0.805285961,206,4.819,24.885,0.80401283,220,3.933,24.935,0.801252406,230,3.068,25.035,0.798492968,230,2.647 +21.765,0.805481372,217,5.265,24.585,0.804405625,219,4.724,24.535,0.801546509,224,3.847,24.535,0.798787071,224,3.202 +21.245,0.804888231,225,5.761,24.185,0.803813472,223,5.633,24.135,0.801053047,225,5.1,24.035,0.79819393,225,4.669 +20.365,0.804294103,226,6.644,23.185,0.803119664,226,7.135,23.285,0.800458919,227,6.984,23.335,0.797698495,227,6.82 +19.205,0.805276092,222,7.615,21.485,0.803804589,237,8.578,21.665,0.801143844,239,8.651,21.835,0.798483099,239,8.496 +18.835,0.803401925,225,5.88,20.035,0.801335307,243,6.779,20.345,0.798675549,251,7.172,20.635,0.796112509,251,7.366 +18.465,0.801527757,247,4.155,18.585,0.79876832,269,4.971,19.035,0.796304959,278,5.692,19.535,0.793743893,278,6.245 +20.485,0.800647422,289,3.599,20.185,0.797589933,293,4.012,20.265,0.794929188,296,4.656,20.335,0.792168764,296,5.611 +22.905,0.800950407,331,5.166,22.485,0.797892919,331,5.277,22.165,0.79493511,331,5.376,21.835,0.791976314,331,5.482 +24.305,0.796616827,343,3.48,23.885,0.793659018,343,3.518,23.565,0.790700222,343,3.551,23.235,0.787840118,343,3.579 +26.485,0.800370096,359,1.587,25.885,0.797213916,359,1.601,25.535,0.794353812,359,1.608,25.235,0.791395016,359,1.626 +27.625,0.799190723,339,1.448,27.085,0.796231927,338,1.591,26.735,0.793273131,338,1.677,26.335,0.790413027,338,1.745 +28.465,0.796827042,349,1.884,27.985,0.793868246,348,2.065,27.635,0.79090945,348,2.18,27.335,0.788050333,348,2.26 +28.805,0.7957434,358,4.125,28.385,0.792784604,358,4.16,28.065,0.789925487,357,4.193,27.735,0.787065384,357,4.233 +29.205,0.796237849,7,5.305,28.785,0.793279053,7,5.366,28.455,0.790418949,7,5.396,28.135,0.787559832,7,5.442 +29.405,0.797126079,324,3.133,28.985,0.794167283,325,3.182,28.715,0.79130718,325,3.216,28.435,0.788448063,325,3.261 +27.045,0.796921786,294,11.552,26.685,0.79396299,294,11.799,26.365,0.791102887,294,11.976,26.035,0.78824377,294,12.183 +24.915,0.798985443,289,8.627,24.585,0.796026647,289,9.052,24.325,0.79316753,289,9.421,24.035,0.790307427,289,9.814 +22.785,0.801049099,256,5.702,22.485,0.798090303,258,6.305,22.285,0.795231187,258,6.866,22.035,0.792371083,258,7.445 +22.665,0.801048113,237,5.226,22.485,0.798090303,240,5.929,22.465,0.795329879,241,6.57,22.435,0.792569455,241,7.207 +21.645,0.800453985,229,6.693,21.585,0.79769356,233,7.787,21.935,0.795131508,235,8.809,22.335,0.792569455,235,9.824 +20.745,0.801830743,231,9.172,20.685,0.799070318,234,10.544,20.985,0.796409573,235,12.005,21.335,0.79384752,235,13.571 +20.645,0.803309154,240,8.884,20.585,0.800450037,243,10.021,20.685,0.797789292,244,11.088,20.835,0.795028868,244,12.124 +20.485,0.802323217,256,8.061,20.485,0.799562793,260,9.289,20.615,0.796803356,262,10.457,20.735,0.794141623,262,11.579 +20.385,0.802420923,272,7.943,20.385,0.799661485,277,9.299,20.455,0.796901061,279,10.565,20.535,0.794141623,279,11.787 +20.225,0.802618307,281,7.476,20.285,0.799857883,286,8.855,20.415,0.797098446,288,10.161,20.535,0.794436714,288,11.38 +19.905,0.801827782,288,7.833,20.085,0.799167037,293,9.477,20.315,0.796506292,295,10.901,20.535,0.793845547,295,12.064 +19.665,0.802517641,277,6.971,19.785,0.799757217,285,8.331,19.985,0.797096472,289,9.539,20.235,0.794435727,289,10.567 +19.365,0.804093758,265,6.098,19.485,0.80133432,277,7.224,19.635,0.798573896,281,8.296,19.835,0.795913151,281,9.299 +18.795,0.802415988,244,5.592,18.735,0.799556871,261,6.463,18.785,0.796797434,267,7.349,18.835,0.79403701,267,8.238 +18.225,0.800836911,256,5.087,17.985,0.797879102,261,5.702,17.935,0.795019985,263,6.412,17.935,0.792259561,263,7.177 +19.545,0.801728103,294,4.839,19.185,0.798671601,294,5.06,18.865,0.795712805,294,5.297,18.535,0.792656304,294,5.551 +21.145,0.800254626,322,4.323,20.785,0.797296817,322,4.398,20.455,0.794338021,322,4.469,20.135,0.791379225,322,4.55 +22.445,0.797892919,326,4.036,22.085,0.794836417,326,4.091,21.755,0.791976314,325,4.133,21.435,0.789017518,325,4.193 +23.945,0.79819393,306,3.461,23.585,0.795235134,306,3.508,23.255,0.792276339,305,3.551,22.935,0.789318529,305,3.608 +25.445,0.797113249,321,4.294,25.085,0.79415544,320,4.338,24.755,0.791295337,320,4.38,24.435,0.788337528,320,4.431 +26.505,0.796427338,334,4.303,26.085,0.793468542,333,4.358,25.755,0.790608438,333,4.39,25.435,0.787649642,333,4.441 +27.305,0.797612633,354,3.381,26.885,0.794653837,354,3.419,26.565,0.791793733,353,3.443,26.235,0.788834937,353,3.48 +27.805,0.796135208,358,3.391,27.385,0.793176413,357,3.439,27.065,0.790316309,357,3.463,26.735,0.787457192,357,3.509 +27.245,0.796528004,318,4.254,26.885,0.7936679,318,4.309,26.635,0.790807797,319,4.35,26.435,0.78794868,319,4.401 +26.305,0.797412287,286,9.757,25.885,0.794453491,286,9.922,25.585,0.791593388,286,10.023,25.335,0.788734271,286,10.161 +25.895,0.798692327,274,8.052,25.535,0.795733531,274,8.341,25.255,0.792775722,274,8.563,25.035,0.789916605,274,8.793 +25.485,0.799873674,264,6.346,25.185,0.796915865,265,6.759,24.935,0.794055761,265,7.103,24.735,0.791196644,265,7.425 +25.365,0.799774981,256,5.761,25.185,0.796915865,261,6.562,25.085,0.79415544,263,7.241,25.035,0.791395016,263,7.822 +24.925,0.803914138,252,6.842,24.985,0.801153713,256,7.896,24.935,0.798393289,258,8.711,24.935,0.795633851,258,9.308 +23.485,0.802628177,244,8.329,23.485,0.799867752,248,9.625,23.415,0.797008636,250,10.822,23.335,0.794248211,250,11.955 +22.645,0.805089563,256,8.756,22.585,0.802329139,258,10.021,22.455,0.799470022,259,11.226,22.335,0.796610906,259,12.401 +22.045,0.803806563,262,8.597,21.985,0.801046139,264,9.882,21.935,0.798187022,265,11.137,21.935,0.795426598,265,12.411 +21.485,0.803213422,264,8.26,21.485,0.800354305,267,9.675,21.565,0.79769356,269,11.049,21.635,0.794933136,269,12.391 +21.065,0.804394769,272,7.278,21.185,0.801635332,276,8.766,21.385,0.798974587,278,10.151,21.535,0.796312855,278,11.45 +20.605,0.803605231,279,6.842,20.785,0.800943499,284,8.301,21.085,0.798282754,286,9.687,21.435,0.795721688,286,10.984 +20.165,0.802420923,299,6.366,20.285,0.799660498,301,7.807,20.615,0.797098446,302,9.204,20.935,0.794536393,302,10.548 +19.665,0.804193437,299,5.394,19.785,0.801433013,305,6.631,20.065,0.798773254,308,7.833,20.335,0.796210215,308,9.001 +19.615,0.803404885,294,4.69,19.735,0.800644461,307,5.742,19.885,0.797983716,312,6.6,20.035,0.795224278,312,7.207 +19.565,0.802615347,287,3.986,19.685,0.799855909,305,4.852,19.705,0.797095485,314,5.366,19.735,0.794336047,314,5.413 +20.645,0.798183074,283,2.122,20.285,0.795125586,287,2.204,20.115,0.792266469,289,2.299,19.935,0.789407353,289,2.419 +22.845,0.80035924,332,2.4,22.485,0.797400444,332,2.421,22.165,0.794441648,332,2.446,21.835,0.791483839,332,2.488 +25.665,0.798198865,322,0.912,25.185,0.795141377,319,1.018,24.815,0.792281273,318,1.075,24.435,0.789322477,318,1.13 +27.365,0.798499877,329,0.813,26.885,0.795541081,327,0.909,26.535,0.792582285,327,0.967,26.235,0.789722181,327,1.021 +28.365,0.79860153,322,1.854,27.885,0.795642734,320,2.046,27.535,0.792683938,320,2.141,27.235,0.789823834,320,2.23 +28.605,0.797024426,331,3.431,28.185,0.79406563,331,3.488,27.865,0.791206514,331,3.512,27.535,0.788247718,331,3.549 +29.405,0.796830002,309,2.38,28.985,0.793871207,308,2.411,28.665,0.791011103,308,2.446,28.335,0.788151986,308,2.488 +30.005,0.796535899,304,2.509,29.585,0.793675796,303,2.56,29.255,0.790815692,303,2.594,28.935,0.787956575,303,2.637 +30.345,0.795847027,318,3.233,29.985,0.792986923,317,3.301,29.665,0.79012682,317,3.344,29.335,0.787267703,317,3.4 +30.445,0.798016284,314,3.639,30.085,0.795156181,313,3.716,29.785,0.792296077,313,3.778,29.535,0.78943696,313,3.846 +28.615,0.799982235,289,4.075,28.285,0.797122132,291,4.19,28.125,0.794263015,292,4.341,27.935,0.791502591,292,4.56 +26.785,0.801948187,223,4.512,26.485,0.798990377,224,4.664,26.465,0.796327659,224,4.913,26.435,0.793568221,224,5.274 +26.105,0.801058969,233,5.156,25.985,0.798298544,235,5.742,25.915,0.79553812,237,6.225,25.835,0.792777696,237,6.642 +25.145,0.803027881,223,6.386,25.385,0.800366149,233,7.254,25.365,0.797606711,237,7.675,25.335,0.794846287,237,7.881 +24.265,0.801742906,229,7.199,24.985,0.799477918,242,8.519,25.015,0.79671848,247,9.273,25.035,0.793958056,247,9.497 +23.565,0.804600049,243,6.981,24.285,0.802236368,258,8.4,24.365,0.799574636,264,9.48,24.435,0.796913891,264,10.191 +22.905,0.804893166,273,7.129,23.385,0.802430792,281,8.766,23.535,0.799671355,285,10.121,23.735,0.797009623,285,11.113 +22.405,0.804398717,282,6.435,22.885,0.801837651,294,7.758,23.115,0.799176906,298,8.888,23.335,0.796613866,298,9.784 +22.125,0.804989884,280,5.91,22.785,0.802626203,300,6.967,22.955,0.799964471,307,7.764,23.135,0.797303726,307,8.278 +21.725,0.804594128,288,6.207,22.385,0.802230447,308,7.194,22.565,0.799569701,315,7.902,22.735,0.796907969,315,8.327 +21.105,0.805775475,293,7.149,21.885,0.803411794,311,8.331,22.035,0.800751049,318,9.056,22.235,0.797990624,318,9.328 +20.465,0.806265976,298,6.872,21.185,0.803902295,316,8.084,21.335,0.801241549,322,8.918,21.535,0.798579817,322,9.398 +20.415,0.806364668,294,6.207,20.985,0.803901308,312,7.501,21.075,0.80114187,318,8.356,21.135,0.798381446,318,8.714 +20.365,0.806364668,310,5.543,20.785,0.803802615,323,6.908,20.815,0.801042191,329,7.783,20.835,0.798282754,329,8.02 +21.445,0.799170984,335,2.449,21.085,0.796213175,338,2.668,21.035,0.793354059,340,3.107,21.035,0.790593634,340,3.787 +23.445,0.801839625,356,2.727,23.085,0.798880829,356,2.777,22.755,0.795922033,356,2.821,22.435,0.792964224,356,2.875 +25.665,0.800367135,7,2.519,25.185,0.797309647,6,2.54,24.865,0.79445053,6,2.545,24.535,0.791491735,6,2.558 +26.965,0.799582531,18,2.39,26.485,0.796525043,18,2.401,26.135,0.793665926,18,2.407,25.835,0.790707131,18,2.419 +27.965,0.802247224,35,2.35,27.485,0.799189736,36,2.362,27.135,0.796330619,35,2.358,26.835,0.793371823,35,2.369 +28.665,0.799982235,47,2.885,28.185,0.797023439,47,2.896,27.865,0.794064643,47,2.9,27.535,0.791205527,47,2.914 +29.565,0.79899926,51,2.628,29.085,0.796040464,51,2.629,28.755,0.79318036,51,2.624,28.435,0.790320257,51,2.627 +29.765,0.79870417,21,2.786,29.285,0.795745374,21,2.807,28.985,0.79288527,21,2.811,28.735,0.790026153,21,2.835 +29.645,0.798900567,322,3.044,29.285,0.796040464,323,3.054,28.955,0.793181347,323,3.048,28.635,0.790222551,323,3.043 +28.845,0.799785838,303,6.138,28.485,0.796827042,303,6.196,28.165,0.793966938,303,6.225,27.835,0.791107821,303,6.255 +27.935,0.801952134,289,5.315,27.635,0.799092031,289,5.593,27.385,0.796231927,289,5.83,27.135,0.79337281,289,6.077 +27.025,0.804216136,299,4.502,26.785,0.801357019,300,5,26.615,0.798496916,300,5.436,26.435,0.795736491,300,5.898 +27.065,0.802836417,297,3.342,26.885,0.799977301,300,3.785,26.765,0.797215889,302,4.212,26.635,0.794356773,302,4.659 +27.045,0.808356279,294,2.231,26.985,0.805595855,306,2.777,26.885,0.802835431,310,3.255,26.835,0.799976314,310,3.598 +27.005,0.806188009,278,3.312,27.185,0.803526277,300,3.647,27.065,0.80066716,305,3.926,26.935,0.797906736,305,4.054 +26.705,0.809143844,234,2.043,27.185,0.806681471,283,1.66,27.065,0.803822354,301,1.667,26.935,0.801060943,301,1.735 +25.525,0.806281767,249,3.976,27.085,0.804512213,283,3.4,26.955,0.801653096,291,3.048,26.835,0.798892672,291,2.954 +24.525,0.80716605,243,4.65,26.685,0.80569356,285,4.2,26.585,0.802834444,292,3.611,26.535,0.800074019,292,3.103 +23.645,0.807656551,242,4.948,25.385,0.805886997,287,4.743,25.515,0.803226252,300,4.38,25.635,0.800465828,300,3.698 +23.045,0.807949667,257,4.938,24.185,0.805784357,297,5.149,24.435,0.803222304,308,5.13,24.735,0.800561559,308,4.649 +22.545,0.808047372,264,4.432,23.385,0.805683691,306,4.615,23.665,0.803121638,317,4.676,23.935,0.800460893,317,4.372 +21.145,0.807648655,229,4.551,22.585,0.805681717,259,3.854,22.835,0.803118678,271,3.078,23.035,0.800457932,271,2.27 +20.855,0.805971873,217,4.422,22.235,0.804003948,241,4.121,22.505,0.801343203,246,3.433,22.835,0.79878115,246,2.558 +20.565,0.80429509,217,4.284,21.885,0.802327165,256,4.388,22.185,0.79966642,264,3.778,22.535,0.797104367,264,2.835 +22.105,0.801638293,238,2.529,21.985,0.798779176,259,2.975,22.315,0.796217123,270,3.216,22.635,0.79365507,270,2.756 +25.105,0.800858623,326,1.953,24.685,0.797899827,327,1.976,24.365,0.794942018,327,2.003,24.035,0.792081915,327,2.042 +26.745,0.802244264,354,2.796,26.385,0.799285468,354,2.836,26.065,0.796425364,355,2.871,25.735,0.793467555,355,2.924 +28.045,0.802839378,10,2.053,27.685,0.799880582,10,2.085,27.365,0.797020479,11,2.111,27.035,0.79406267,11,2.151 +29.205,0.801758697,349,1.864,28.785,0.798799901,350,1.897,28.455,0.795939798,350,1.914,28.135,0.793079694,350,1.953 +30.205,0.801465581,13,1.706,29.785,0.798506785,13,1.72,29.455,0.795646681,13,1.746,29.135,0.792787565,13,1.765 +31.005,0.80097508,39,1.011,30.585,0.798016284,39,1.136,30.235,0.795156181,39,1.213,29.835,0.792296077,39,1.279 +27.865,0.800176659,190,4.641,27.385,0.797217863,190,4.892,27.485,0.794557118,191,5.13,27.535,0.791895386,191,5.432 +28.505,0.799686158,209,9.023,28.085,0.796727362,209,9.517,27.835,0.793965951,209,9.983,27.535,0.791106834,209,10.528 +29.645,0.802252159,230,7.367,29.285,0.799393042,230,7.629,28.985,0.796532939,230,7.843,28.735,0.79357513,230,8.079 +29.405,0.803139403,233,6.772,29.135,0.800279299,233,7.224,28.875,0.797420183,234,7.576,28.635,0.794560079,234,7.881 +29.165,0.803926968,227,6.187,28.985,0.801067851,227,6.819,28.765,0.79830644,226,7.3,28.535,0.795447323,226,7.693 +28.245,0.805008636,245,6.306,28.185,0.802248211,244,6.997,27.935,0.799389094,244,7.517,27.735,0.796528991,244,7.95 +25.925,0.8069736,254,6.882,25.685,0.804014804,255,7.501,25.415,0.801155687,255,7.981,25.135,0.798196891,255,8.367 +24.325,0.806967678,268,5.672,24.085,0.804108562,270,6.295,23.885,0.801150753,271,6.856,23.735,0.798291636,271,7.445 +23.665,0.808445102,239,4.303,23.485,0.805585986,250,4.793,23.385,0.802725882,254,5.258,23.335,0.799867752,254,5.73 +23.205,0.806668641,220,4.561,23.385,0.804007895,238,4.911,23.365,0.801148779,245,5.14,23.335,0.798388354,245,5.323 +22.685,0.806568961,197,4.76,23.285,0.804106588,219,4.625,23.315,0.801346163,227,4.242,23.335,0.798684431,227,3.916 +22.205,0.80666568,206,4.809,23.585,0.804698742,222,4.615,23.565,0.801938317,229,4.025,23.535,0.799177893,229,3.499 +21.725,0.807551937,222,5.087,23.585,0.805881076,233,4.872,23.485,0.803021959,240,4.281,23.335,0.800162842,240,3.797 +21.345,0.807156181,232,5.523,23.085,0.805386627,247,5.425,23.035,0.802528497,251,4.913,23.035,0.799768073,251,4.491 +21.165,0.808633605,232,5.176,22.785,0.806766346,254,4.951,22.765,0.804005922,257,4.429,22.735,0.801146805,257,4.064 +20.675,0.807449297,229,5.949,22.385,0.80568073,234,6.058,22.295,0.802821614,234,5.81,22.235,0.799962497,234,5.7 +20.185,0.806264989,221,6.723,21.985,0.804496422,226,7.165,21.835,0.801637306,226,7.191,21.735,0.798778189,226,7.346 +21.565,0.80311473,218,5.979,21.385,0.800255613,221,6.918,21.265,0.797396496,222,7.704,21.135,0.79453738,222,8.109 +24.145,0.802827535,226,4.74,23.785,0.799868739,226,4.882,23.455,0.79691093,227,4.992,23.135,0.793952134,227,5.125 +26.545,0.802539354,246,2.935,26.185,0.799580558,246,2.994,25.865,0.796621762,246,3.038,25.535,0.793762645,246,3.103 +28.965,0.803532198,277,0.744,28.485,0.800573402,273,0.83,28.165,0.797713299,273,0.898,27.835,0.79475549,273,0.942 +29.645,0.800576363,338,2.062,29.285,0.797717246,337,2.065,28.955,0.794758451,337,2.062,28.635,0.791898347,337,2.072 +30.505,0.800480632,17,2.072,30.085,0.797521836,17,2.085,29.755,0.794661732,18,2.081,29.435,0.791802615,18,2.092 +31.145,0.800087836,42,2.181,30.785,0.797228719,43,2.204,30.455,0.794269924,43,2.21,30.135,0.79140982,43,2.221 +31.545,0.799793733,18,4.512,31.185,0.796933629,18,4.566,30.865,0.794073526,18,4.587,30.535,0.791214409,18,4.629 +32.105,0.799795707,12,5.791,31.685,0.796836911,12,5.89,31.365,0.7940755,12,5.929,31.035,0.791215396,12,5.988 +32.645,0.801571182,22,4.125,32.285,0.798711078,22,4.22,31.985,0.795851962,22,4.291,31.735,0.79309055,22,4.352 +27.325,0.801161609,186,6.772,26.935,0.798202813,186,7.155,26.625,0.795244017,186,7.478,26.335,0.7923849,186,7.782 +22.005,0.800652356,209,9.42,21.585,0.797594868,210,10.09,21.255,0.794636072,210,10.664,20.935,0.791678263,210,11.212 +22.785,0.802626203,212,7.02,22.485,0.799667407,213,7.343,22.235,0.796709598,213,7.635,22.035,0.793850481,213,7.97 +21.925,0.802130767,201,7.298,21.685,0.799171971,203,7.945,22.115,0.796610906,204,8.553,22.535,0.794147545,204,9.19 +21.445,0.803508512,199,6.763,21.385,0.800748088,203,7.738,22.335,0.798484086,206,8.198,23.335,0.796318776,206,8.04 +21.065,0.804690846,194,7.526,21.485,0.802128793,196,9.151,22.365,0.799864792,197,9.727,23.235,0.797599803,197,8.763 +20.865,0.804985936,201,7.724,21.885,0.802721934,201,9.813,22.665,0.800456945,201,10.555,23.435,0.798191957,201,9.418 +20.405,0.804294103,205,8.805,21.185,0.801930422,206,11.325,21.915,0.799566741,206,12.834,22.635,0.797301752,206,12.61 +19.505,0.804488527,208,11.453,19.385,0.801530718,210,13.064,19.705,0.798968665,211,14.708,20.035,0.796406612,211,16.426 +18.465,0.804583272,201,11.423,18.285,0.801724155,201,12.916,18.335,0.798866025,201,14.403,18.435,0.796105601,201,15.97 +17.945,0.804582285,207,9.856,17.885,0.801723168,206,11.483,18.535,0.799260794,206,13.308,19.235,0.796897113,206,15.286 +18.085,0.805075746,213,8.418,18.385,0.802415001,213,10.505,19.335,0.800150999,212,12.301,20.335,0.797984703,212,13.581 +19.345,0.804389835,215,6.713,19.435,0.80162941,217,8.331,20.245,0.799266716,218,9.865,21.035,0.797001727,218,11.113 +20.605,0.803702936,222,5.017,20.485,0.800843819,226,6.167,21.165,0.798480138,227,7.418,21.835,0.796116457,227,8.634 +22.545,0.799864792,177,1.795,22.185,0.796906982,183,1.878,22.015,0.794046879,186,1.993,21.835,0.791187762,186,2.121 +25.505,0.803226252,236,1.507,25.085,0.800168764,237,1.552,24.735,0.79730866,237,1.588,24.335,0.794349864,237,1.646 +27.825,0.802247224,98,0.169,27.285,0.799288428,128,0.178,26.935,0.796329632,139,0.187,26.535,0.793370836,139,0.208 +29.425,0.802744634,305,0.238,28.885,0.799687145,289,0.277,28.535,0.796827042,285,0.306,28.235,0.793869233,285,0.337 +30.365,0.801959043,358,1.358,29.885,0.799000247,357,1.492,29.515,0.796041451,356,1.569,29.135,0.793181347,356,1.616 +31.125,0.801271157,18,1.418,30.585,0.798312361,18,1.561,30.235,0.795353565,18,1.638,29.835,0.792493462,18,1.695 +31.665,0.800680977,12,1.666,31.185,0.797722181,13,1.828,30.835,0.794862077,14,1.924,30.535,0.792002961,14,1.983 +31.765,0.799893412,13,1.12,31.285,0.796933629,14,1.275,30.985,0.794074513,14,1.361,30.735,0.791214409,14,1.428 +29.845,0.798310387,182,2.519,29.485,0.795450284,182,2.609,29.365,0.792689859,183,2.654,29.235,0.789928448,183,2.696 +30.145,0.799789785,211,5.84,29.785,0.796929682,211,6.008,29.485,0.794069578,211,6.146,29.235,0.791210461,211,6.295 +28.535,0.801658031,224,6.495,28.235,0.798699235,225,6.868,27.945,0.795840118,225,7.162,27.635,0.792980015,225,7.415 +26.925,0.803427585,237,7.149,26.685,0.800468789,238,7.738,26.415,0.797609672,238,8.178,26.135,0.794749568,238,8.535 +26.585,0.802144584,239,6.802,26.585,0.79938416,243,7.688,26.385,0.796525043,244,8.375,26.235,0.793764619,244,8.882 +26.125,0.805888971,252,5.959,26.185,0.803129534,258,6.858,26.165,0.800369109,260,7.635,26.135,0.797608685,260,8.297 +25.805,0.806480138,278,7.576,25.985,0.803818406,281,8.835,26.165,0.801157661,283,9.973,26.335,0.798594621,283,11.004 +25.605,0.806971626,284,7.675,26.085,0.804509252,290,9.003,26.415,0.8019472,293,9.954,26.735,0.799385147,293,10.419 +25.465,0.807070318,295,7.903,26.185,0.804706637,302,9.546,26.535,0.802243277,306,10.595,26.835,0.799681224,306,10.776 +24.925,0.807758204,309,7.467,25.285,0.805197138,315,8.973,25.685,0.802635085,317,10.269,26.035,0.800171725,317,11.311 +24.265,0.806277819,312,6.455,24.385,0.803518381,319,7.599,24.635,0.800955342,322,8.681,24.935,0.798294597,322,9.685 +23.845,0.80617814,287,3.679,23.785,0.803319023,309,4.151,23.765,0.800558599,315,4.725,23.735,0.797798174,315,5.353 +23.045,0.806767333,224,3.708,23.585,0.80420528,249,3.241,23.455,0.801444856,257,2.91,23.335,0.798585739,257,2.657 +22.585,0.806765359,236,4.928,23.785,0.804698742,259,4.724,23.665,0.801839625,262,4.331,23.535,0.798980508,262,3.955 +22.715,0.806174192,241,5.017,23.585,0.80391019,263,5.07,23.535,0.801149766,269,4.755,23.535,0.798389341,269,4.283 +22.845,0.805681717,231,5.107,23.385,0.803219344,254,5.415,23.415,0.800458919,262,5.189,23.435,0.797698495,262,4.61 +24.045,0.801841599,252,3.927,23.685,0.798882803,254,4.16,23.585,0.796023686,256,4.469,23.535,0.793263262,256,4.907 +26.045,0.801748828,301,4.006,25.685,0.798790032,302,4.081,25.365,0.795930915,302,4.143,25.035,0.792972119,302,4.203 +27.245,0.801456699,341,3.55,26.885,0.798596595,341,3.577,26.585,0.795638786,341,3.611,26.335,0.792778682,341,3.648 +28.205,0.80106489,333,2.945,27.785,0.798106094,333,2.965,27.455,0.795246978,333,2.979,27.135,0.792288182,333,3.004 +29.005,0.798702196,347,3.778,28.585,0.7957434,347,3.805,28.255,0.792883296,347,3.828,27.935,0.790023193,347,3.866 +29.905,0.799591414,2,2.955,29.485,0.796632618,2,2.984,29.135,0.793674809,2,2.999,28.835,0.790814705,2,3.024 +30.645,0.797917592,6,2.766,30.285,0.795058475,6,2.797,29.955,0.792198372,6,2.802,29.635,0.789338268,6,2.815 +31.205,0.797722181,4,4.194,30.785,0.794763385,4,4.23,30.455,0.791904268,4,4.252,30.135,0.789044165,4,4.292 +31.705,0.79821663,22,4.184,31.285,0.795257834,22,4.239,30.955,0.792496422,22,4.252,30.635,0.789637306,22,4.292 +32.045,0.798612386,9,4.254,31.685,0.795752282,9,4.309,31.385,0.792892179,9,4.321,31.035,0.790130767,9,4.352 +31.785,0.801371823,339,4.978,31.485,0.79851172,339,5.218,31.195,0.795652603,339,5.376,30.935,0.792792499,339,5.502 +31.525,0.804032568,310,5.711,31.285,0.801173452,312,6.137,31.015,0.798313348,312,6.432,30.735,0.795551937,312,6.642 +29.225,0.803434493,292,7.357,28.985,0.800673082,292,8.212,28.735,0.797813965,292,8.938,28.535,0.794953861,292,9.576 +27.885,0.804612879,271,6.901,27.885,0.801951147,275,8.153,27.835,0.799190723,277,9.273,27.835,0.796431285,277,10.191 +26.865,0.805201086,263,6.544,26.985,0.80254034,270,7.639,27.215,0.799879595,273,8.671,27.435,0.797316556,273,9.626 +25.445,0.806380459,278,7.08,25.385,0.803521342,280,8.321,25.565,0.800958302,281,9.549,25.735,0.798297557,281,10.786 +24.765,0.805293856,283,7.229,24.885,0.802632124,288,8.519,25.065,0.799971379,290,9.786,25.235,0.797310634,290,11.023 +24.805,0.805786331,284,6.386,24.985,0.803125586,293,7.629,25.185,0.800464841,296,8.868,25.435,0.797804096,296,10.072 +24.545,0.806081421,272,6.029,24.785,0.803420676,288,7.105,24.885,0.800758944,293,8.158,25.035,0.798098199,293,9.14 +23.705,0.805487293,262,5.156,24.185,0.80302492,287,6.097,24.285,0.800363188,293,7.083,24.335,0.797602763,293,8.02 +22.985,0.805682704,260,5.077,23.585,0.803220331,289,5.89,23.665,0.800459906,296,6.748,23.735,0.797798174,296,7.564 +22.765,0.805484333,279,6.148,23.185,0.803020972,297,7.086,23.205,0.800261535,303,7.872,23.235,0.79750111,303,8.486 +22.565,0.804597089,286,4.978,22.835,0.801936343,304,5.751,22.815,0.799175919,309,6.422,22.835,0.796415495,309,6.909 +22.365,0.803610165,275,3.808,22.485,0.80094942,299,4.427,22.415,0.798090303,307,4.972,22.335,0.795329879,307,5.323 +23.345,0.802036023,300,3.054,22.985,0.799077227,303,3.281,22.765,0.796119418,305,3.591,22.535,0.793260301,305,4.035 +24.945,0.800167777,318,4.264,24.585,0.797209968,318,4.348,24.255,0.794251172,319,4.419,23.935,0.791391068,319,4.511 +26.505,0.800468789,338,3.49,26.085,0.7974113,337,3.538,25.755,0.794551197,337,3.561,25.435,0.791593388,337,3.618 +27.805,0.799684185,331,3.718,27.385,0.796725389,330,3.755,27.035,0.793865285,330,3.788,26.735,0.790907476,330,3.836 +28.865,0.798799901,343,3.966,28.385,0.795841105,343,4.012,28.065,0.792981002,342,4.045,27.735,0.790023193,342,4.084 +29.705,0.797718233,354,4.254,29.285,0.79485813,353,4.299,28.955,0.791998026,353,4.331,28.635,0.789040217,353,4.372 +30.565,0.797621515,354,4.531,30.085,0.794761411,353,4.585,29.755,0.791803602,353,4.617,29.435,0.788943499,353,4.669 +31.305,0.797229706,358,5.83,30.885,0.79427091,358,5.919,30.535,0.791410807,357,5.958,30.235,0.78855169,357,6.017 +31.705,0.797625463,352,5.9,31.285,0.794666667,352,5.989,30.955,0.791806563,352,6.047,30.635,0.788947446,352,6.116 +31.845,0.798710091,349,6.287,31.485,0.795849988,349,6.384,31.165,0.792990871,349,6.452,30.835,0.790130767,349,6.533 +31.105,0.800383913,337,6.148,30.835,0.79752381,337,6.463,30.545,0.794763385,337,6.669,30.235,0.791903282,337,6.82 +30.365,0.802156427,316,6.009,30.185,0.799296324,319,6.542,29.935,0.796437207,319,6.886,29.735,0.793676783,319,7.098 +28.985,0.802941031,307,7.367,28.985,0.800279299,309,8.331,28.815,0.797419196,310,9.115,28.635,0.794658771,310,9.774 +26.045,0.804509252,252,6.971,25.985,0.801748828,259,7.856,25.935,0.798988404,262,8.582,25.935,0.796227979,262,9.199 +24.565,0.803715766,236,6.029,24.685,0.801054034,249,6.937,24.915,0.798393289,255,7.813,25.135,0.795831236,255,8.694 +24.345,0.804405625,239,6.614,24.885,0.801942265,257,7.758,25.335,0.799478905,263,8.819,25.835,0.796917839,263,9.745 +24.525,0.804504318,264,7.824,25.185,0.802140637,274,9.507,25.665,0.799578584,278,10.97,26.135,0.797213916,278,12.094 +24.385,0.804010856,280,6.515,24.685,0.801448803,288,7.738,24.765,0.798688379,291,8.908,24.835,0.796027634,291,9.993 +23.705,0.804304959,284,6.574,23.885,0.801643227,292,7.728,23.915,0.79888379,295,8.77,23.935,0.796123365,295,9.675 +22.985,0.803612139,292,6.842,23.285,0.800951394,299,8.113,23.435,0.798290649,302,9.184,23.535,0.795628917,302,10.052 +22.385,0.803413768,300,7.03,22.985,0.800950407,308,8.568,23.085,0.798289662,312,9.668,23.235,0.795529238,312,10.25 +22.145,0.804300025,304,6.336,22.685,0.801836664,317,7.599,22.735,0.799077227,322,8.523,22.835,0.796316802,322,9.031 +22.075,0.801735998,322,3.887,22.285,0.799075253,327,4.892,22.315,0.796413521,330,5.801,22.335,0.793654083,330,6.513 +22.005,0.799271651,327,1.448,21.885,0.796412534,347,2.184,21.885,0.79365211,352,3.078,21.935,0.790892672,352,3.995 +23.645,0.801938317,306,0.585,23.285,0.798980508,315,0.603,22.985,0.796021712,320,0.641,22.735,0.793162596,320,0.704 +24.945,0.79957661,11,1.249,24.585,0.796617814,14,1.265,24.255,0.793660005,14,1.273,23.935,0.790701209,14,1.289 +23.645,0.799671355,308,7.407,23.285,0.796712559,308,7.55,23.015,0.79375475,308,7.655,22.735,0.790894646,308,7.762 +20.665,0.799070318,305,9.817,20.185,0.79601283,304,10.208,19.815,0.793055021,304,10.536,19.435,0.789997533,304,10.865 +23.305,0.798585739,305,6.138,22.885,0.795626943,304,6.275,22.535,0.792669134,304,6.402,22.235,0.789710338,304,6.553 +25.965,0.798495929,330,3.183,25.485,0.795536146,329,3.232,25.165,0.792578337,328,3.265,24.835,0.789718233,328,3.331 +28.205,0.797910683,25,3.004,27.785,0.79505058,24,3.014,27.455,0.792091784,23,3.019,27.135,0.789232667,23,3.033 +29.045,0.796335554,32,4.948,28.685,0.793476437,32,5,28.365,0.790616334,31,5.021,28.035,0.787657538,31,5.056 +29.145,0.796927708,52,6.168,28.785,0.794067604,52,6.255,28.485,0.791208488,52,6.304,28.235,0.788348384,52,6.374 +29.385,0.798012337,75,2.975,29.085,0.79515322,75,3.004,28.755,0.792194424,75,3.028,28.435,0.789335307,75,3.053 +26.365,0.799088083,109,2.836,26.035,0.796129287,108,2.905,26.065,0.793468542,107,2.91,26.035,0.790708117,107,2.895 +23.345,0.800162842,188,2.697,22.985,0.797205033,185,2.797,23.365,0.794642981,181,2.792,23.735,0.792080928,181,2.746 +21.705,0.798778189,217,3.857,21.585,0.795919072,214,4.032,22.415,0.793654083,209,3.522,23.235,0.791389094,209,2.409 +22.325,0.801638293,219,6.921,22.385,0.798977547,218,8.044,23.085,0.796613866,216,7.833,23.835,0.794250185,216,5.968 +20.845,0.803408833,216,7.754,20.785,0.800648409,219,9.408,21.415,0.798186035,219,10.358,22.035,0.795821367,219,10.389 +19.605,0.802418949,216,9.995,19.485,0.799559832,216,11.829,20.165,0.797196151,215,13.525,20.835,0.79483247,215,15.078 +20.365,0.80202714,228,8.894,20.785,0.799465088,227,10.782,21.585,0.797200099,227,11.838,22.435,0.794936097,227,11.777 +21.365,0.802423884,232,7.576,22.685,0.800358253,240,9.388,22.915,0.7977962,245,10.417,23.135,0.795135455,245,10.141 +21.305,0.804494449,227,7.457,22.385,0.802329139,239,8.825,22.685,0.799767086,245,9.5,23.035,0.797205033,245,9.13 +20.985,0.804098692,238,7.556,22.485,0.802131754,250,8.538,22.715,0.799569701,258,8.78,22.935,0.796908956,258,8.218 +21.405,0.804593141,245,5.979,23.385,0.803021959,272,6.137,23.415,0.800261535,285,6.008,23.435,0.797502097,285,5.76 +20.945,0.805183321,258,5.543,23.285,0.803711818,290,5.376,23.285,0.800951394,300,4.844,23.335,0.798191957,300,4.253 +20.145,0.803504565,305,4.492,21.735,0.801637306,324,4.773,21.935,0.798975574,333,4.814,22.135,0.796314829,333,4.768 +19.345,0.801826795,307,3.451,20.185,0.799561806,341,4.17,20.585,0.796999753,354,4.784,21.035,0.794438687,354,5.284 +21.005,0.798677523,86,0.456,20.585,0.795620035,84,0.563,20.435,0.792760918,83,0.868,20.335,0.789901801,83,1.408 +22.905,0.799373304,94,2.578,22.485,0.796414508,95,2.639,22.165,0.793455712,95,2.713,21.835,0.790497903,95,2.796 +26.025,0.801157661,36,0.674,25.485,0.798099186,41,0.712,25.135,0.795141377,42,0.74,24.835,0.792182581,42,0.753 +27.725,0.802148532,337,0.297,27.185,0.799091044,326,0.296,26.835,0.79623094,322,0.296,26.535,0.793272144,322,0.297 +28.165,0.799290402,316,0.863,27.685,0.796331606,313,0.939,27.415,0.79347249,313,0.986,27.135,0.790612386,313,1.021 +29.165,0.798702196,259,0.962,28.685,0.795644708,259,1.067,28.415,0.792785591,258,1.134,28.135,0.79002418,258,1.18 +28.145,0.796924747,277,3.986,27.785,0.794064643,277,4.062,27.585,0.791205527,277,4.163,27.435,0.788445102,277,4.302 +27.805,0.795937824,296,5.94,27.385,0.792979028,296,6.028,27.135,0.790119911,296,6.087,26.935,0.787259808,296,6.186 +27.645,0.795444362,292,5.176,27.285,0.792584259,292,5.257,27.035,0.789725142,292,5.327,26.835,0.786866025,292,5.413 +26.405,0.796623736,291,4.254,25.985,0.79366494,291,4.407,25.835,0.790904515,291,4.627,25.735,0.788045398,291,4.976 +25.635,0.799282507,302,5.781,25.335,0.79642339,303,6.265,25.235,0.793564273,304,6.797,25.135,0.790803849,304,7.425 +24.865,0.801942265,310,7.308,24.685,0.799083148,311,8.123,24.635,0.796322724,312,8.967,24.535,0.7935623,312,9.883 +24.165,0.800165803,196,1.983,23.985,0.797306686,227,1.897,23.965,0.794546262,241,2.032,23.935,0.791785838,241,2.379 +20.725,0.801534666,161,6.931,20.485,0.798576857,161,7.619,20.285,0.79571774,161,8.306,20.035,0.792759931,161,9.051 +20.465,0.80459018,184,5.444,20.285,0.801731063,179,6.137,20.585,0.799070318,177,6.738,20.935,0.796508265,177,7.286 +20.925,0.803310141,198,5.265,21.585,0.800946459,186,5.534,21.955,0.798384407,180,5.041,22.335,0.795822354,180,3.787 +21.925,0.803904268,216,2.846,23.785,0.802234394,235,1.996,23.635,0.799375278,261,1.736,23.535,0.796516161,261,1.854 +22.545,0.80538564,236,4.016,24.285,0.803616087,269,3.824,23.985,0.800658278,272,3.788,23.735,0.797798174,272,3.817 +22.345,0.805187269,258,7.149,24.085,0.803418702,278,7.481,23.835,0.800558599,279,7.32,23.535,0.79760079,279,7.177 +21.425,0.803607205,249,8.924,22.685,0.801541574,262,10.278,22.765,0.798879842,267,10.841,22.835,0.796119418,267,10.597 +19.905,0.803405872,220,7.348,20.385,0.800942512,230,8.667,20.705,0.798281767,235,9.539,21.035,0.795719714,235,9.883 +19.085,0.803698988,228,6.296,19.385,0.801038243,238,7.392,19.765,0.79847619,244,8.138,20.135,0.795914138,244,8.545 +19.295,0.802516654,235,5.097,19.385,0.79975623,249,5.9,19.595,0.797095485,256,6.521,19.835,0.79443474,256,6.949 +19.505,0.80133432,241,3.897,19.385,0.798376511,256,4.407,19.435,0.795616087,263,4.903,19.535,0.792856649,263,5.363 +20.945,0.800155934,303,3.104,20.585,0.797197138,305,3.291,20.335,0.794239329,306,3.492,20.035,0.791280533,306,3.737 +22.445,0.800752036,336,4.809,22.085,0.79779324,337,4.931,21.755,0.794835431,337,5.041,21.435,0.791876635,337,5.165 +23.805,0.797896867,342,4.68,23.385,0.794939057,342,4.753,23.085,0.791980262,342,4.794,22.835,0.789121145,342,4.867 +25.045,0.799380212,348,4.403,24.685,0.796421416,348,4.467,24.365,0.79346262,348,4.498,24.035,0.790603504,348,4.55 +26.245,0.797509993,357,3.471,25.885,0.794552184,357,3.498,25.565,0.79169208,357,3.532,25.235,0.788734271,357,3.579 +27.505,0.798302492,20,2.876,27.085,0.795344683,20,2.905,26.755,0.792385887,20,2.93,26.435,0.789525783,20,2.964 +28.605,0.79761658,21,2.291,28.185,0.794657784,21,2.322,27.865,0.791797681,21,2.328,27.535,0.788937577,21,2.349 +29.305,0.796533925,18,2.856,28.885,0.79357513,18,2.886,28.565,0.790715026,18,2.9,28.235,0.787855909,18,2.924 +29.805,0.796534912,36,0.724,29.385,0.793577103,33,0.791,29.085,0.790717,33,0.829,28.835,0.787856896,33,0.862 +28.545,0.796433259,204,5.583,28.185,0.793573156,204,5.791,27.985,0.790714039,204,5.958,27.835,0.787952628,204,6.126 +26.515,0.799482852,232,5.573,26.185,0.796622749,232,5.821,25.945,0.793763632,233,6.027,25.735,0.790904515,233,6.225 +24.485,0.802532445,254,5.553,24.185,0.799574636,255,5.85,23.915,0.796714532,256,6.096,23.635,0.793756723,256,6.335 +24.065,0.803616087,244,3.51,23.885,0.800755983,254,4.042,23.635,0.797896867,258,4.508,23.435,0.794939057,258,4.937 +23.685,0.804501357,247,4.512,23.685,0.80174192,261,5.06,23.485,0.798881816,265,5.495,23.335,0.796022699,265,5.908 +23.325,0.803613126,260,6.941,23.685,0.801051073,270,7.837,23.615,0.798290649,274,8.415,23.535,0.795431532,274,8.793 +23.185,0.807457192,265,8.151,23.785,0.804994819,274,9.21,23.835,0.802234394,278,9.569,23.935,0.799573649,278,9.14 +22.845,0.805386627,265,6.872,23.685,0.803121638,278,8.015,23.785,0.800459906,284,8.533,23.835,0.797700469,284,8.159 +22.185,0.80597582,266,6.187,23.085,0.803710831,284,7.441,23.315,0.801050086,292,8.217,23.535,0.798488034,292,8.188 +21.785,0.805777449,279,6.306,22.385,0.803413768,296,7.333,22.635,0.800752036,302,8.158,22.935,0.79809129,302,8.783 +21.425,0.805875154,294,7.09,21.785,0.803214409,307,8.202,21.885,0.800552677,311,9.085,22.035,0.79779324,311,9.774 +20.565,0.804196398,305,6.882,20.685,0.801534666,312,8.024,20.785,0.798775228,314,8.987,20.835,0.796014804,314,9.854 +19.845,0.805574143,306,6.882,20.085,0.802913397,312,8.271,20.255,0.800251665,314,9.45,20.435,0.79759092,314,10.429 +19.585,0.803502591,311,6.019,19.585,0.800743153,313,7.184,19.625,0.797982729,315,8.346,19.635,0.795123612,315,9.457 +19.325,0.801432026,335,5.156,19.085,0.798474217,336,6.107,18.985,0.7956151,336,7.241,18.835,0.792755983,336,8.486 +20.445,0.798872934,345,5.414,20.085,0.795914138,345,5.593,19.755,0.792955342,345,5.771,19.435,0.789997533,345,5.968 +21.745,0.800059215,353,5.275,21.385,0.797101406,353,5.356,21.065,0.79414261,353,5.426,20.735,0.791184801,353,5.512 +22.745,0.801245497,10,3.996,22.385,0.798188996,10,4.052,22.065,0.7952302,10,4.084,21.735,0.792272391,10,4.134 +23.605,0.800459906,12,4.383,23.185,0.797402418,12,4.437,22.865,0.794444609,13,4.469,22.535,0.791584505,13,4.52 +24.705,0.797604737,5,4.69,24.285,0.794547249,5,4.743,23.955,0.791687145,5,4.775,23.635,0.788729336,5,4.828 +25.605,0.798395263,8,4.7,25.185,0.795437454,8,4.753,24.835,0.792478658,8,4.775,24.535,0.789520849,8,4.828 +26.565,0.797413274,9,4.294,26.085,0.794454478,9,4.338,25.755,0.791495682,9,4.36,25.435,0.788635579,9,4.401 +27.365,0.797612633,5,3.57,26.885,0.794653837,6,3.607,26.565,0.791793733,6,3.63,26.235,0.788834937,6,3.668 +27.405,0.797021466,232,0.585,26.985,0.79406267,240,0.603,26.685,0.791202566,242,0.612,26.435,0.788343449,242,0.625 +25.545,0.79800148,220,3.788,25.185,0.795042684,220,3.943,25.015,0.792183568,220,4.123,24.835,0.789423143,220,4.372 +24.815,0.799379225,263,3.371,24.485,0.796420429,265,3.488,24.245,0.793561313,266,3.611,24.035,0.790702196,266,3.767 +24.085,0.80075697,271,2.945,23.785,0.797896867,272,3.024,23.485,0.794939057,272,3.088,23.235,0.791981248,272,3.162 +24.225,0.804207254,249,2.152,23.985,0.801348137,257,2.312,23.685,0.798390328,259,2.446,23.435,0.795431532,259,2.548 +24.105,0.804503331,239,2.32,23.985,0.801644214,255,2.451,23.715,0.798685418,259,2.594,23.435,0.795826302,259,2.726 +23.785,0.805389588,258,2.648,23.785,0.802629164,279,2.984,23.535,0.799671355,283,3.315,23.335,0.796811251,283,3.638 +23.065,0.807949667,265,3.946,23.185,0.805190229,283,4.407,22.985,0.802331113,286,4.784,22.835,0.799373304,286,5.095 +22.005,0.804792499,275,4.809,22.185,0.802130767,287,5.554,22.205,0.79937133,291,6.067,22.235,0.796610906,291,6.344 +21.385,0.804986923,285,4.492,21.685,0.80242487,300,5.406,21.885,0.799764125,306,6.027,22.035,0.79710338,306,6.136 +21.005,0.803408833,259,4.65,21.785,0.801045152,292,4.961,21.955,0.798384407,303,5.09,22.135,0.795723661,303,5.016 +20.985,0.805380706,276,4.68,21.885,0.803115717,310,5.129,21.955,0.800454972,319,5.337,22.035,0.797694547,319,5.333 +21.045,0.804789539,277,3.897,21.585,0.802227486,315,4.101,21.685,0.799566741,324,4.232,21.835,0.796807303,324,4.164 +20.925,0.804788552,286,3.748,21.285,0.802226499,320,3.973,21.455,0.799565754,329,4.114,21.635,0.796905009,329,4.124 +20.555,0.803702936,271,2.727,20.735,0.800943499,315,2.826,20.895,0.798282754,327,2.95,21.035,0.795621021,327,3.053 +20.185,0.802518628,240,1.715,20.185,0.799660498,284,1.68,20.335,0.796998766,304,1.776,20.535,0.794338021,304,1.983 +22.205,0.800849741,306,0.387,21.785,0.797792253,307,0.395,21.485,0.794834444,306,0.404,21.235,0.791875648,306,0.426 +24.065,0.802728843,39,0.506,23.585,0.799671355,36,0.563,23.205,0.796712559,35,0.602,22.835,0.79365507,35,0.625 +25.425,0.799972366,219,0.803,24.885,0.796914878,218,0.889,24.535,0.793956082,219,0.947,24.235,0.790998273,219,1.001 +26.825,0.801060943,289,0.436,26.285,0.798003454,285,0.494,25.935,0.795143351,284,0.533,25.535,0.792184555,284,0.555 +26.965,0.799483839,341,2.548,26.485,0.796525043,341,2.767,26.215,0.793567234,340,2.9,25.935,0.790707131,340,2.994 +26.405,0.798595608,356,6.584,25.985,0.795636812,356,6.69,25.685,0.792776709,356,6.767,25.435,0.7898189,356,6.86 +26.905,0.798005428,344,5.374,26.485,0.795046632,344,5.445,26.165,0.792186528,344,5.475,25.835,0.789228719,344,5.522 +27.305,0.797415248,340,3.272,26.885,0.794456452,340,3.311,26.535,0.791596348,340,3.334,26.235,0.788638539,340,3.361 +27.505,0.798401184,5,3.114,27.085,0.795442388,5,3.133,26.755,0.792583272,5,3.157,26.435,0.789723168,5,3.182 +27.605,0.798598569,8,4.075,27.185,0.795639773,8,4.111,26.865,0.792681964,8,4.143,26.535,0.78982186,8,4.183 +27.195,0.800865532,23,3.233,26.835,0.798005428,23,3.281,26.535,0.795046632,23,3.324,26.235,0.792187515,23,3.361 +26.785,0.803229213,37,2.39,26.485,0.800271404,39,2.451,26.215,0.797412287,39,2.496,25.935,0.794552184,39,2.528 +26.625,0.80352529,39,1.924,26.385,0.800665186,44,1.976,26.115,0.797707377,46,2.003,25.835,0.794847274,46,2.032 +26.405,0.804806316,62,1.993,26.285,0.802044905,69,2.135,26.015,0.799087096,71,2.2,25.735,0.796226992,71,2.24 +26.045,0.806086356,66,2.638,25.985,0.803325931,78,2.876,25.735,0.800368122,80,2.979,25.535,0.797508019,80,3.004 +25.465,0.806281767,96,3.758,25.585,0.803620035,102,4.259,25.385,0.800760918,104,4.419,25.235,0.797901801,104,4.372 +24.625,0.805292869,141,5.305,25.285,0.802929188,129,5.831,25.115,0.800070072,128,5.722,24.935,0.797210955,128,5.423 +23.865,0.804994819,168,5.394,25.185,0.802929188,140,5.761,25.015,0.800070072,138,5.603,24.835,0.79730866,138,5.224 +22.985,0.804400691,188,5.216,25.085,0.802830496,152,5.415,24.935,0.799971379,147,5.366,24.835,0.797112263,147,5.185 +21.725,0.804298051,191,6.207,24.485,0.803124599,167,6.661,24.435,0.800364175,163,6.531,24.435,0.797505058,163,6.245 +20.025,0.802814705,188,8.349,20.685,0.800352332,185,10.307,21.135,0.797888971,183,11.769,21.535,0.795326918,183,12.62 +19.525,0.802714039,195,9.579,19.585,0.799954602,193,10.989,19.935,0.797392549,192,12.252,20.335,0.794830496,192,13.452 +19.205,0.802416975,196,8.409,19.085,0.799460153,195,9.349,19.285,0.796798421,194,10.24,19.535,0.794137676,194,11.123 +18.885,0.802022206,201,7.229,18.585,0.79906341,201,7.708,18.635,0.796303972,200,8.227,18.735,0.793543548,200,8.803 +20.545,0.799169011,204,4.65,20.185,0.796111522,204,4.892,19.885,0.793153713,204,5.12,19.535,0.790194917,204,5.353 +22.645,0.800752036,222,2.459,22.285,0.797794226,222,2.49,21.955,0.794835431,223,2.506,21.635,0.791877622,223,2.528 +24.745,0.800857636,335,1.349,24.385,0.79789884,332,1.364,24.065,0.794941031,332,1.371,23.735,0.792080928,332,1.388 +25.605,0.79869134,356,1.715,25.185,0.795633851,354,1.72,24.865,0.792774735,353,1.716,24.535,0.789815939,353,1.715 +26.345,0.79711621,27,2.489,25.985,0.794158401,26,2.48,25.665,0.791199605,26,2.476,25.335,0.788339502,26,2.478 +27.205,0.797119171,22,2.261,26.785,0.794160375,21,2.273,26.435,0.791201579,21,2.269,26.035,0.788341475,21,2.27 +27.905,0.79662867,327,0.863,27.485,0.793768566,323,0.899,27.165,0.790809771,322,0.927,26.835,0.787949667,322,0.952 +28.605,0.796729336,307,1.686,28.185,0.79377054,305,1.72,27.865,0.790910437,305,1.746,27.535,0.788050333,305,1.774 +28.345,0.795841105,327,1.606,27.985,0.792981002,327,1.631,27.715,0.790121885,327,1.657,27.435,0.787261781,327,1.675 +27.985,0.796924747,329,2.37,27.685,0.794064643,329,2.441,27.485,0.791303232,329,2.525,27.335,0.788444115,329,2.667 +26.135,0.798988404,208,3.669,25.835,0.796030595,212,3.775,25.675,0.79327017,215,3.906,25.535,0.790410067,215,4.114 +24.285,0.800954355,206,4.978,23.985,0.798095238,207,5.109,23.865,0.795236121,207,5.288,23.735,0.792377005,207,5.561 +23.825,0.802136689,202,6.445,23.585,0.799276585,204,7.412,23.355,0.796318776,204,8.277,23.135,0.79345966,204,9.001 +23.305,0.804204293,203,7.853,23.185,0.801346163,206,9.2,22.985,0.798387367,206,10.358,22.835,0.795528251,206,11.301 +21.925,0.805482359,201,8.369,21.685,0.802523563,201,9.378,21.535,0.799664446,201,10.338,21.335,0.796805329,201,11.331 +20.585,0.806364668,200,8.924,20.285,0.803406859,201,10.238,20.335,0.800646435,202,11.581,20.435,0.797886997,202,12.976 +19.625,0.802221564,197,8.766,19.385,0.799263755,197,10.05,19.515,0.796602023,197,11.414,19.635,0.793842586,197,12.867 +18.965,0.803500617,199,9.876,18.785,0.800542808,198,11.167,18.915,0.797882063,197,12.42,19.035,0.795122625,197,13.68 +18.425,0.802907476,205,10.987,18.185,0.799949667,204,12.116,18.015,0.79709055,203,13.13,17.835,0.794132741,203,14.116 +17.825,0.802709104,202,9.311,17.585,0.799750308,201,10.189,17.455,0.796891192,201,11.068,17.335,0.794032075,201,12.005 +17.665,0.802708117,201,9.013,17.485,0.799750308,200,9.991,17.415,0.796891192,200,10.891,17.335,0.794032075,200,11.787 +17.565,0.803792746,200,8.389,17.385,0.80083395,200,9.309,17.515,0.798074513,200,10.121,17.635,0.795315075,200,10.914 +17.775,0.801820873,200,6.862,17.535,0.798863064,200,7.372,17.565,0.796004935,199,7.852,17.535,0.79324451,199,8.367 +17.985,0.799850975,198,5.335,17.685,0.796793486,198,5.445,17.615,0.79393437,198,5.583,17.535,0.791173945,198,5.819 +19.005,0.79817814,196,5.602,18.585,0.795120651,197,5.89,18.285,0.792162842,197,6.126,18.035,0.789204046,197,6.354 +20.645,0.79985887,213,3.679,20.285,0.796900074,213,3.735,19.955,0.793942265,214,3.778,19.635,0.790983469,214,3.817 +22.905,0.800556625,77,0.605,22.485,0.797499136,76,0.632,22.165,0.79454034,75,0.651,21.835,0.791582531,75,0.664 +22.885,0.800457932,101,2.221,22.585,0.797499136,101,2.243,22.285,0.794541327,101,2.259,22.035,0.791582531,101,2.28 +23.945,0.79819393,147,1.934,23.585,0.795235134,147,1.947,23.255,0.792276339,147,1.983,22.935,0.789318529,147,2.012 +24.245,0.798786084,199,2.548,23.885,0.795827288,198,2.589,23.585,0.792869479,198,2.614,23.335,0.789910683,198,2.647 +24.545,0.796617814,216,3.689,24.185,0.793660005,216,3.755,23.885,0.790701209,216,3.788,23.535,0.787841105,216,3.836 +24.545,0.797406366,209,6.445,24.185,0.794448557,209,6.532,23.885,0.791489761,209,6.6,23.535,0.788629657,209,6.681 +24.145,0.797405379,210,6.733,23.785,0.794446583,211,6.809,23.455,0.791488774,211,6.866,23.135,0.78862867,211,6.929 +24.345,0.797110289,211,6.217,23.985,0.794151493,212,6.305,23.685,0.791292376,212,6.363,23.435,0.78833358,212,6.444 +23.365,0.798783124,197,5.721,23.035,0.79592302,198,5.831,22.735,0.792965211,198,5.909,22.435,0.790006415,198,5.997 +22.385,0.800554651,192,5.226,22.085,0.797595855,193,5.356,21.785,0.794638046,193,5.445,21.535,0.791680237,193,5.541 +21.985,0.801046139,196,5.573,21.685,0.79808833,196,6.058,21.385,0.795129534,196,6.422,21.035,0.792170738,196,6.751 +20.925,0.801930422,209,6.782,20.685,0.798971626,210,7.669,20.435,0.796013817,210,8.395,20.235,0.793056008,210,9.021 +19.925,0.801335307,202,6.366,19.685,0.798377498,204,7.352,19.535,0.795518381,205,8.286,19.335,0.792560572,205,9.15 +19.125,0.80448754,211,6.891,18.885,0.801528744,211,7.916,18.785,0.798670614,211,8.997,18.735,0.795811498,211,10.102 +18.085,0.802611399,192,7.705,17.785,0.799652603,193,8.607,17.585,0.796694794,194,9.47,17.435,0.793835677,194,10.379 +16.145,0.802801875,165,8.339,15.785,0.799744387,166,8.983,15.535,0.796786578,166,9.52,15.335,0.793828769,166,10.042 +15.245,0.800531952,169,6.445,14.885,0.797474463,169,7.007,14.885,0.794616334,170,7.635,14.935,0.791855909,170,8.367 +14.825,0.801318529,163,3.5,14.585,0.798262028,166,4.062,14.635,0.795502591,166,4.666,14.735,0.792742166,166,5.214 +14.685,0.801121145,131,1.2,14.385,0.798064643,139,1.443,14.365,0.795205527,142,1.716,14.335,0.792445102,142,1.923 +14.725,0.801909697,85,1.15,14.485,0.798853195,86,1.265,14.385,0.795994078,86,1.371,14.335,0.793037256,86,1.408 +15.035,0.801516901,70,2.776,14.735,0.798459413,72,2.975,14.575,0.795501604,71,3.176,14.435,0.792544782,71,3.38 +15.345,0.801024426,72,4.403,14.985,0.797967925,72,4.674,14.765,0.795010116,72,4.992,14.535,0.79205132,72,5.353 +16.145,0.797084629,91,5.117,15.785,0.79402714,91,5.238,15.455,0.790970639,91,5.357,15.135,0.788011843,91,5.482 +17.845,0.798272884,98,3.639,17.485,0.795314088,98,3.696,17.165,0.792257587,99,3.749,16.835,0.789298791,99,3.826 +19.605,0.798771281,87,2.747,19.185,0.795713792,88,2.787,18.865,0.792657291,88,2.811,18.535,0.789698495,88,2.855 +20.105,0.800152973,72,2.062,19.685,0.797095485,73,2.095,19.335,0.794137676,73,2.121,19.035,0.791080188,73,2.161 +21.205,0.799860844,126,0.724,20.785,0.796803356,125,0.741,20.435,0.793845547,125,0.769,20.035,0.790788058,125,0.793 +21.545,0.799664446,149,1.924,21.185,0.796607945,149,1.937,20.865,0.793649149,149,1.953,20.535,0.79069134,149,1.973 +21.945,0.797989637,157,2.479,21.585,0.795031828,158,2.51,21.285,0.792073032,158,2.535,21.035,0.789115223,158,2.568 +22.245,0.798089317,169,3.52,21.885,0.795032815,169,3.558,21.615,0.792172712,169,3.601,21.335,0.789214903,169,3.658 +23.145,0.797205033,194,4.948,22.785,0.794247224,194,5.01,22.455,0.791288428,194,5.061,22.135,0.788428325,194,5.125 +23.545,0.797896867,215,5.126,23.185,0.794938071,215,5.178,22.865,0.792077967,215,5.218,22.535,0.789120158,215,5.284 +23.415,0.800853689,211,4.125,23.085,0.797894893,211,4.17,22.775,0.794937084,211,4.212,22.435,0.791978288,211,4.263 +23.285,0.803711818,237,3.123,22.985,0.800851715,237,3.162,22.685,0.797893906,237,3.196,22.435,0.794936097,237,3.242 +23.225,0.80262719,232,3.094,22.985,0.799669381,234,3.222,22.685,0.796710585,234,3.305,22.435,0.793851468,234,3.361 +22.705,0.803808537,213,3.996,22.585,0.80094942,221,4.358,22.315,0.797991611,223,4.439,22.035,0.795131508,223,4.411 +21.745,0.804890205,224,4.859,21.685,0.80212978,231,5.692,21.535,0.799171971,234,6.116,21.335,0.796312855,234,6.017 +20.305,0.804195411,226,5.563,20.185,0.801336294,231,6.414,20.205,0.79857587,233,7.142,20.235,0.795816432,233,7.772 +19.165,0.802811744,218,4.413,18.985,0.799952628,224,5.109,19.085,0.797192203,228,5.801,19.235,0.794432766,228,6.563 +18.365,0.804681964,216,3.669,18.185,0.801822847,227,4.239,18.265,0.799062423,233,4.755,18.335,0.796302985,233,5.264 +17.645,0.803792746,230,4.918,17.585,0.800933629,239,5.811,17.755,0.798272884,244,6.353,17.935,0.795513447,244,6.612 +17.205,0.804382926,236,4.313,17.385,0.801622502,252,5.396,17.685,0.798962744,257,5.998,18.035,0.796400691,257,6.007 +17.105,0.804776709,226,3.57,17.585,0.802214656,255,4.2,17.885,0.799553911,264,4.41,18.235,0.796992845,264,4.074 +17.045,0.804973106,212,2.975,17.885,0.802610412,260,2.994,18.085,0.799949667,274,2.861,18.335,0.797288922,274,2.498 +17.335,0.803890452,188,1.874,17.935,0.801428078,223,1.799,18.065,0.798667654,237,1.973,18.235,0.796006908,237,2.042 +17.625,0.80280681,175,0.773,17.985,0.800244757,300,0.603,18.035,0.797484333,343,1.085,18.035,0.794724895,343,1.586 +19.545,0.800150999,107,1.497,19.185,0.797094498,107,1.542,18.885,0.794135702,106,1.578,18.535,0.791177893,106,1.646 +21.145,0.801339255,94,3.024,20.785,0.798281767,95,3.064,20.455,0.795323958,95,3.088,20.135,0.792365162,95,3.142 +23.965,0.80105206,78,1.477,23.485,0.797994572,81,1.591,23.085,0.795036763,82,1.657,22.735,0.792077967,82,1.695 +25.925,0.801255366,88,1.587,25.385,0.798197878,90,1.749,25.035,0.795239082,90,1.855,24.735,0.792281273,90,1.933 +27.425,0.799683198,90,1.458,26.885,0.796624722,92,1.601,26.535,0.793765606,93,1.687,26.235,0.79080681,93,1.755 +28.625,0.799982235,128,1.438,28.085,0.796924747,129,1.591,27.735,0.794064643,129,1.687,27.335,0.791105848,129,1.755 +28.805,0.798898594,165,1.983,28.385,0.795939798,165,2.204,28.085,0.793079694,165,2.328,27.835,0.790220577,165,2.429 +28.545,0.798010363,161,3.689,28.185,0.795051567,161,3.735,27.935,0.79219245,161,3.788,27.735,0.789431039,161,3.906 +28.945,0.797617567,173,5.126,28.585,0.794658771,173,5.228,28.335,0.791798668,173,5.337,28.035,0.789038243,173,5.522 +27.845,0.797712312,196,2.747,27.485,0.794753516,194,2.925,27.385,0.791993092,194,3.206,27.335,0.789232667,194,3.678 +25.035,0.799675302,272,3.51,24.735,0.796816186,271,3.745,24.875,0.794154453,270,4.114,25.035,0.791493708,270,4.659 +22.225,0.801736985,243,4.284,21.985,0.798779176,245,4.566,22.365,0.796217123,247,5.011,22.735,0.793753763,247,5.651 +22.925,0.804991858,266,2.638,22.685,0.802034049,259,3.241,22.765,0.799372317,259,3.916,22.835,0.796612879,259,4.709 +23.205,0.803613126,235,2.3,23.085,0.800754009,239,2.757,23.005,0.797993585,240,3.107,22.935,0.795134468,240,3.361 +23.485,0.805585986,218,3.034,23.485,0.802726869,229,3.419,23.365,0.799966445,231,3.561,23.235,0.797107328,231,3.39 +23.045,0.807457192,198,4.353,23.585,0.804993832,212,4.546,23.435,0.802134715,215,4.39,23.335,0.799275598,215,3.965 +20.885,0.804689859,190,5.761,21.785,0.802425857,200,6.068,21.765,0.799665433,202,5.643,21.735,0.796905009,202,5.046 +19.285,0.805079694,199,5.176,19.585,0.802418949,205,6.087,19.835,0.799758204,208,5.978,20.035,0.797096472,208,4.927 +19.265,0.804684925,199,6.148,19.685,0.802122872,202,7.007,20.035,0.799463114,204,6.757,20.335,0.796901061,204,5.66 +18.985,0.805373797,198,5.821,19.585,0.803010116,200,6.651,19.955,0.800349371,200,6.471,20.335,0.797788305,200,5.571 +18.845,0.806261041,202,5.563,19.685,0.803996052,201,6.137,20.035,0.801335307,200,5.781,20.335,0.798773254,200,5.056 +18.605,0.805963977,209,4.641,19.685,0.803798668,203,4.902,19.985,0.801236615,201,4.469,20.335,0.798576857,201,3.975 +19.085,0.805768566,234,4.006,19.685,0.803306193,233,4.388,19.795,0.800546755,233,4.262,19.935,0.797885023,233,4.054 +19.565,0.805573156,300,3.371,19.685,0.802813718,304,3.864,19.615,0.799954602,305,4.054,19.535,0.797095485,305,4.134 +20.145,0.80074414,354,3.877,19.785,0.797687639,354,3.983,19.455,0.794728843,354,4.074,19.135,0.791771034,354,4.164 +21.665,0.80212978,33,2.36,21.185,0.799072292,33,2.382,20.865,0.796113496,34,2.397,20.535,0.793155687,34,2.419 +24.965,0.801745867,114,1.101,24.485,0.798688379,117,1.235,24.115,0.795729583,118,1.322,23.735,0.792770787,118,1.398 +26.925,0.801850481,93,1.487,26.385,0.798792006,96,1.631,26.035,0.795932889,97,1.707,25.735,0.792974093,97,1.765 +28.265,0.8007698,88,2.489,27.785,0.797811004,89,2.698,27.435,0.794852208,90,2.831,27.035,0.791992105,90,2.924 +28.645,0.798405132,92,4.145,28.285,0.795545028,92,4.18,27.955,0.792684925,93,4.202,27.635,0.789727116,93,4.233 +29.665,0.798605477,81,3.986,29.185,0.795646681,82,4.022,28.865,0.792786578,82,4.035,28.535,0.789926474,82,4.054 +30.105,0.798507772,114,4.224,29.685,0.795647668,115,4.279,29.385,0.792787565,115,4.301,29.035,0.789928448,115,4.332 +29.705,0.799097952,156,6.078,29.285,0.796139156,156,6.157,28.985,0.793280039,156,6.205,28.735,0.790419936,156,6.255 +28.845,0.799785838,191,7.804,28.485,0.796827042,191,7.935,28.215,0.793967925,191,8.02,27.935,0.791107821,191,8.129 +25.665,0.800268443,233,5.771,25.335,0.797310634,232,5.88,25.045,0.79445053,232,5.968,24.735,0.791591414,232,6.057 +22.485,0.800850728,259,3.738,22.185,0.797891932,258,3.834,21.885,0.794934123,258,3.906,21.535,0.791975327,258,3.985 +21.885,0.802425857,270,5.156,21.585,0.799468048,270,5.425,21.255,0.796509252,269,5.603,20.935,0.793550456,269,5.73 +20.845,0.803310141,256,4.819,20.485,0.800252652,255,5.327,20.265,0.797294843,255,5.751,20.035,0.794435727,255,6.116 +19.925,0.804194424,269,4.958,19.685,0.801235628,268,5.623,19.685,0.798377498,267,6.166,19.735,0.795617074,267,6.612 +19.365,0.805966938,239,3.857,19.185,0.803009129,244,4.566,19.335,0.800347397,246,4.844,19.535,0.79758796,246,4.62 +19.125,0.805177399,192,3.52,19.185,0.802416975,206,3.726,19.315,0.799657538,211,3.433,19.435,0.796996792,211,2.667 +18.885,0.80478263,184,4.621,19.185,0.802121885,181,4.961,19.235,0.799361461,181,4.567,19.335,0.796602023,181,3.846 +18.685,0.804979028,184,4.472,19.285,0.802516654,177,4.694,19.365,0.79975623,175,4.123,19.435,0.796996792,175,3.301 +18.405,0.804879349,176,3.887,19.185,0.802515667,166,4.002,19.265,0.79975623,161,3.492,19.335,0.796995806,161,2.914 +18.025,0.8043849,197,5.384,18.985,0.802120898,187,5.801,19.065,0.799361461,184,5.337,19.135,0.796601036,184,4.6 +17.685,0.804285221,199,5.84,18.285,0.801822847,196,7.244,18.385,0.79906341,195,7.635,18.535,0.796401678,195,7.088 +17.385,0.802707131,201,4.294,17.535,0.799947693,204,5.109,17.585,0.797187269,205,5.564,17.635,0.794329139,205,5.71 +17.085,0.801030348,174,2.737,16.785,0.797973847,177,2.975,16.785,0.795213422,179,3.482,16.835,0.792355292,179,4.322 +19.345,0.802220577,203,1.527,18.985,0.799164076,203,1.581,18.665,0.79620528,203,1.647,18.335,0.793247471,203,1.715 +21.805,0.799468048,181,1.725,21.385,0.796411547,181,1.769,21.035,0.793452751,181,1.825,20.735,0.790493955,181,1.874 +24.205,0.801151739,122,1.388,23.785,0.798192943,125,1.561,23.435,0.795234148,125,1.677,23.035,0.792276339,125,1.784 +25.445,0.799479891,102,2.568,25.085,0.796521095,104,2.639,24.785,0.793660992,104,2.683,24.535,0.790703183,104,2.746 +26.405,0.799975327,86,3.57,25.985,0.797016531,87,3.597,25.685,0.794058722,87,3.62,25.435,0.791198618,87,3.638 +27.445,0.7982038,76,3.708,27.085,0.795245991,77,3.735,26.755,0.792385887,77,3.729,26.435,0.789427091,77,3.747 +28.405,0.797615593,86,1.011,27.985,0.794656797,89,0.988,27.665,0.791796694,90,0.957,27.335,0.788838885,90,0.942 +27.685,0.79790871,244,1.765,27.385,0.795049593,245,1.779,27.115,0.792189489,245,1.795,26.835,0.789330373,245,1.814 +25.445,0.797113249,249,6.971,25.085,0.79415544,249,7.244,24.815,0.791295337,249,7.478,24.535,0.78843622,249,7.722 +23.705,0.797108315,221,9.45,23.285,0.794050827,221,9.645,22.955,0.79119171,221,9.796,22.635,0.788232914,221,9.963 +22.075,0.798286701,216,7.833,21.685,0.795229213,216,8.084,21.385,0.792369109,216,8.277,21.035,0.7894113,216,8.486 +20.445,0.799464101,232,6.217,20.085,0.796505305,233,6.512,19.815,0.793547496,233,6.767,19.535,0.790589687,233,6.999 +20.085,0.799758204,246,4.65,19.785,0.796800395,248,4.961,19.615,0.793941278,249,5.297,19.435,0.791082161,249,5.7 +19.625,0.801630397,231,3.411,19.385,0.798671601,239,3.973,19.455,0.795912164,244,4.469,19.535,0.793151739,244,4.917 +19.145,0.800642487,219,4.155,19.085,0.797882063,234,4.664,19.205,0.795122625,242,4.913,19.335,0.79246188,242,4.917 +18.045,0.801625463,220,5.196,17.985,0.798766346,230,6.078,18.285,0.796105601,237,6.471,18.535,0.793543548,237,6.255 +17.625,0.802214656,239,3.5,17.385,0.799256847,248,4.032,17.635,0.796596102,254,4.617,17.935,0.793935357,254,5.274 +17.525,0.800834937,209,4.224,17.285,0.797877128,218,4.477,17.415,0.795116704,224,4.508,17.535,0.792357266,224,4.342 +16.985,0.801720207,193,8.121,16.685,0.798762398,194,8.874,16.615,0.795903282,194,9.45,16.535,0.793044165,194,9.933 +16.145,0.80043622,194,9.757,15.785,0.797378732,194,10.475,15.535,0.794420923,194,11.058,15.335,0.791463114,194,11.638 +15.885,0.799941772,193,9.063,15.585,0.796983962,194,9.685,15.315,0.793927461,194,10.21,15.035,0.790968665,194,10.706 +15.885,0.799941772,202,8.775,15.585,0.796983962,202,9.368,15.335,0.794026153,202,9.924,15.035,0.790968665,202,10.528 +15.935,0.800237849,202,6.842,15.635,0.797181347,203,7.343,15.415,0.794223538,203,7.843,15.235,0.791265729,203,8.426 +15.985,0.800435233,201,4.918,15.685,0.797378732,203,5.307,15.485,0.794420923,204,5.771,15.335,0.791561806,204,6.325 +16.845,0.798466321,211,6.148,16.485,0.79540982,212,6.522,16.265,0.792452011,212,6.856,16.035,0.789494202,212,7.187 +18.705,0.799261781,216,4.343,18.285,0.796204293,216,4.467,17.955,0.793146805,216,4.587,17.635,0.790188996,216,4.709 +19.745,0.798771281,201,3.788,19.385,0.795714779,201,3.834,19.085,0.792755983,202,3.877,18.835,0.789798174,202,3.936 +20.645,0.798676536,208,5.027,20.285,0.795619048,208,5.099,19.955,0.792660252,208,5.159,19.635,0.789702443,208,5.234 +21.445,0.798579817,225,5.791,21.085,0.795523316,225,5.88,20.755,0.79256452,225,5.939,20.435,0.789606711,225,6.017 +22.805,0.796711572,215,5.444,22.385,0.793752776,215,5.524,22.065,0.79079398,215,5.574,21.735,0.787836171,215,5.651 +24.005,0.795532198,204,7.595,23.585,0.792573402,204,7.708,23.285,0.789713299,204,7.773,23.035,0.78675549,204,7.871 +23.565,0.797009623,205,9.995,23.085,0.793952134,205,10.139,22.755,0.790993338,205,10.24,22.435,0.788134222,205,10.359 +22.505,0.797301752,209,10.104,22.085,0.794342956,209,10.258,21.755,0.791385147,209,10.358,21.435,0.788426351,209,10.488 +23.145,0.795430545,248,9.232,22.785,0.792472736,248,9.447,22.455,0.78951394,248,9.618,22.135,0.786555144,248,9.814 +22.415,0.797104367,213,8.428,22.085,0.794145571,213,8.687,21.755,0.791187762,213,8.888,21.435,0.788327659,213,9.1 +21.685,0.798876881,222,7.635,21.385,0.795918085,222,7.926,21.065,0.792960276,223,8.148,20.735,0.79000148,223,8.377 +20.985,0.799466075,222,7.893,20.685,0.796507279,223,8.36,20.385,0.79354947,223,8.691,20.035,0.790590674,223,8.981 +19.485,0.797785344,215,9.836,19.185,0.794826548,216,10.742,18.885,0.791868739,217,11.473,18.535,0.788909943,217,12.114 +18.685,0.800444115,217,10.293,18.385,0.797486306,218,11.167,18.115,0.79452751,219,11.858,17.835,0.791569701,219,12.51 +18.225,0.798569948,212,10.451,17.985,0.795612139,213,11.315,17.735,0.792653343,214,12.015,17.535,0.789695534,214,12.669 +18.065,0.799456205,215,9.955,17.885,0.796597089,216,10.841,17.665,0.79363928,217,11.611,17.435,0.790681471,217,12.362 +17.965,0.798667654,222,8.944,17.785,0.795808537,223,9.823,17.565,0.792849741,223,10.605,17.335,0.789891932,223,11.351 +17.665,0.80024377,216,7.506,17.485,0.797384653,218,8.321,17.265,0.794426844,218,9.046,17.035,0.791468048,218,9.735 +17.425,0.800637552,219,7.814,17.185,0.797678756,219,8.647,16.935,0.794720947,220,9.362,16.735,0.791763138,220,10.052 +17.125,0.800735258,218,7.526,16.885,0.797776462,219,8.281,16.615,0.794818653,219,8.908,16.335,0.791762151,219,9.507 +16.685,0.801423143,222,6.644,16.385,0.798366642,223,7.273,16.135,0.795408833,224,7.813,15.935,0.792451024,224,8.347 +16.385,0.800042438,207,5.067,16.085,0.796985936,208,5.524,15.845,0.79402714,208,5.998,15.635,0.791069331,208,6.553 +16.085,0.798661732,223,3.48,15.785,0.795702936,222,3.775,15.565,0.792745127,222,4.193,15.335,0.789787318,222,4.748 +17.085,0.797284974,205,2.985,16.785,0.794326178,207,3.103,16.485,0.791269677,208,3.246,16.235,0.788311868,208,3.39 +19.345,0.798475204,255,2.598,18.985,0.795516408,254,2.678,18.665,0.792459906,254,2.752,18.335,0.78950111,254,2.845 +22.005,0.796609919,303,2.033,21.585,0.793651123,302,2.065,21.255,0.790693314,301,2.091,20.935,0.787734518,301,2.121 +23.765,0.798587713,174,0.248,23.285,0.79562793,188,0.277,22.935,0.792670121,191,0.326,22.535,0.789711325,191,0.357 +24.905,0.797802122,224,1.477,24.485,0.794744634,225,1.512,24.185,0.791885517,226,1.549,23.935,0.788926721,226,1.596 +25.305,0.796028621,237,1.963,24.885,0.793069825,237,2.006,24.585,0.790112016,237,2.052,24.335,0.787251912,237,2.092 +25.085,0.795337774,215,2.876,24.785,0.792379965,216,2.955,24.455,0.789519862,216,3.019,24.135,0.786562053,216,3.093 +25.645,0.79583321,220,3.461,25.285,0.792874414,221,3.528,25.015,0.79001431,221,3.571,24.735,0.787155194,221,3.628 +25.685,0.797114236,220,4.908,25.385,0.794156427,220,4.991,25.085,0.791296324,220,5.051,24.835,0.788437207,220,5.125 +25.385,0.797211942,222,6.792,25.085,0.794352825,222,6.918,24.785,0.791394029,222,6.994,24.535,0.788534912,222,7.078 +23.915,0.797897853,207,6.683,23.585,0.794939057,208,6.937,23.285,0.791981248,208,7.172,23.035,0.789121145,208,7.445 +22.445,0.798484086,213,6.564,22.085,0.795526277,213,6.957,21.785,0.792567481,213,7.359,21.535,0.789609672,213,7.812 +22.525,0.802723908,212,7.298,22.285,0.799863805,213,7.856,21.985,0.796905996,213,8.257,21.735,0.793948187,213,8.595 +21.325,0.802522576,217,7.645,21.085,0.799564767,218,8.479,20.835,0.796704663,219,9.184,20.535,0.793746854,219,9.824 +20.265,0.803110782,227,6.475,20.085,0.800152973,228,7.313,19.855,0.797293856,229,8.069,19.635,0.79433506,229,8.803 +19.465,0.805474463,225,5.444,19.285,0.802516654,230,6.364,19.135,0.799657538,232,7.211,19.035,0.796798421,232,8 +18.645,0.803598322,221,5.513,18.585,0.800739206,227,6.7,18.585,0.797881076,230,7.714,18.535,0.795120651,230,8.515 +18.025,0.802906489,219,4.799,18.085,0.800146065,229,5.929,18.205,0.797386627,234,6.896,18.335,0.794725882,234,7.643 +17.485,0.804679003,215,5.325,17.785,0.802018258,231,6.512,17.955,0.799357513,237,7.399,18.135,0.796598075,237,7.911 +17.005,0.804775722,214,5.245,17.485,0.802214656,232,6.275,17.685,0.799553911,239,6.984,17.935,0.796893166,239,7.415 +16.665,0.803493708,215,5.146,17.385,0.801130027,237,6.176,17.565,0.798469282,245,6.896,17.735,0.795709845,245,7.286 +16.285,0.804971132,214,5.325,17.185,0.802706144,238,6.117,17.365,0.799946706,248,6.678,17.535,0.797285961,248,7.038 +15.905,0.803096965,201,4.69,16.235,0.80043622,225,5.396,16.455,0.797775475,234,5.948,16.735,0.79511473,234,6.354 +15.525,0.801222798,225,4.046,15.285,0.798264002,231,4.684,15.565,0.795604244,237,5.228,15.835,0.792943499,237,5.67 +16.245,0.800633605,274,2.737,15.885,0.797576116,277,2.945,15.785,0.794717,278,3.364,15.735,0.79185887,278,4.015 +19.105,0.799361461,330,3.024,18.685,0.796303972,330,3.083,18.365,0.793345176,330,3.137,18.035,0.790387367,330,3.202 +21.005,0.797691586,8,3.262,20.585,0.794634098,8,3.291,20.235,0.791675302,8,3.315,19.835,0.788717493,8,3.341 +22.505,0.800358253,30,3.352,22.085,0.797300765,30,3.39,21.755,0.794341969,30,3.403,21.435,0.79138416,30,3.44 +23.965,0.796813225,24,3.064,23.485,0.793755736,24,3.083,23.165,0.79089662,24,3.098,22.835,0.787937824,24,3.133 +24.805,0.797900814,36,3.342,24.385,0.794942018,36,3.39,24.035,0.791983222,36,3.403,23.735,0.789123119,36,3.43 +25.965,0.797903775,66,1.715,25.485,0.794846287,66,1.739,25.115,0.791986183,66,1.756,24.735,0.789028374,66,1.784 +25.885,0.798692327,270,1.497,25.585,0.795832223,271,1.482,25.285,0.792874414,272,1.47,25.035,0.79001431,272,1.467 +25.645,0.79869134,277,4.264,25.285,0.795733531,277,4.358,25.015,0.792873427,278,4.449,24.735,0.789915618,278,4.56 +25.445,0.79898643,301,5.136,25.085,0.796126326,301,5.178,24.785,0.793168517,301,5.179,24.535,0.7903094,301,5.195 +24.865,0.799675302,283,4.571,24.535,0.796716506,283,4.635,24.235,0.793758697,283,4.666,23.935,0.790898594,283,4.709 +24.285,0.800264495,282,4.016,23.985,0.797306686,282,4.091,23.685,0.794446583,282,4.153,23.435,0.791488774,282,4.213 +23.985,0.803122625,279,3.49,23.685,0.800163829,281,3.755,23.415,0.797304713,282,3.897,23.135,0.794345917,282,3.985 +23.705,0.804994819,242,2.786,23.585,0.802135702,257,3.024,23.315,0.799176906,259,3.167,23.035,0.796219097,259,3.261 +23.305,0.804599062,247,3.421,23.485,0.801938317,263,3.577,23.235,0.798979521,265,3.699,23.035,0.796021712,265,3.817 +22.425,0.805878115,231,3.619,23.085,0.803514434,259,3.696,22.885,0.800555638,263,3.788,22.735,0.797696521,263,3.856 +21.365,0.805085616,240,4.819,22.685,0.803118678,273,5.386,22.535,0.800259561,277,5.514,22.335,0.797399457,277,5.432 +20.945,0.805282013,229,4.343,22.085,0.803116704,272,4.694,22.065,0.800356279,282,4.903,22.035,0.797497163,282,4.709 +20.425,0.804787565,225,4.383,21.985,0.802919319,274,4.536,21.965,0.800060202,285,4.646,21.935,0.797299778,285,4.471 +19.965,0.804588206,241,5.87,21.885,0.802918332,278,6.295,21.865,0.800158895,285,6.333,21.835,0.797299778,285,6.107 +19.765,0.804292129,243,6.068,21.685,0.802622255,277,6.74,21.685,0.799861831,284,6.905,21.735,0.797102393,284,6.642 +19.445,0.805474463,236,5.553,21.185,0.80370491,271,5.86,21.285,0.800945472,282,5.81,21.335,0.79828374,282,5.343 +19.405,0.804093758,232,4.313,20.785,0.802028127,263,4.309,20.815,0.799267703,273,4.084,20.835,0.796508265,273,3.717 +19.365,0.802615347,235,3.084,20.385,0.800450037,285,2.747,20.335,0.79759092,301,2.368,20.335,0.794830496,301,2.102 +20.405,0.798872934,253,1.468,20.285,0.79601283,294,1.433,20.185,0.7931547,318,1.519,20.035,0.790393289,318,1.388 +23.065,0.80223242,108,0.545,22.585,0.799174932,113,0.623,22.235,0.796118431,115,0.681,21.835,0.793159635,115,0.734 +24.765,0.799970392,172,0.377,24.285,0.797011596,176,0.455,23.935,0.7940528,178,0.513,23.535,0.791094991,178,0.555 +26.165,0.799087096,163,1.021,25.685,0.796029608,167,1.156,25.335,0.793071799,168,1.243,25.035,0.790211695,168,1.328 +27.165,0.797414261,178,2.102,26.685,0.794455465,179,2.332,26.365,0.791596348,179,2.476,26.035,0.788637552,179,2.597 +28.005,0.798205774,189,2.3,27.585,0.795246978,190,2.55,27.235,0.792288182,190,2.693,26.835,0.789429065,190,2.815 +28.565,0.797024426,191,2.172,28.085,0.79406563,191,2.411,27.785,0.791205527,191,2.555,27.535,0.78834641,191,2.667 +28.945,0.797124106,193,2.419,28.585,0.79416531,193,2.471,28.285,0.791306193,193,2.516,28.035,0.788446089,193,2.558 +28.085,0.796727362,144,3.153,27.785,0.793768566,145,3.202,27.535,0.791008142,145,3.265,27.335,0.788149025,145,3.38 +27.345,0.797217863,131,3.461,26.985,0.79435776,131,3.568,26.685,0.791399951,132,3.66,26.435,0.788539847,132,3.787 +26.515,0.799877622,120,4.165,26.335,0.79711621,121,4.506,26.115,0.794257094,122,4.794,25.835,0.79139699,122,5.085 +25.685,0.802635085,163,4.869,25.685,0.799874661,157,5.445,25.535,0.797015544,155,5.929,25.335,0.794156427,155,6.384 +23.865,0.80174192,231,6.148,23.985,0.799081174,228,6.799,24.015,0.79632075,226,7.053,24.035,0.793659018,226,7.019 +21.965,0.803214409,240,6.991,22.085,0.800553664,244,8.37,22.315,0.797892919,245,9.263,22.535,0.795232174,245,9.626 +21.025,0.803113743,241,6.772,21.085,0.800353319,245,8.005,21.355,0.797692573,247,9.135,21.635,0.795130521,247,10.24 +20.385,0.803209474,210,5.057,20.385,0.800450037,221,5.761,20.315,0.79759092,226,6.412,20.235,0.794731804,226,7.019 +19.285,0.803797681,182,5.146,19.285,0.800938564,194,5.751,19.165,0.798079447,199,6.175,19.035,0.795220331,199,6.473 +18.365,0.804090797,189,6.078,18.485,0.801330373,199,6.789,18.365,0.798471256,204,7.083,18.235,0.795612139,204,7.118 +17.505,0.802904515,195,6.326,17.685,0.80024377,203,7.451,17.765,0.797484333,208,7.991,17.835,0.794723908,208,7.96 +17.045,0.803889465,202,5.92,17.285,0.801130027,212,7.095,17.515,0.798469282,217,7.783,17.735,0.79580755,217,7.911 +16.705,0.803691093,204,4.958,16.885,0.801029361,215,5.91,17.185,0.798369603,222,6.511,17.535,0.795708858,222,6.741 +16.505,0.804972119,199,4.175,16.685,0.802211695,214,4.674,16.955,0.79955095,224,4.794,17.235,0.796891192,224,4.748 +16.305,0.803492721,175,2.509,16.185,0.800534912,194,2.767,16.395,0.797874167,207,2.861,16.635,0.795213422,207,3.043 +16.105,0.802013323,215,0.833,15.685,0.798955835,219,0.86,15.835,0.796196398,231,0.917,16.035,0.79343696,231,1.338 +18.605,0.800444115,346,0.863,18.185,0.797386627,348,0.889,17.885,0.794428818,350,0.927,17.535,0.79137133,350,0.981 +20.865,0.79956378,67,1.19,20.385,0.796506292,68,1.344,20.035,0.79344979,69,1.44,19.735,0.790490994,69,1.527 +22.265,0.801835677,45,1.339,21.785,0.798778189,47,1.482,21.435,0.795721688,47,1.578,21.035,0.792762892,47,1.656 +23.305,0.799670368,52,1.983,22.885,0.796711572,53,2.174,22.535,0.793752776,53,2.299,22.235,0.790794967,53,2.409 +24.405,0.799378238,43,1.834,23.985,0.796419442,44,2.016,23.635,0.793460646,44,2.121,23.335,0.790502837,44,2.211 +25.505,0.798395263,67,1.468,25.085,0.795436467,68,1.611,24.735,0.792478658,68,1.697,24.335,0.789618554,68,1.755 +25.145,0.79859166,172,4.155,24.785,0.795632865,171,4.21,24.535,0.792773748,171,4.242,24.335,0.789913644,171,4.312 +24.445,0.799378238,204,8.002,24.085,0.796419442,204,8.123,23.785,0.793461633,204,8.188,23.535,0.790502837,204,8.268 +23.945,0.798390328,220,8.161,23.585,0.795432519,220,8.311,23.285,0.792572415,220,8.415,23.035,0.789614606,220,8.535 +23.945,0.799967432,220,9.103,23.585,0.79691093,220,9.299,23.285,0.794050827,220,9.45,23.035,0.791093018,220,9.616 +22.665,0.80163928,222,7.268,22.335,0.798582778,222,7.441,22.035,0.795722675,222,7.576,21.735,0.792764866,222,7.722 +21.385,0.803311128,239,5.434,21.085,0.800353319,239,5.583,20.785,0.797296817,239,5.702,20.535,0.794338021,239,5.829 +21.125,0.802226499,256,3.778,20.885,0.79926869,258,4.062,20.585,0.796309894,258,4.242,20.335,0.793352085,258,4.392 +20.645,0.805379719,229,4.333,20.585,0.802520602,237,4.773,20.315,0.799561806,239,4.952,20.035,0.796603997,239,5.056 +19.765,0.8064604,213,5.513,19.885,0.803700962,220,6.325,19.685,0.800743153,222,6.669,19.535,0.797884037,222,6.741 +18.745,0.805471503,231,6.624,18.685,0.802612386,234,7.708,18.565,0.799655564,235,8.602,18.435,0.796796447,235,9.328 +17.665,0.805468542,236,5.335,17.485,0.802510733,241,6.216,17.415,0.799651616,243,7.034,17.335,0.796792499,243,7.782 +17.025,0.804579324,210,5.047,17.085,0.801720207,223,5.821,17.165,0.79896077,228,6.195,17.235,0.796200345,228,6.335 +16.585,0.804478658,217,5.473,16.885,0.8018189,226,6.354,17.035,0.799058475,232,6.609,17.235,0.796299038,232,6.394 +16.285,0.804576363,219,5.503,16.885,0.80211399,231,6.315,17.085,0.799354552,237,6.491,17.335,0.796693807,237,6.216 +16.205,0.803491735,221,5.979,17.285,0.801327412,238,6.532,17.435,0.798566987,244,6.422,17.535,0.795906242,244,6.107 +16.045,0.804082902,219,6.197,17.485,0.802115963,241,6.591,17.615,0.799455218,246,6.264,17.735,0.796694794,246,5.879 +15.785,0.804180607,237,5.583,16.835,0.802015297,258,6.265,16.925,0.79925586,267,6.373,17.035,0.796495435,267,6.235 +15.525,0.804278312,270,4.968,16.185,0.801815939,293,5.939,16.235,0.799056501,302,6.491,16.335,0.796197385,302,6.592 +16.745,0.800438194,300,3.431,16.385,0.797380706,302,3.686,16.205,0.794422897,303,4.025,16.035,0.79156378,303,4.441 +18.445,0.800246731,319,4.393,18.085,0.797189243,319,4.467,17.755,0.794230447,319,4.518,17.435,0.791272638,319,4.6 +20.205,0.798970639,330,4.145,19.785,0.796011843,330,4.2,19.455,0.792954355,330,4.242,19.135,0.789996546,330,4.292 +21.805,0.800453985,347,3.639,21.385,0.797396496,346,3.666,21.035,0.794438687,346,3.689,20.735,0.791479891,346,3.727 +23.005,0.799373304,347,4.303,22.585,0.796414508,347,4.358,22.235,0.793358006,347,4.39,21.835,0.79039921,347,4.441 +24.005,0.797601776,8,3.857,23.585,0.794643967,8,3.913,23.255,0.791685171,8,3.926,22.935,0.788825068,8,3.975 +25.265,0.797211942,354,2.717,24.785,0.794253146,354,2.747,24.435,0.79129435,354,2.772,24.035,0.788335554,354,2.796 +25.805,0.797311621,344,3.262,25.385,0.794352825,343,3.301,25.065,0.791395016,343,3.324,24.735,0.788534912,343,3.361 +26.405,0.798102147,348,3.252,25.985,0.795045645,348,3.291,25.665,0.792185542,348,3.305,25.335,0.789325438,348,3.341 +26.745,0.798695287,347,3.679,26.385,0.795835184,347,3.735,26.065,0.792876388,347,3.758,25.735,0.790017271,347,3.797 +25.865,0.800664199,340,3.54,25.535,0.797804096,340,3.597,25.225,0.7948453,340,3.63,24.935,0.791986183,340,3.678 +24.985,0.802633111,331,3.401,24.685,0.799773008,331,3.459,24.385,0.796814212,331,3.492,24.035,0.793856403,331,3.549 +24.625,0.803223291,299,4.036,24.385,0.800363188,302,4.378,24.115,0.797405379,303,4.528,23.835,0.794545275,303,4.59 +24.205,0.806573896,283,3.471,24.085,0.803714779,294,3.864,23.835,0.800854676,297,4.094,23.535,0.797896867,297,4.144 +22.725,0.804203306,267,5.92,23.085,0.801641253,276,6.888,22.955,0.798782137,279,7.251,22.835,0.796021712,279,6.969 +21.065,0.804789539,250,7.199,21.485,0.802227486,258,8.677,21.585,0.799468048,261,9.293,21.735,0.796806316,261,9.051 +20.265,0.804983962,266,6.207,20.385,0.802224525,270,7.293,20.735,0.799662472,272,8.099,21.035,0.797100419,272,8.644 +20.085,0.804194424,267,6.118,20.985,0.801930422,286,7.016,21.215,0.799269677,295,7.29,21.435,0.796607945,295,6.761 +20.045,0.804589193,268,5.473,21.185,0.802423884,297,5.989,21.335,0.799762151,307,6.018,21.535,0.797002714,307,5.413 +20.065,0.80587022,285,5.93,21.385,0.803804589,315,6.522,21.455,0.801044165,323,6.57,21.535,0.798284727,323,6.146 +19.645,0.805869233,295,5.771,20.485,0.803604244,319,6.591,20.685,0.800943499,326,6.905,20.935,0.798282754,326,6.681 +18.965,0.805571182,292,6.068,19.685,0.803207501,314,6.621,19.985,0.800546755,321,6.728,20.335,0.797984703,321,6.563 +18.055,0.804188502,287,5.781,18.985,0.801923513,317,6.058,19.235,0.799262768,327,5.86,19.535,0.796602023,327,5.581 +17.145,0.802706144,281,5.493,18.285,0.800640513,314,5.495,18.485,0.797881076,324,4.992,18.735,0.795219344,324,4.59 +17.965,0.79994868,283,4.303,17.785,0.797089563,296,5.089,18.085,0.794428818,303,5.278,18.435,0.791866765,303,4.639 +20.005,0.80074414,327,3.094,19.585,0.797686652,327,3.192,19.255,0.794630151,328,3.275,18.935,0.791671355,328,3.38 +22.465,0.801540587,353,2.509,21.985,0.798483099,353,2.53,21.665,0.795524303,354,2.545,21.335,0.792566494,354,2.568 +24.205,0.801250432,81,2.985,23.785,0.798291636,81,3.014,23.435,0.79533284,81,3.028,23.035,0.792374044,81,3.053 +25.565,0.799381199,97,2.717,25.085,0.796323711,97,2.728,24.735,0.793365902,97,2.733,24.335,0.790407106,97,2.746 +26.985,0.799386134,98,2.053,26.385,0.796228966,99,2.056,26.015,0.793369849,99,2.072,25.635,0.790411054,99,2.082 +27.565,0.798402171,100,1.973,27.085,0.795442388,100,1.986,26.735,0.792484579,100,1.993,26.335,0.789624476,100,2.002 +27.805,0.797811004,118,0.575,27.385,0.794852208,117,0.603,27.085,0.791992105,117,0.612,26.835,0.789132988,117,0.625 +27.345,0.798598569,343,1.834,26.985,0.795639773,344,1.858,26.715,0.792779669,344,1.874,26.435,0.789920553,344,1.913 +25.845,0.798692327,262,3.738,25.485,0.795733531,262,3.894,25.465,0.792973106,263,3.995,25.435,0.790311374,263,4.074 +25.815,0.799973353,237,3.203,25.485,0.797015544,237,3.301,25.315,0.79415544,237,3.354,25.135,0.791395016,237,3.4 +25.785,0.801255366,246,2.677,25.485,0.79829657,246,2.708,25.165,0.795338761,246,2.723,24.835,0.792478658,246,2.736 +25.725,0.802733777,236,2.717,25.485,0.799873674,240,2.777,25.215,0.797014557,241,2.762,24.935,0.794055761,241,2.766 +25.325,0.806183074,206,3.074,25.385,0.80342265,216,3.024,25.135,0.800464841,218,2.89,24.935,0.797604737,218,2.746 +24.105,0.80588305,198,6.088,24.885,0.803618061,203,6.206,24.705,0.800758944,204,5.948,24.535,0.797899827,204,5.69 +22.765,0.805879102,204,5.989,23.485,0.803515421,216,6.789,23.535,0.800754996,221,7.073,23.535,0.797994572,221,7.009 +21.325,0.804691833,191,7.447,21.985,0.80222946,196,8.687,22.165,0.799567728,199,9.076,22.335,0.796906982,199,8.664 +20.065,0.803504565,185,8.21,20.185,0.800843819,184,9.566,20.665,0.798281767,184,10.536,21.135,0.795818406,184,11.172 +19.865,0.804390822,189,8.964,19.985,0.801631384,187,10.357,20.515,0.799169011,186,11.749,21.035,0.79670565,186,13.204 +20.105,0.804589193,191,8.934,20.285,0.801928448,189,10.317,20.685,0.799366395,187,11.66,21.035,0.796804342,187,13.006 +20.045,0.805377745,188,9.589,20.285,0.802717,186,11.276,20.615,0.800154947,185,12.824,20.935,0.797592894,185,14.295 +19.785,0.805574143,191,8.944,20.085,0.802913397,188,10.673,20.435,0.800351345,187,12.311,20.835,0.797789292,187,13.839 +19.425,0.805178386,192,7.635,19.485,0.802417962,190,9.062,19.815,0.799758204,189,10.457,20.135,0.797196151,189,11.826 +19.065,0.80478263,204,6.326,18.885,0.801824821,201,7.441,19.185,0.799164076,199,8.602,19.535,0.796602023,199,9.824 +20.585,0.803801628,205,4.74,20.285,0.800745127,205,5.129,20.165,0.79788601,205,5.534,20.035,0.795026894,205,6.017 +21.745,0.802622255,209,2.846,21.385,0.799664446,210,2.905,21.115,0.79670565,211,2.979,20.835,0.793747841,211,3.053 +22.245,0.80410264,139,3.956,21.885,0.801144831,140,4.002,21.565,0.798087343,140,4.025,21.235,0.795129534,140,4.064 +22.745,0.804005922,143,6.574,22.385,0.801047126,144,6.651,22.085,0.798089317,144,6.688,21.835,0.795130521,144,6.751 +23.605,0.802234394,157,5.711,23.185,0.799275598,158,5.781,22.865,0.796316802,158,5.82,22.535,0.793358993,158,5.888 +24.345,0.801447816,170,4.353,23.985,0.79848902,170,4.417,23.665,0.795531211,170,4.439,23.335,0.792572415,170,4.491 +25.725,0.80056452,174,2.241,25.185,0.797507032,176,2.283,24.815,0.794548236,176,2.299,24.435,0.791590427,176,2.34 +27.225,0.801358006,24,0.347,26.685,0.79839921,16,0.356,26.315,0.795440415,13,0.365,25.935,0.792481619,13,0.357 +27.865,0.800571429,348,0.744,27.385,0.797612633,345,0.83,27.035,0.794653837,344,0.888,26.735,0.791696028,344,0.922 +27.185,0.801259314,11,1.795,26.885,0.798300518,10,1.818,26.635,0.795441401,10,1.845,26.435,0.792582285,10,1.903 +25.885,0.802043918,2,2.826,25.585,0.799086109,2,2.896,25.485,0.796325685,3,2.9,25.435,0.79356526,3,2.895 +24.585,0.802828522,244,3.847,24.285,0.799870713,244,3.963,24.335,0.797208981,244,3.966,24.435,0.794448557,244,3.886 +23.985,0.806276832,250,6.614,23.985,0.803418702,252,7.609,23.985,0.800658278,252,8.484,24.035,0.797897853,252,9.279 +24.025,0.807164076,252,6.872,24.385,0.804602023,258,8.123,24.415,0.801842586,261,8.997,24.435,0.799082161,261,9.368 +24.005,0.807952628,264,6.753,24.785,0.805589933,271,8.271,24.785,0.802829509,274,9.204,24.835,0.800069085,274,9.259 +23.405,0.8086415,261,6.961,23.885,0.806079447,270,8.459,23.985,0.803418702,272,9.598,24.035,0.800658278,272,10.24 +22.325,0.807849001,255,7.001,22.685,0.805188256,266,8.331,22.915,0.80252751,270,9.549,23.135,0.799965458,270,10.647 +21.585,0.807157168,239,5.236,21.885,0.804496422,261,5.929,21.955,0.801735998,268,6.659,22.035,0.799074266,268,7.395 +20.705,0.807844066,203,4.006,21.485,0.805579077,235,3.844,21.415,0.802719961,246,3.837,21.335,0.799860844,246,3.955 +20.225,0.807250925,214,3.233,21.485,0.805185295,245,2.965,21.315,0.802325191,254,2.831,21.135,0.799466075,254,2.815 +20.205,0.807644708,246,4.591,21.285,0.805480385,274,4.487,21.165,0.802620281,280,4.4,21.035,0.799761165,280,4.342 +19.705,0.807742413,272,6.178,20.785,0.805577103,298,6.443,20.765,0.802816679,303,6.383,20.735,0.799957562,303,6.354 +18.215,0.80635776,274,5.364,18.635,0.803795707,295,5.791,18.845,0.801134962,302,6.037,19.035,0.798474217,302,6.315 +16.725,0.804972119,281,4.561,16.485,0.80201431,285,5.149,16.935,0.799453245,290,5.702,17.435,0.796891192,290,6.275 +18.385,0.803597335,312,3.282,18.085,0.800540834,312,3.617,17.955,0.797681717,313,4.163,17.835,0.794822601,313,4.947 +19.945,0.802518628,310,2.757,19.585,0.79946114,310,2.836,19.285,0.796503331,310,2.9,19.035,0.793544535,310,2.964 +22.205,0.803018011,327,2.201,21.785,0.800059215,327,2.224,21.435,0.797002714,327,2.239,21.035,0.794043918,327,2.26 +23.745,0.80134715,13,2.628,23.385,0.798389341,12,2.658,23.065,0.795430545,12,2.683,22.735,0.792471749,12,2.716 +25.405,0.802732791,13,1.706,24.985,0.799773995,12,1.72,24.665,0.796815199,12,1.726,24.335,0.79385739,12,1.735 +26.345,0.801256353,27,2.181,25.985,0.798397237,26,2.184,25.665,0.795438441,25,2.18,25.335,0.792480632,25,2.191 +27.045,0.801554404,31,2.618,26.685,0.798596595,30,2.639,26.365,0.795637799,30,2.644,26.035,0.792777696,30,2.657 +27.345,0.802837404,48,1.001,26.985,0.799878608,47,1.038,26.685,0.797018505,47,1.065,26.435,0.794060696,47,1.081 +25.545,0.801056995,236,5.94,25.185,0.798099186,235,6.265,25.035,0.795337774,235,6.56,24.835,0.792478658,235,6.9 +26.045,0.80184752,256,6.743,25.685,0.798888724,256,6.878,25.385,0.796029608,256,6.974,25.035,0.793070812,256,7.078 +25.765,0.802339008,240,6.267,25.435,0.799381199,240,6.404,25.135,0.796521095,240,6.491,24.835,0.793563286,240,6.592 +25.485,0.802831483,236,5.791,25.185,0.799971379,237,5.929,24.885,0.79701357,237,6.018,24.535,0.794153467,237,6.097 +24.625,0.803124599,221,2.39,24.385,0.80016679,229,2.569,24.135,0.797306686,232,2.693,23.935,0.79444757,232,2.776 +24.205,0.806967678,256,3.798,24.085,0.804108562,262,3.933,23.955,0.801348137,265,3.887,23.835,0.79848902,265,3.777 +23.705,0.807459166,288,4.452,24.185,0.804995806,301,4.783,24.035,0.802136689,304,4.735,23.835,0.799277572,304,4.679 +21.325,0.808535899,310,4.046,21.385,0.80567777,315,4.664,21.535,0.803016038,317,4.972,21.735,0.800355292,317,5.036 +19.005,0.805669874,224,3.708,18.885,0.802810757,245,4.052,19.365,0.800249692,253,4.045,19.835,0.797786331,253,3.836 +17.725,0.806059709,197,7.645,17.485,0.8031019,200,8.845,18.115,0.800639526,201,8.918,18.735,0.798275845,201,7.802 +17.705,0.805961017,200,9.559,17.585,0.8031019,199,11.108,17.985,0.800540834,198,12.588,18.335,0.797978781,198,14.027 +17.805,0.805764619,202,7.338,17.685,0.80280681,199,8.321,17.935,0.800146065,198,9.303,18.235,0.79748532,198,10.33 +17.725,0.805961017,242,5.602,17.785,0.803201579,231,6.305,18.515,0.800837898,226,6.669,19.235,0.798474217,226,6.721 +17.885,0.806060696,275,7.169,18.485,0.803598322,276,7.995,18.835,0.800937577,276,7.744,19.235,0.798375524,276,6.84 +18.365,0.806653837,285,4.313,18.785,0.804091784,300,4.506,18.955,0.801431039,303,4.459,19.135,0.798671601,303,4.134 +18.845,0.807344683,151,1.458,19.085,0.804683938,110,1.028,19.085,0.801825808,84,1.174,19.035,0.798966691,84,1.428 +19.545,0.802320257,124,3.778,19.185,0.799361461,125,3.963,18.915,0.796403652,124,4.133,18.635,0.793346163,124,4.302 +21.305,0.802818653,128,2.459,20.885,0.799761165,129,2.49,20.565,0.796802369,130,2.525,20.235,0.79384456,130,2.558 +24.065,0.80332001,88,1.587,23.585,0.800262522,89,1.611,23.235,0.797303726,89,1.618,22.835,0.794345917,89,1.646 +25.205,0.800563533,58,2.558,24.785,0.797604737,58,2.589,24.455,0.794645941,58,2.624,24.135,0.791688132,58,2.657 +26.665,0.802144584,28,0.833,26.185,0.799087096,32,0.939,25.835,0.796129287,32,1.006,25.535,0.793269183,32,1.071 +27.105,0.800963237,355,1.13,26.685,0.798004441,357,1.146,26.385,0.795046632,357,1.174,26.035,0.792186528,357,1.19 +27.145,0.798893659,241,1.14,26.785,0.795934863,243,1.127,26.455,0.793074759,243,1.115,26.135,0.79011695,243,1.11 +27.245,0.800766839,191,1.408,26.885,0.797808043,191,1.413,26.565,0.79494794,191,1.391,26.235,0.791990131,191,1.388 +27.085,0.80066716,207,5.275,26.785,0.797808043,207,5.317,26.455,0.794849247,207,5.337,26.135,0.791990131,207,5.383 +26.485,0.799877622,210,7.923,26.185,0.796918826,211,8.044,25.865,0.794058722,211,8.119,25.535,0.791100913,211,8.218 +24.435,0.801743893,199,8.071,24.135,0.798785097,200,8.311,23.825,0.795827288,200,8.464,23.535,0.792868492,200,8.605 +22.385,0.803511473,195,8.23,22.085,0.800553664,195,8.578,21.785,0.797594868,195,8.809,21.535,0.794637059,195,9.001 +21.925,0.803313101,54,4.69,21.685,0.800453985,55,4.694,21.435,0.797495189,55,4.567,21.235,0.79453738,55,4.392 +17.245,0.803889465,24,7.873,16.885,0.800832963,24,8.42,16.735,0.797875154,24,8.878,16.535,0.795016038,24,9.308 +16.885,0.804578337,318,1.696,16.585,0.801521836,326,1.868,17.085,0.79896077,333,2.22,17.535,0.796497409,333,2.776 +16.865,0.804578337,341,3.461,16.685,0.801620528,347,4.17,17.085,0.79896077,351,5.169,17.535,0.796398717,351,6.404 +16.705,0.803592401,72,2.003,16.585,0.800634592,53,2.589,16.835,0.797973847,48,3.502,17.035,0.795313101,48,4.59 +16.285,0.803196644,144,5.583,16.285,0.80043622,133,6.285,16.565,0.797775475,127,6.471,16.835,0.79511473,127,6.354 +16.425,0.803492721,153,7.139,16.485,0.800634592,149,8.607,16.815,0.798072539,146,9.766,17.135,0.795411794,146,10.558 +16.705,0.803395016,139,4.74,16.885,0.800635579,138,6.028,17.485,0.798271897,136,7.33,18.035,0.795808537,136,8.575 +16.765,0.803099926,165,4.551,16.885,0.800339502,156,5.495,17.705,0.7980755,153,6.294,18.535,0.795810511,153,7.038 +17.105,0.805269183,211,5.93,17.285,0.802608438,204,6.918,17.885,0.800146065,202,7.379,18.535,0.797683691,202,7.465 +16.795,0.803888478,235,4.413,16.735,0.801029361,228,4.813,17.015,0.798368616,227,4.775,17.335,0.795707871,227,4.471 +16.485,0.80240908,35,2.885,16.185,0.799351591,31,2.698,16.135,0.796492475,29,2.18,16.035,0.793634345,29,1.477 +16.805,0.802015297,71,2.132,16.385,0.798957809,72,2.224,16.205,0.796,72,2.308,16.035,0.793140883,72,2.379 +20.145,0.801730076,321,1.824,19.785,0.798772267,319,1.868,19.435,0.795714779,319,1.884,19.035,0.792755983,319,1.923 +22.005,0.800158895,46,3.421,21.585,0.797101406,46,3.429,21.255,0.794143597,45,3.443,20.935,0.791184801,45,3.46 +22.105,0.799370343,61,6.723,21.685,0.796412534,61,6.799,21.335,0.793453738,61,6.836,21.035,0.790397237,61,6.9 +23.005,0.798782137,71,3.818,22.585,0.795823341,71,3.834,22.235,0.792864545,72,3.847,21.835,0.789906736,72,3.876 +24.205,0.7985887,61,4.859,23.785,0.795531211,61,4.892,23.455,0.792671108,61,4.893,23.135,0.789713299,61,4.917 +25.505,0.798592647,66,4.442,25.085,0.795633851,66,4.467,24.735,0.792675056,67,4.459,24.335,0.789717246,67,4.461 +26.825,0.799385147,105,2.846,26.285,0.796426351,106,2.876,25.935,0.793467555,107,2.871,25.535,0.790508759,107,2.895 +27.105,0.79849889,166,2.459,26.685,0.795540094,166,2.48,26.365,0.79267999,165,2.476,26.035,0.789820873,165,2.488 +25.745,0.799086109,196,5.384,25.385,0.796127313,196,5.445,25.135,0.793268196,196,5.475,24.935,0.790310387,196,5.532 +25.065,0.799971379,185,5.007,24.735,0.797012583,185,5.119,24.455,0.794054774,185,5.199,24.235,0.791195658,185,5.284 +24.385,0.800856649,200,4.621,24.085,0.797897853,200,4.793,23.785,0.794940044,200,4.913,23.535,0.792079941,200,5.026 +24.125,0.80332001,194,3.381,23.885,0.800460893,197,3.696,23.585,0.797502097,198,3.867,23.335,0.794642981,198,3.965 +23.685,0.803712805,216,5.374,23.685,0.800952381,215,5.939,23.435,0.798093264,215,6.077,23.235,0.795135455,215,6.037 +22.885,0.804203306,232,6.049,23.185,0.801542561,232,7.323,23.085,0.798683444,232,8.05,23.035,0.79592302,232,8.04 +22.065,0.804496422,246,4.73,22.485,0.801935357,247,5.939,22.535,0.799174932,247,6.649,22.535,0.796414508,247,6.691 +21.725,0.804791512,206,2.657,22.085,0.80222946,229,2.876,22.105,0.799469035,233,2.94,22.135,0.796709598,233,2.845 +19.685,0.803503578,217,8.012,19.985,0.800842832,217,9.695,20.215,0.798182087,218,10.901,20.435,0.795521342,218,11.4 +16.985,0.802903528,230,7.952,16.685,0.799944732,230,8.924,16.565,0.796986923,231,9.914,16.435,0.794127807,231,10.964 +16.865,0.802508759,213,5.741,16.685,0.79955095,217,6.888,17.115,0.796988897,219,8.089,17.535,0.794427831,219,9.279 +16.585,0.801915618,194,5.335,16.585,0.799057488,200,6.611,17.255,0.796693807,203,7.852,17.935,0.794330126,203,8.882 +16.385,0.802506785,195,6.525,16.385,0.799647668,196,7.926,16.955,0.797185295,197,9.52,17.535,0.794722921,197,11.212 +17.155,0.803494695,189,5.89,17.035,0.800636566,189,6.967,17.455,0.798074513,190,8.277,17.935,0.795513447,190,9.755 +17.925,0.804582285,204,5.265,17.685,0.801525783,203,6.008,17.955,0.798962744,203,7.034,18.235,0.796302985,203,8.297 +19.345,0.8019245,176,4.502,18.985,0.798867999,177,4.872,18.915,0.796008882,178,5.258,18.835,0.793248458,178,5.68 +22.005,0.801144831,210,5.84,21.585,0.798186035,210,6.068,21.255,0.795228226,210,6.274,20.935,0.79226943,210,6.513 +23.645,0.800755983,206,4.512,23.285,0.797797187,206,4.605,22.985,0.794838391,206,4.676,22.735,0.791979275,206,4.778 +22.545,0.799174932,167,6.882,22.185,0.796216136,168,6.967,21.865,0.793258327,168,7.034,21.535,0.790299531,168,7.118 +20.845,0.798873921,145,7.943,20.485,0.795915125,146,8.143,20.165,0.792957316,146,8.316,19.835,0.78999852,146,8.496 +22.905,0.79592302,122,8.617,22.485,0.792865532,123,8.805,22.165,0.790006415,123,8.967,21.835,0.787047619,123,9.13 +24.205,0.797306686,108,7.903,23.785,0.794250185,108,8.015,23.455,0.791390081,108,8.099,23.135,0.788431285,108,8.208 +25.745,0.796621762,126,4.383,25.385,0.793761658,127,4.427,25.065,0.790803849,127,4.469,24.735,0.787943745,127,4.52 +26.705,0.797314582,184,3.461,26.285,0.794355786,184,3.528,25.955,0.791397977,184,3.571,25.635,0.788537873,184,3.628 +26.945,0.798202813,222,4.224,26.585,0.795244017,222,4.289,26.255,0.792383913,222,4.331,25.935,0.789524796,222,4.392 +25.285,0.799774981,217,5.364,24.985,0.796914878,216,5.702,24.795,0.794055761,216,6.027,24.635,0.791196644,216,6.404 +23.625,0.801445843,228,6.505,23.385,0.798487047,228,7.115,23.335,0.795727609,228,7.724,23.335,0.792967185,228,8.426 +23.705,0.802924254,235,6.425,23.585,0.800065137,233,7.115,23.355,0.797107328,233,7.704,23.135,0.794248211,233,8.258 +22.605,0.803315075,241,5.652,22.485,0.800455959,238,6.364,22.235,0.79749815,238,6.925,22.035,0.794639033,238,7.375 +21.445,0.803016038,269,4.313,21.385,0.800156921,267,4.872,21.135,0.797199112,265,5.258,20.935,0.794339995,265,5.571 +20.645,0.805379719,278,3.758,20.585,0.802520602,284,4.309,20.315,0.799561806,284,4.676,20.035,0.796603997,284,4.887 +20.245,0.804096718,228,1.805,20.185,0.801237602,261,1.769,20.015,0.798378485,270,1.786,19.835,0.795519368,270,1.804 +19.685,0.801827782,171,3.381,19.985,0.799167037,171,2.826,19.815,0.79630792,169,2.338,19.635,0.793350111,169,1.983 +18.445,0.802217617,196,5.811,19.585,0.800150999,192,5.929,19.435,0.79719319,192,5.396,19.335,0.794334074,192,4.927 +17.825,0.802018258,209,6.148,18.785,0.799754256,206,6.799,18.835,0.796994819,206,6.619,18.935,0.794234394,206,6.047 +17.265,0.80152381,236,6.495,18.285,0.7993585,242,7.53,18.385,0.796599062,244,7.744,18.535,0.793838638,244,7.574 +17.545,0.802708117,259,6.406,18.385,0.800443129,278,7.945,18.765,0.797881076,284,9.303,19.135,0.79532001,284,10.419 +17.635,0.802708117,296,5.86,17.935,0.800047372,302,7.046,18.115,0.797386627,303,8.148,18.335,0.79462719,303,9.14 +17.725,0.802708117,309,5.305,17.485,0.799750308,309,6.147,17.465,0.796891192,309,6.994,17.435,0.794033062,309,7.861 +18.545,0.798669627,325,4.284,18.185,0.795612139,325,4.516,17.885,0.79265433,324,4.745,17.535,0.789695534,324,4.996 +19.705,0.798672588,323,3.907,19.285,0.795616087,323,3.963,18.985,0.792657291,323,3.995,18.735,0.789699482,323,4.054 +20.745,0.79700074,330,3.639,20.385,0.794041944,330,3.676,20.065,0.791084135,330,3.699,19.735,0.788125339,330,3.747 +22.465,0.797794226,352,2.102,21.985,0.794736738,351,2.125,21.635,0.791778929,351,2.141,21.335,0.788820133,351,2.161 +23.965,0.795532198,335,2.142,23.485,0.792573402,333,2.174,23.165,0.789614606,332,2.21,22.835,0.786656797,332,2.24 +25.205,0.794943992,326,2.449,24.785,0.791985196,325,2.471,24.455,0.789126079,324,2.506,24.135,0.786167283,324,2.548 +26.005,0.795143351,354,1.259,25.585,0.792185542,351,1.285,25.315,0.789325438,350,1.292,25.035,0.786466321,350,1.318 +25.285,0.794648902,304,0.922,24.985,0.791690106,305,0.929,24.785,0.788929682,306,0.967,24.535,0.786070565,306,1.021 +24.845,0.795239082,182,0.605,24.485,0.792280286,186,0.593,24.385,0.789519862,188,0.552,24.335,0.786759437,188,0.456 +24.145,0.795138416,170,5.107,23.785,0.79217962,171,5.504,23.935,0.789518875,171,5.702,24.035,0.786857143,171,5.72 +23.515,0.797107328,144,3.292,23.185,0.794149519,144,3.488,23.125,0.791389094,144,3.581,23.035,0.78862867,144,3.589 +22.885,0.799175919,221,1.477,22.585,0.79621811,215,1.463,22.315,0.793259314,213,1.46,22.035,0.790400197,213,1.447 +20.985,0.79926869,256,3.599,20.685,0.796309894,255,3.676,20.635,0.793451764,255,3.699,20.535,0.79069134,255,3.698 +19.085,0.801332346,256,5.464,18.785,0.798374537,258,6.058,19.065,0.795713792,260,6.343,19.335,0.793151739,260,6.335 +19.005,0.799755243,265,5.692,19.185,0.796995806,274,6.898,19.565,0.794433753,279,7.408,19.935,0.791970392,279,7.177 +19.525,0.803403898,260,3.857,20.185,0.800941525,294,4.566,20.315,0.79828078,305,5.14,20.435,0.795521342,305,5.294 +19.785,0.803799655,263,4.105,20.685,0.801534666,304,4.417,20.665,0.798774241,314,4.636,20.635,0.796014804,314,4.699 +20.045,0.802814705,262,3.728,20.885,0.800549716,302,3.617,20.865,0.7976906,312,3.532,20.835,0.794931162,312,3.46 +19.945,0.803504565,266,4.145,21.085,0.801339255,302,3.864,21.065,0.79857883,309,3.601,21.035,0.795719714,309,3.559 +19.885,0.805179373,290,5.057,21.085,0.803113743,324,5.168,20.985,0.800254626,329,5.288,20.835,0.797395509,329,5.73 +19.705,0.804588206,304,5.176,20.485,0.802224525,333,5.742,20.385,0.799365408,339,6.235,20.335,0.796506292,339,6.662 +19.025,0.805669874,303,5.424,19.685,0.803306193,330,5.751,19.685,0.800447076,337,6.116,19.735,0.797687639,337,6.543 +18.425,0.804090797,274,4.135,19.085,0.801727116,317,4.23,19.075,0.798867999,331,4.469,19.035,0.796107575,331,4.937 +17.825,0.802610412,279,2.846,18.485,0.800148038,331,2.698,18.465,0.797387614,345,2.821,18.435,0.794528497,345,3.331 +18.625,0.800049346,291,1.666,18.385,0.797091537,323,1.799,18.385,0.794331113,342,2.151,18.435,0.791472983,342,2.538 +20.805,0.800352332,63,1.904,20.385,0.797294843,63,1.947,20.065,0.794337034,63,1.973,19.735,0.791279546,63,2.012 +22.865,0.799471996,50,0.674,22.385,0.796414508,52,0.731,22.035,0.793455712,52,0.769,21.735,0.790497903,52,0.783 +24.425,0.800757957,16,0.883,23.885,0.797700469,15,0.959,23.535,0.794741673,15,0.996,23.235,0.791783864,15,1.031 +25.825,0.798692327,18,0.992,25.285,0.795634838,17,1.077,24.935,0.792676042,16,1.125,24.535,0.789815939,16,1.16 +26.165,0.79780607,324,0.892,25.685,0.794748581,326,0.988,25.385,0.791888478,326,1.046,25.035,0.788930669,326,1.081 +25.785,0.796720454,258,2.638,25.485,0.793761658,258,2.658,25.215,0.790902541,259,2.673,24.935,0.788042438,259,2.706 +24.105,0.796715519,254,3.778,23.685,0.793658031,253,3.943,23.635,0.790897607,253,4.133,23.535,0.788137182,253,4.421 +24.245,0.797405379,267,3.133,23.885,0.79444757,267,3.301,23.865,0.791687145,267,3.561,23.835,0.788926721,267,3.965 +23.785,0.797404392,247,2.578,23.485,0.794446583,249,2.728,23.735,0.791883543,252,2.93,24.035,0.789222798,252,3.261 +21.895,0.799172958,222,3.639,21.535,0.796214162,223,3.903,21.665,0.793454725,223,4.252,21.835,0.79079398,223,4.758 +20.005,0.800941525,212,4.69,19.585,0.797884037,213,5.079,19.585,0.795123612,214,5.574,19.535,0.792363188,214,6.265 +19.185,0.801825808,207,3.986,18.885,0.798867999,210,4.239,18.835,0.796008882,211,4.725,18.835,0.793149766,211,5.502 +18.285,0.803301258,198,6.118,17.985,0.800343449,199,6.809,18.035,0.797583025,200,7.655,18.035,0.794823587,200,8.684 +17.965,0.801428078,219,6.505,17.785,0.798567974,222,7.451,17.835,0.795808537,223,8.415,17.935,0.793048113,223,9.418 +17.565,0.804186528,215,6.951,17.385,0.801228719,219,7.955,17.585,0.798567974,222,8.79,17.835,0.795808537,222,9.507 +17.285,0.804580311,224,6.029,17.285,0.801721194,230,7.076,17.585,0.799060449,234,7.941,17.935,0.796498396,234,8.654 +17.645,0.805172465,238,5.761,17.885,0.80251172,250,6.789,18.205,0.799850975,255,7.685,18.535,0.797288922,255,8.406 +18.065,0.804582285,250,6.525,18.485,0.802021219,263,7.669,18.785,0.799360474,269,8.474,19.035,0.796699729,269,9.001 +18.245,0.804385887,266,7.02,18.785,0.801923513,279,8.341,18.955,0.799261781,285,9.135,19.135,0.796502344,285,9.418 +18.045,0.805370836,268,5.87,18.285,0.802710091,284,6.908,18.385,0.799950654,289,7.665,18.535,0.797190229,289,8.119 +17.585,0.805172465,271,5.146,17.885,0.80251172,291,5.979,17.935,0.79965359,297,6.609,18.035,0.796893166,297,7.038 +17.465,0.804481619,262,4.175,17.735,0.801820873,293,4.664,17.695,0.798962744,302,4.992,17.635,0.796103627,302,5.214 +17.345,0.803791759,255,3.213,17.585,0.801131014,286,3.35,17.455,0.798173205,296,3.364,17.335,0.795314088,296,3.39 +18.285,0.801822847,307,2.925,17.985,0.798865038,309,3.133,17.785,0.795907229,311,3.374,17.535,0.792948433,311,3.678 +19.845,0.80074414,16,3.629,19.485,0.797686652,16,3.706,19.165,0.794727856,16,3.778,18.835,0.791671355,16,3.876 +21.405,0.799763138,51,4.541,20.985,0.796804342,52,4.605,20.665,0.793845547,52,4.656,20.335,0.790789045,52,4.719 +22.865,0.800555638,65,4.819,22.385,0.79749815,65,4.872,22.065,0.79454034,65,4.913,21.735,0.791581545,65,4.976 +24.105,0.799968418,64,3.788,23.685,0.797009623,64,3.824,23.335,0.794050827,64,3.847,23.035,0.791093018,64,3.876 +25.505,0.800663212,56,3.629,25.085,0.797704416,56,3.656,24.735,0.794745621,56,3.68,24.335,0.791786825,56,3.708 +26.965,0.800371083,52,3.728,26.485,0.797412287,52,3.795,26.135,0.794454478,52,3.828,25.835,0.791495682,52,3.876 +26.345,0.799580558,135,3.986,25.985,0.796622749,134,4.002,25.665,0.793663953,134,3.995,25.335,0.790803849,134,3.995 +22.965,0.798584752,192,10.183,22.485,0.795527264,192,10.406,22.185,0.792569455,192,10.565,21.935,0.789610659,192,10.746 +21.665,0.797989637,210,11.066,21.185,0.794932149,211,11.493,20.835,0.791973353,211,11.818,20.535,0.788916852,211,12.163 +19.805,0.79916605,203,8.389,19.385,0.796108562,204,8.815,19.165,0.793249445,205,9.214,18.935,0.790291636,205,9.665 +17.945,0.800442142,201,5.711,17.585,0.797384653,202,6.137,17.485,0.794525537,203,6.6,17.335,0.79166642,203,7.167 +18.525,0.800444115,218,5.761,18.285,0.79748532,223,6.611,18.705,0.794924254,225,7.478,19.135,0.792460893,225,8.278 +17.985,0.802019245,168,4.373,17.685,0.799060449,174,4.734,18.235,0.796598075,178,4.883,18.835,0.794135702,178,4.996 +16.725,0.802212682,242,4.175,16.485,0.799253886,241,4.457,16.535,0.796494449,242,4.932,16.535,0.793635332,242,5.611 +16.225,0.803787811,246,4.551,15.985,0.800830002,249,5.198,15.885,0.797970886,251,5.919,15.835,0.795013077,251,6.701 +16.205,0.80487244,220,3.332,16.085,0.802013323,232,3.785,15.885,0.799055514,237,4.183,15.735,0.796097705,237,4.56 +15.845,0.803786825,266,3.163,15.785,0.800927708,268,3.676,15.535,0.797969899,271,4.054,15.335,0.79501209,271,4.332 +15.525,0.802898594,285,2.519,15.285,0.799939798,287,3.034,15.035,0.796883296,289,3.502,14.835,0.793925487,289,3.906 +15.065,0.804375031,111,2.816,14.885,0.801417222,101,2.668,14.685,0.798459413,96,2.377,14.535,0.795501604,96,2.151 +14.945,0.803487787,156,3.034,15.185,0.800827042,133,3.202,14.935,0.79777054,129,2.92,14.735,0.794812731,129,2.627 +15.025,0.803685171,187,1.686,15.385,0.801024426,135,1.818,15.135,0.797967925,129,1.845,14.935,0.795010116,129,1.804 +15.205,0.803291389,86,3.451,15.235,0.800433259,92,3.716,14.995,0.79747545,92,3.956,14.735,0.794516654,92,4.203 +15.385,0.802897607,70,5.216,15.085,0.799841105,72,5.603,14.855,0.796883296,73,6.057,14.635,0.793925487,73,6.602 +15.745,0.800336541,84,4.214,15.385,0.797279053,85,4.417,15.085,0.794321244,85,4.617,14.835,0.791263755,85,4.828 +17.105,0.79925586,79,4.125,16.685,0.796199358,79,4.249,16.365,0.793240563,79,4.36,16.035,0.790184061,79,4.501 +18.105,0.7993585,86,2.251,17.685,0.796301012,86,2.283,17.415,0.793342216,86,2.328,17.135,0.790384407,86,2.379 +19.605,0.799263755,89,2.271,19.185,0.796207254,89,2.312,18.865,0.793248458,89,2.338,18.535,0.790290649,89,2.399 +21.865,0.79739847,86,2.062,21.385,0.794340982,86,2.085,21.035,0.791382186,86,2.121,20.735,0.788424377,86,2.161 +22.805,0.797598816,127,3.51,22.385,0.794541327,127,3.558,22.085,0.791681224,127,3.581,21.835,0.788723415,127,3.628 +23.505,0.798191957,155,5.265,23.085,0.795233161,155,5.327,22.755,0.792275352,155,5.357,22.435,0.789316556,155,5.403 +21.445,0.798579817,173,9.023,21.085,0.795523316,173,9.171,20.755,0.79256452,173,9.283,20.435,0.789606711,173,9.418 +20.045,0.797787318,184,8.845,19.685,0.794828522,185,9.052,19.335,0.791772021,185,9.214,19.035,0.788813225,185,9.398 +20.405,0.799168024,203,8.359,19.985,0.796110535,203,8.558,19.665,0.793152726,204,8.711,19.335,0.790095238,204,8.892 +21.595,0.8002566,210,6.703,21.235,0.797297804,210,6.888,20.925,0.794339995,210,7.034,20.635,0.791381199,210,7.177 +22.785,0.801442882,203,5.047,22.485,0.798485073,204,5.228,22.185,0.795624969,204,5.347,21.935,0.79266716,204,5.462 +22.665,0.803709845,191,5.523,22.485,0.800850728,196,6.087,22.215,0.797891932,198,6.373,21.935,0.794934123,198,6.463 +21.885,0.805284974,222,5.702,21.885,0.802425857,221,6.74,21.765,0.799566741,221,7.507,21.635,0.796707624,221,7.96 +20.825,0.804098692,224,4.998,20.885,0.801338268,229,5.979,20.785,0.798479151,231,6.639,20.735,0.795620035,231,6.949 +19.825,0.804686899,236,4.095,19.885,0.801926474,234,4.931,19.785,0.799067358,235,5.445,19.735,0.796209228,235,5.611 +18.525,0.803203553,255,4.779,18.585,0.800444115,258,5.811,18.565,0.797683691,259,6.511,18.535,0.794824574,259,6.81 +17.025,0.803494695,212,4.462,17.085,0.800734271,227,5.05,17.255,0.797974833,235,5.071,17.435,0.795314088,235,4.481 +16.465,0.803492721,215,5.632,16.585,0.800733284,226,6.839,16.935,0.798171231,231,6.955,17.335,0.795511473,231,5.849 +15.725,0.80388453,239,6.247,15.485,0.800926721,240,6.918,16.085,0.798464347,243,7.379,16.735,0.796001974,243,7.831 +16.685,0.804183568,237,6.554,16.685,0.801325438,245,7.718,17.135,0.798763385,248,8.799,17.535,0.796300025,248,9.765 +16.785,0.804085862,249,5.077,16.785,0.801226746,255,5.989,17.265,0.798763385,258,7.014,17.735,0.796202319,258,8.109 +17.655,0.804383913,264,2.895,17.685,0.801623489,276,3.637,17.895,0.798962744,280,4.548,18.135,0.796203306,280,5.502 +18.525,0.804780656,197,0.704,18.585,0.801922527,312,1.275,18.535,0.799162102,320,2.081,18.535,0.796302985,320,2.895 +19.245,0.80162941,281,2.915,18.885,0.798571922,285,3.064,18.615,0.795614113,286,3.226,18.335,0.792655317,286,3.42 +20.745,0.800648409,308,2.677,20.385,0.797689613,308,2.708,20.065,0.794730817,308,2.733,19.735,0.791674315,308,2.756 +22.105,0.800553664,331,4.016,21.685,0.797496176,331,4.062,21.365,0.794538367,331,4.074,21.035,0.791579571,331,4.114 +23.005,0.800852702,356,3.292,22.585,0.797893906,356,3.32,22.235,0.79493511,356,3.324,21.835,0.791976314,356,3.351 +24.165,0.799278559,22,2.519,23.685,0.796221071,21,2.53,23.335,0.793262275,21,2.535,23.035,0.790304466,21,2.548 +24.805,0.7998717,30,2.043,24.385,0.796912904,29,2.056,24.065,0.793955095,29,2.052,23.735,0.790996299,29,2.062 +25.105,0.800070072,79,0.545,24.685,0.797111276,77,0.613,24.385,0.794252159,77,0.651,24.035,0.791293363,77,0.694 +23.905,0.799277572,231,4.373,23.485,0.796220084,231,4.526,23.335,0.79345966,231,4.666,23.235,0.790600543,231,4.818 +23.745,0.800164816,245,6.961,23.385,0.79720602,246,7.204,23.115,0.794345917,246,7.428,22.835,0.791388108,246,7.653 +23.845,0.800263509,249,7.05,23.485,0.797304713,248,7.165,23.185,0.794346904,248,7.241,22.935,0.7914868,248,7.326 +23.535,0.80203701,240,5.85,23.235,0.799176906,241,6.117,22.935,0.796219097,241,6.304,22.635,0.793260301,241,6.463 +23.225,0.803909203,231,4.65,22.985,0.800950407,232,5.07,22.685,0.797992598,232,5.357,22.435,0.795033802,232,5.611 +22.745,0.80538564,217,5.067,22.685,0.802526524,221,5.801,22.435,0.799568715,222,6.383,22.235,0.796709598,222,6.84 +21.745,0.806269924,219,6.564,21.685,0.803410807,221,7.609,21.565,0.80055169,222,8.563,21.435,0.797692573,222,9.497 +20.645,0.805970886,239,7.119,20.585,0.803111769,241,8.212,20.455,0.800252652,241,9.263,20.335,0.797393536,241,10.31 +19.565,0.805474463,236,7.318,19.385,0.802516654,237,8.311,19.365,0.799657538,238,9.342,19.335,0.796897113,238,10.458 +18.505,0.805076733,232,7.526,18.385,0.802118924,236,8.568,18.455,0.799359487,238,9.677,18.535,0.796599062,238,10.905 +17.885,0.805370836,225,6.187,17.885,0.80251172,233,7.244,18.065,0.799850975,237,8.336,18.235,0.797190229,237,9.497 +17.565,0.805862324,210,6.267,17.685,0.803102887,221,7.234,17.815,0.800441155,225,8.079,17.935,0.797681717,225,8.862 +17.305,0.806649889,223,5.89,17.485,0.803890452,231,6.908,17.565,0.801131014,235,7.783,17.635,0.79837059,235,8.545 +17.005,0.805367876,236,5.027,17.185,0.802706144,247,5.929,17.285,0.799946706,251,6.718,17.335,0.797187269,251,7.435 +16.785,0.805958056,244,4.294,17.085,0.803297311,264,5.01,17.205,0.800537873,270,5.751,17.335,0.797778436,270,6.503 +16.475,0.805464594,217,3.808,16.985,0.803001234,257,4.18,17.115,0.800241796,268,4.548,17.235,0.797482359,268,5.016 +16.165,0.805068838,205,3.312,16.885,0.802607451,246,3.35,17.015,0.799945719,261,3.344,17.135,0.797186282,261,3.539 +17.385,0.803693067,241,3.58,17.085,0.800734271,248,3.953,17.185,0.797974833,253,4.104,17.335,0.795215396,253,4.084 +19.645,0.803010116,286,2.945,19.285,0.80005132,287,2.975,18.955,0.796994819,287,2.999,18.635,0.79403701,287,3.024 +21.605,0.802326178,322,1.924,21.185,0.799367382,322,1.937,20.835,0.796310881,322,1.943,20.535,0.793352085,322,1.963 +22.665,0.80351246,298,0.773,22.185,0.800454972,299,0.85,21.885,0.797497163,299,0.888,21.535,0.794538367,299,0.922 +22.845,0.801442882,305,1.725,22.485,0.798485073,305,1.769,22.185,0.795526277,306,1.795,21.935,0.792568468,306,1.834 +22.545,0.801935357,206,2.786,22.185,0.798976561,207,2.797,21.865,0.796018752,207,2.792,21.535,0.793059956,207,2.805 +23.245,0.800261535,210,3.619,22.885,0.797302739,211,3.656,22.565,0.79434493,211,3.689,22.235,0.791386134,211,3.727 +24.965,0.801055021,221,0.466,24.485,0.797997533,225,0.544,24.135,0.795039724,226,0.592,23.835,0.79217962,226,0.654 +25.345,0.801056995,354,0.585,24.985,0.798098199,349,0.593,24.665,0.795139403,348,0.612,24.335,0.792280286,348,0.615 +25.445,0.801450777,57,0.218,25.085,0.798492968,49,0.227,24.785,0.795534172,45,0.227,24.535,0.792675056,45,0.238 +24.065,0.802432766,185,1.636,23.735,0.79947397,185,1.72,23.545,0.796614853,185,1.766,23.335,0.793755736,185,1.814 +22.685,0.80351246,194,3.054,22.385,0.800554651,194,3.212,22.315,0.797695534,193,3.305,22.235,0.79493511,193,3.39 +21.785,0.803706884,203,4.432,21.485,0.800749075,204,4.635,21.515,0.79798865,204,4.913,21.535,0.795228226,204,5.333 +20.725,0.805970886,223,5.037,20.485,0.803013077,224,5.583,20.665,0.800352332,226,6.008,20.835,0.7976906,226,6.473 +20.485,0.805280039,230,5.126,20.485,0.802519615,241,5.989,20.685,0.799760178,246,6.886,20.935,0.797099433,246,7.911 +20.645,0.807154207,242,6.415,20.885,0.804492475,254,7.52,20.935,0.801733037,258,8.503,21.035,0.798972613,258,9.378 +20.845,0.80656304,282,4.839,20.785,0.803703923,286,5.821,20.765,0.800844806,287,6.876,20.735,0.798084382,287,7.95 +20.305,0.807053541,337,3.54,20.185,0.804096718,327,4.2,20.065,0.801237602,325,4.932,19.935,0.798378485,325,5.74 +19.785,0.805574143,279,1.874,19.785,0.802813718,305,2.589,19.665,0.799855909,309,3.344,19.535,0.796996792,309,4.084 +19.565,0.806854182,261,3.451,19.685,0.804094745,289,3.795,19.585,0.801235628,296,4.183,19.535,0.798376511,296,4.59 +19.065,0.806951887,243,4.393,19.485,0.804389835,273,4.21,19.435,0.801530718,282,4.045,19.435,0.798770294,282,3.906 +18.245,0.80635776,257,6.356,19.385,0.80419245,285,6.483,19.335,0.801333333,292,6.185,19.335,0.798475204,292,5.928 +18.265,0.80596299,242,5.85,19.285,0.803698988,269,5.999,19.225,0.800839872,276,5.741,19.135,0.798079447,276,5.532 +18.285,0.805569208,267,5.345,19.185,0.803304219,297,5.524,19.115,0.800445102,303,5.297,19.035,0.797586973,303,5.125 +18.685,0.803598322,276,5.325,19.285,0.801135949,300,5.811,19.185,0.798276832,308,5.86,19.035,0.795417715,308,5.67 +19.425,0.802516654,291,3.996,19.185,0.799558845,297,4.526,19.115,0.796699729,302,5.031,19.035,0.793840612,302,5.423 +20.645,0.80173205,264,4.76,20.285,0.798674562,265,4.931,20.015,0.795815445,265,5.041,19.735,0.792856649,265,5.125 +21.385,0.802128793,269,4.522,21.085,0.799169998,270,4.566,20.755,0.796212189,270,4.597,20.435,0.793253393,270,4.629 +22.145,0.801243523,308,3.48,21.785,0.798285714,309,3.528,21.485,0.795326918,309,3.551,21.235,0.792369109,309,3.589 +23.365,0.801148779,5,0.833,22.885,0.79809129,5,0.929,22.535,0.795133481,6,0.986,22.235,0.792174685,6,1.041 +23.845,0.799672341,78,1.349,23.485,0.796614853,79,1.354,23.165,0.79375475,79,1.371,22.835,0.790796941,79,1.398 +24.345,0.799772021,16,1.795,23.985,0.796813225,16,1.799,23.685,0.793855416,16,1.805,23.435,0.790995312,16,1.804 +24.745,0.799379225,33,2.32,24.385,0.796519122,33,2.332,24.085,0.793560326,33,2.348,23.835,0.790602517,33,2.359 +25.285,0.800563533,7,1.775,24.985,0.797604737,7,1.789,24.665,0.794646928,6,1.786,24.335,0.791786825,6,1.804 +24.885,0.802040957,51,1.517,24.585,0.799082161,48,1.532,24.275,0.796124352,48,1.529,23.935,0.793264249,48,1.527 +24.485,0.803518381,50,1.259,24.185,0.800559585,50,1.265,23.885,0.797601776,50,1.263,23.535,0.794741673,50,1.259 +24.285,0.806376511,42,1.646,23.985,0.803418702,42,1.65,23.715,0.800459906,41,1.647,23.435,0.797502097,41,1.646 +24.125,0.80588305,89,0.645,23.885,0.803023933,86,0.642,23.615,0.800065137,87,0.621,23.335,0.797107328,87,0.595 +23.905,0.806474217,145,1.239,23.785,0.8036151,130,1.314,23.515,0.800656304,130,1.342,23.235,0.797698495,130,1.348 +23.525,0.810119911,230,1.339,23.585,0.807360474,208,1.038,23.335,0.804401678,201,0.967,23.035,0.801443869,201,0.971 +21.685,0.805678756,255,4.948,23.185,0.803810511,272,4.734,22.985,0.800851715,273,4.567,22.835,0.797992598,273,4.56 +20.605,0.807251912,254,6.158,22.285,0.805483346,282,6.582,22.285,0.802624229,289,6.461,22.335,0.799864792,289,5.908 +20.065,0.806560079,279,6.326,21.385,0.804494449,305,6.908,21.565,0.801833703,315,6.896,21.735,0.799171971,315,6.146 +19.645,0.806953861,283,5.781,21.085,0.804985936,320,5.761,21.255,0.802325191,332,5.445,21.435,0.799565754,332,4.996 +19.745,0.807742413,290,3.857,20.585,0.805378732,338,3.577,20.755,0.802717987,353,3.66,20.935,0.799958549,353,3.955 +19.345,0.807346657,295,3.818,20.185,0.804983962,340,3.261,20.335,0.80232223,357,3.275,20.535,0.799562793,357,3.678 +19.555,0.8064604,291,2.975,20.185,0.803998026,326,2.54,20.245,0.801237602,348,2.594,20.335,0.798478164,348,3.063 +19.765,0.805574143,318,2.142,20.185,0.80301209,7,1.808,20.165,0.800152973,23,1.914,20.135,0.797392549,23,2.439 +19.445,0.80231927,266,0.992,19.985,0.799758204,19,0.702,20.035,0.796998766,54,1.115,20.035,0.794337034,54,2.131 +22.345,0.802722921,78,1.577,21.985,0.799765112,77,1.631,21.665,0.796806316,77,1.697,21.335,0.79384752,77,1.774 +24.505,0.801054034,116,2.32,24.085,0.797996546,117,2.352,23.755,0.795136442,117,2.377,23.435,0.792178633,117,2.419 +26.325,0.801355046,161,1.676,25.785,0.798297557,161,1.72,25.435,0.795339748,162,1.756,25.035,0.792479645,162,1.804 +27.165,0.799780903,184,1.021,26.685,0.796723415,185,1.156,26.365,0.793863311,185,1.263,26.035,0.791003208,185,1.328 +28.305,0.799390081,232,2.102,27.885,0.796431285,231,2.342,27.565,0.793571182,231,2.496,27.235,0.790612386,231,2.617 +28.645,0.798602517,239,3.252,28.285,0.795643721,238,3.32,27.985,0.792783617,238,3.364,27.735,0.7899245,238,3.42 +27.945,0.79919171,257,3.718,27.585,0.796232914,257,3.785,27.315,0.793373797,257,3.828,27.035,0.790513694,257,3.886 +27.605,0.798204787,284,3.599,27.185,0.795245991,284,3.696,27.015,0.792386874,283,3.828,26.835,0.789625463,283,4.025 +28.185,0.799586479,289,4.036,27.885,0.79662867,288,4.111,27.585,0.793768566,288,4.173,27.335,0.790908463,288,4.253 +25.175,0.800267456,258,3.421,24.935,0.79740834,258,3.666,24.895,0.794647915,259,3.936,24.835,0.791887491,259,4.253 +22.165,0.800948433,227,2.806,21.985,0.79808833,229,3.232,22.215,0.795427585,230,3.689,22.435,0.792865532,230,4.243 +23.225,0.804796447,238,2.895,23.285,0.802036023,245,3.597,23.435,0.799375278,247,4.271,23.535,0.796713546,247,4.897 +23.125,0.804992845,220,5.821,23.785,0.802629164,234,6.503,23.735,0.799868739,237,6.974,23.735,0.797009623,237,7.375 +22.005,0.805679743,243,6.812,22.785,0.803414755,257,8.024,22.835,0.80065433,261,9.007,22.935,0.797894893,261,9.755 +20.865,0.808140143,243,6.862,21.585,0.805776462,257,8.242,21.815,0.803115717,262,9.381,22.035,0.800454972,262,10.25 +20.205,0.804687886,255,7.338,20.985,0.802324204,266,9.052,21.315,0.799762151,270,10.259,21.635,0.797101406,270,10.855 +19.945,0.806856156,248,6.088,20.485,0.804392795,266,7.303,20.885,0.80183173,271,8.365,21.335,0.799269677,271,9.12 +18.965,0.805964964,218,6.594,20.285,0.803899334,244,7.155,20.585,0.801337281,253,7.566,20.935,0.798676536,253,7.911 +17.125,0.805762645,198,8.805,18.385,0.803696028,202,9.872,18.835,0.801134962,203,9.776,19.335,0.798572909,203,9.011 +16.285,0.805168517,200,8.409,16.585,0.802507772,197,9.813,17.385,0.800144091,197,10.358,18.235,0.797781396,197,10.161 +16.145,0.8055623,208,8.389,16.685,0.803098939,203,10.04,17.415,0.800736245,202,10.516,18.135,0.798372564,202,9.913 +16.015,0.804575376,198,8.171,16.435,0.801915618,194,9.872,17.065,0.799453245,193,10.516,17.735,0.797089563,193,10.092 +15.885,0.803490748,203,7.962,16.185,0.800830002,198,9.714,16.705,0.798268937,197,10.506,17.235,0.795806563,197,10.26 +17.685,0.801820873,205,6.891,17.385,0.798863064,205,7.56,17.635,0.796202319,206,8.464,17.935,0.793541574,206,9.586 +20.645,0.801140883,215,5.781,20.285,0.798182087,215,5.989,19.985,0.795223291,215,6.156,19.735,0.792265482,215,6.344 +22.945,0.800950407,243,2.985,22.585,0.797991611,243,3.034,22.255,0.795033802,243,3.058,21.935,0.792075006,243,3.113 +24.305,0.802433753,302,2.459,23.885,0.799474957,301,2.48,23.565,0.796516161,301,2.496,23.235,0.793558352,301,2.528 +25.205,0.799182828,327,1.824,24.785,0.796224032,325,1.828,24.455,0.793266223,325,1.835,24.135,0.790406119,325,1.834 +25.905,0.799678263,345,2.092,25.485,0.796719467,344,2.095,25.165,0.793761658,344,2.091,24.835,0.790901554,344,2.102 +26.405,0.798694301,10,3.004,25.985,0.795735505,9,3.024,25.665,0.792776709,9,3.028,25.335,0.789916605,9,3.043 +26.805,0.798596595,16,3.966,26.385,0.795637799,16,4.002,26.065,0.792777696,16,4.035,25.735,0.789819887,16,4.074 +26.845,0.798892672,21,3.619,26.485,0.795933876,21,3.666,26.185,0.793073773,21,3.699,25.935,0.790115963,21,3.747 +26.745,0.799483839,35,2.548,26.385,0.796623736,35,2.609,26.115,0.793665926,35,2.654,25.835,0.790805823,35,2.706 +26.265,0.80224229,60,2.806,25.935,0.799283494,60,2.915,25.645,0.796325685,60,2.979,25.335,0.793465581,60,3.053 +25.785,0.804903035,66,3.074,25.485,0.801944239,66,3.222,25.185,0.79898643,66,3.315,24.935,0.796126326,66,3.39 +25.625,0.806577844,107,3.639,25.385,0.803718727,102,3.716,25.115,0.800759931,100,3.739,24.835,0.797900814,100,3.777 +24.965,0.807364421,165,3.342,25.085,0.804703676,144,3.34,24.835,0.80174488,141,3.255,24.535,0.798885764,141,3.192 +24.205,0.806967678,203,3.907,24.685,0.804504318,180,3.627,24.455,0.801645201,176,3.344,24.235,0.798687392,176,3.123 +23.645,0.809923513,211,3.441,24.485,0.807658525,183,3.014,24.285,0.804700716,177,2.683,24.035,0.801841599,177,2.369 +23.025,0.807555885,220,3.332,24.285,0.80558796,203,2.648,24.115,0.802630151,198,2.131,23.935,0.799771034,198,1.705 +22.445,0.808638539,210,3.421,23.885,0.806769307,201,2.48,23.765,0.80391019,195,1.845,23.635,0.801051073,195,1.428 +21.645,0.808931656,221,3.371,23.385,0.807162102,238,2.273,23.285,0.804302985,246,1.46,23.235,0.801443869,246,0.882 +20.905,0.80843622,208,3.708,22.885,0.806865038,222,2.411,22.815,0.804005922,226,1.47,22.735,0.801146805,226,0.843 +20.065,0.806658771,205,4.462,22.585,0.80538564,216,3.39,22.505,0.802526524,219,2.476,22.435,0.799766099,219,1.893 +19.625,0.807840118,213,4.888,22.385,0.806666667,226,3.953,22.285,0.803906242,231,3.098,22.235,0.801047126,231,2.429 +19.095,0.807444362,229,4.492,21.435,0.80597286,239,4.062,21.365,0.803212435,244,3.551,21.335,0.800354305,244,3.123 +18.565,0.806949914,248,4.095,20.485,0.805378732,281,4.16,20.435,0.802519615,292,4.015,20.435,0.799661485,292,3.817 +18.985,0.804290155,235,3.173,19.585,0.801826795,283,3.449,19.535,0.799066371,296,3.581,19.535,0.796208241,296,3.4 +21.505,0.804494449,321,1.854,21.085,0.801535653,321,1.878,20.755,0.798479151,321,1.884,20.435,0.795521342,321,1.903 +23.205,0.803613126,353,2.598,22.785,0.80065433,354,2.619,22.455,0.797597829,354,2.624,22.135,0.794639033,354,2.647 +24.405,0.801152726,21,3.748,23.985,0.798095238,21,3.785,23.665,0.795235134,22,3.818,23.335,0.792277325,22,3.856 +25.665,0.800072045,41,3.302,25.185,0.797014557,42,3.35,24.865,0.794055761,42,3.374,24.535,0.791196644,42,3.42 +26.665,0.799286454,44,3.371,26.185,0.796327659,44,3.429,25.865,0.793368863,44,3.463,25.535,0.790508759,44,3.509 +27.505,0.799683198,48,3.342,27.085,0.796724402,48,3.4,26.755,0.793765606,48,3.433,26.435,0.790906489,48,3.48 +28.105,0.799980262,47,3.669,27.685,0.797021466,48,3.726,27.365,0.794162349,48,3.758,27.035,0.791203553,48,3.807 +27.845,0.800275352,55,4.65,27.485,0.797316556,55,4.734,27.235,0.794457439,55,4.784,27.035,0.791598322,55,4.848 +27.545,0.800669134,81,1.527,27.185,0.79780903,80,1.561,26.885,0.794851221,79,1.578,26.535,0.791991118,79,1.626 +24.055,0.801348137,175,2.737,23.785,0.798390328,175,2.994,23.915,0.795728596,174,3.058,24.035,0.793067851,174,2.885 +20.565,0.801928448,207,3.956,20.385,0.798970639,207,4.437,20.935,0.796606958,206,4.548,21.535,0.794144584,206,4.144 +21.585,0.806861091,185,3.391,21.885,0.804200345,186,3.508,22.235,0.801638293,182,3.226,22.535,0.79907624,182,2.766 +21.245,0.809225759,197,3.599,21.785,0.806763385,188,3.785,22.065,0.80410264,184,3.334,22.335,0.8015396,184,2.478 +21.085,0.807253886,192,3.728,21.685,0.804791512,185,3.815,21.865,0.80212978,184,3.315,22.035,0.799469035,184,2.409 +20.605,0.808533925,205,5.721,21.985,0.806566987,197,5.643,22.085,0.803806563,198,4.706,22.235,0.801047126,198,3.598 +19.785,0.809024426,190,5.94,20.685,0.806759437,189,7.135,21.015,0.804098692,187,7.438,21.335,0.80153664,187,6.731 +18.705,0.808330619,186,7.873,18.885,0.805669874,186,9.349,19.315,0.803107821,185,10.841,19.735,0.800545769,185,12.372 +18.585,0.807048606,188,7.318,18.585,0.804288182,186,8.439,19.065,0.801727116,185,9.45,19.535,0.799263755,185,10.439 +18.805,0.808133235,191,6.485,18.985,0.80547249,188,7.708,19.465,0.802911424,186,8.898,19.935,0.800448063,186,10.042 +18.645,0.80793585,183,5.89,18.885,0.805275105,184,7.194,19.165,0.80261436,184,8.425,19.435,0.799953615,184,9.576 +18.405,0.808033555,181,4.095,18.585,0.805274118,189,5.129,18.755,0.802613373,190,6.156,18.935,0.799853935,190,7.118 +16.565,0.807041698,174,4.918,16.535,0.804183568,182,5.821,16.735,0.801521836,185,6.836,16.935,0.798762398,185,7.861 +14.725,0.806148532,182,5.731,14.485,0.803092031,182,6.512,14.715,0.800431285,183,7.527,14.935,0.797671848,183,8.605 +16.485,0.805365902,144,4.7,16.185,0.8023094,148,5.277,16.185,0.799450284,150,5.643,16.235,0.796592154,150,5.779 +18.345,0.805470516,167,3.094,17.985,0.802413027,166,3.251,17.815,0.799455218,166,3.403,17.635,0.796497409,166,3.569 +21.905,0.804299038,164,1.805,21.485,0.801241549,164,1.838,21.135,0.79828374,164,1.884,20.835,0.795324944,164,1.933 +23.665,0.805289909,63,1.19,23.185,0.80223242,66,1.314,22.835,0.799274611,67,1.401,22.535,0.796315815,67,1.467 +24.925,0.802928201,45,1.567,24.385,0.799870713,46,1.71,24.035,0.796911917,47,1.795,23.735,0.793954108,47,1.854 +26.325,0.801651123,44,1.19,25.785,0.798593634,47,1.285,25.415,0.795634838,48,1.342,25.035,0.792676042,48,1.388 +27.065,0.801751789,62,1.349,26.585,0.798694301,64,1.472,26.235,0.795736491,64,1.559,25.835,0.792876388,64,1.606 +27.105,0.802047866,41,1.001,26.685,0.79908907,42,0.998,26.385,0.796130274,43,0.996,26.035,0.793271157,43,0.991 +26.945,0.802244264,26,1.993,26.585,0.799285468,26,2.016,26.335,0.796426351,26,2.042,26.035,0.793566247,26,2.092 +27.445,0.802147545,55,1.428,27.085,0.799188749,55,1.443,26.785,0.796328645,55,1.44,26.535,0.793469529,55,1.447 +25.595,0.803916112,258,2.023,25.235,0.800957316,258,2.105,25.065,0.798098199,258,2.141,24.935,0.795239082,258,2.131 +23.745,0.805684678,190,2.608,23.385,0.802725882,190,2.767,23.335,0.799867752,190,2.831,23.335,0.797107328,190,2.815 +22.925,0.8073585,203,4.68,22.685,0.804399704,205,5.07,22.735,0.801640266,205,5.238,22.835,0.798978534,205,5.373 +21.485,0.807353565,230,5.037,21.485,0.804593141,229,5.949,21.735,0.801932396,228,6.412,22.035,0.799271651,228,6.543 +21.285,0.808338515,209,6.088,21.885,0.805974833,216,6.898,21.985,0.803214409,217,7.162,22.035,0.800454972,217,7.227 +20.485,0.809814952,202,8.309,21.385,0.807549963,203,10.169,21.585,0.804889218,204,10.93,21.835,0.802228473,204,10.657 +19.385,0.807840118,212,8.666,19.985,0.805376758,216,10.841,20.285,0.802717,218,12.163,20.535,0.800055268,218,12.471 +18.505,0.807146311,221,8.389,18.985,0.804585245,228,10.376,19.265,0.8019245,231,11.769,19.535,0.799263755,231,12.441 +17.725,0.808425364,224,8.121,18.085,0.805765606,232,9.912,18.635,0.803303232,236,11.315,19.235,0.800839872,236,12.282 +17.425,0.808424377,223,7.576,17.785,0.805863311,234,9.121,18.365,0.803302245,238,10.526,18.935,0.800838885,238,11.797 +17.385,0.808030595,221,7.149,17.685,0.805369849,232,8.568,18.165,0.802808784,237,9.835,18.635,0.800345423,237,10.944 +16.945,0.808817172,220,6.168,17.185,0.806057735,231,7.431,17.705,0.803595361,237,8.444,18.235,0.801034296,237,9.269 +16.075,0.807434493,211,4.383,16.135,0.804576363,223,5.406,16.635,0.80201431,230,6.175,17.135,0.799551937,230,6.771 +15.205,0.806051813,234,2.588,15.085,0.803094004,238,3.38,15.565,0.800532939,244,3.916,16.035,0.798069578,244,4.273 +16.645,0.804380952,227,2.538,16.285,0.801323464,230,2.668,16.455,0.798564027,235,2.654,16.635,0.795903282,235,2.617 +20.525,0.804392795,219,0.625,19.985,0.801236615,215,0.741,19.615,0.798180113,213,0.819,19.235,0.795221318,213,0.882 +22.665,0.805089563,46,0.962,22.185,0.802032075,48,1.038,21.835,0.798975574,48,1.095,21.535,0.796016778,48,1.13 +24.425,0.804996792,30,0.476,23.885,0.801939304,31,0.494,23.515,0.798881816,32,0.513,23.135,0.795924007,32,0.506 +25.565,0.802930175,5,0.833,25.085,0.799872687,3,0.899,24.735,0.796914878,2,0.947,24.335,0.793956082,2,0.962 +26.265,0.801453738,353,1.309,25.785,0.798494942,352,1.423,25.455,0.795536146,352,1.499,25.135,0.792677029,352,1.537 +26.445,0.801158648,345,2.132,26.085,0.798199852,346,2.164,25.785,0.795242043,346,2.17,25.535,0.792381939,346,2.191 +27.205,0.800668147,321,1.963,26.785,0.797709351,322,1.986,26.435,0.794750555,322,1.993,26.035,0.791890452,322,2.002 +27.505,0.800471749,314,2.112,27.085,0.797512953,315,2.125,26.755,0.794554157,315,2.131,26.435,0.791695041,315,2.141 +27.645,0.800669134,322,1.745,27.285,0.797710338,323,1.759,26.985,0.794851221,323,1.776,26.735,0.791991118,323,1.794 +26.115,0.802438687,22,1.18,25.785,0.799480878,24,1.196,25.575,0.796620775,25,1.204,25.335,0.793761658,25,1.209 +24.585,0.804209228,180,0.615,24.285,0.801250432,172,0.632,24.165,0.798391315,167,0.631,24.035,0.795630891,167,0.634 +22.885,0.804598075,240,3.252,22.585,0.80163928,242,3.419,22.505,0.798780163,242,3.324,22.435,0.796019738,242,2.954 +20.865,0.805675796,261,3.609,20.685,0.802717987,264,4.17,20.865,0.800057242,267,4.271,21.035,0.797395509,267,3.777 +20.465,0.803999013,259,4.67,20.885,0.80143696,273,5.791,21.115,0.798776215,280,6.126,21.335,0.79611547,280,5.165 +20.085,0.806462374,241,4.898,20.985,0.804197385,264,5.188,21.185,0.80153664,276,5.051,21.435,0.798875894,276,4.501 +19.745,0.805179373,232,2.786,20.885,0.803014064,289,2.757,21.035,0.800353319,309,2.782,21.235,0.797692573,309,2.647 +19.045,0.804881322,187,1.12,20.185,0.802814705,90,0.257,20.335,0.800055268,57,1.085,20.535,0.797394523,57,1.745 +17.005,0.80369208,199,5.612,18.985,0.802022206,193,5.376,19.315,0.799460153,186,4.834,19.635,0.796799408,186,4.719 +15.045,0.803390081,192,8.845,15.585,0.800926721,195,10.979,16.355,0.798564027,195,11.848,17.135,0.796200345,195,11.549 +12.785,0.801607698,201,9.47,12.785,0.798749568,200,11.355,14.065,0.796682951,201,12.183,15.335,0.794716013,201,12.124 +14.245,0.8022038,214,10.055,14.785,0.799741426,214,12.481,15.565,0.797377745,215,13.722,16.335,0.795015051,215,13.809 +14.185,0.80151394,219,9.489,14.485,0.798853195,224,11.582,15.415,0.796589193,227,12.805,16.335,0.794423884,227,13.274 +14.125,0.800824081,222,8.934,14.185,0.798063657,229,10.673,15.265,0.795898347,234,11.887,16.335,0.793733037,234,12.738 +16.345,0.800732297,234,7.486,16.285,0.79787318,242,8.924,16.815,0.795410807,246,10.259,17.335,0.792849741,246,11.519 +16.845,0.800044412,224,6.267,16.485,0.797085616,228,6.848,16.835,0.79442487,231,7.497,17.235,0.791863805,231,8.258 +18.405,0.797584999,211,5.513,17.985,0.79452751,214,5.949,18.115,0.791768073,217,6.294,18.235,0.789106341,217,6.681 +19.605,0.798968665,200,7.744,19.185,0.795911177,201,8.133,18.885,0.792953368,201,8.405,18.535,0.789994572,201,8.634 +20.065,0.796900074,196,8.319,19.585,0.793743893,197,8.627,19.255,0.790785097,198,8.849,18.935,0.787827288,198,9.061 +21.005,0.795818406,218,8.607,20.585,0.792760918,219,8.835,20.255,0.789803109,219,9.016,19.935,0.786943005,219,9.199 +22.305,0.796019738,221,7.476,21.885,0.793060943,221,7.59,21.565,0.790103133,221,7.675,21.235,0.787144338,221,7.772 +23.305,0.796318776,221,5.91,22.885,0.79335998,222,5.989,22.585,0.790499877,222,6.047,22.335,0.787542068,222,6.126 +24.745,0.796224032,228,4.413,24.385,0.793266223,229,4.467,24.085,0.790406119,230,4.508,23.835,0.78744831,230,4.57 +25.345,0.797901801,241,4.422,24.985,0.794943992,242,4.487,24.685,0.791985196,242,4.518,24.435,0.789126079,242,4.57 +21.545,0.798974587,233,3.877,21.185,0.795918085,232,4.022,21.185,0.793157661,232,4.291,21.235,0.790397237,232,4.739 +17.745,0.79994868,264,3.322,17.385,0.796989884,264,3.558,17.685,0.794329139,265,4.064,18.035,0.791767086,265,4.897 +19.065,0.799164076,267,3.609,18.885,0.796304959,271,4.259,18.885,0.793544535,274,4.982,18.935,0.790685418,274,5.849 +19.345,0.802417962,271,4.422,19.285,0.799558845,283,5.139,19.185,0.796699729,287,5.801,19.035,0.793840612,287,6.453 +19.405,0.801826795,294,2.499,19.285,0.798868986,308,3.143,19.185,0.796009869,312,3.847,19.035,0.793249445,312,4.57 +19.005,0.803008142,293,3.649,18.885,0.800149025,303,4.081,18.865,0.797388601,306,4.627,18.835,0.794530471,306,5.284 +18.965,0.802120898,252,2.291,19.085,0.799361461,288,2.441,19.065,0.796502344,297,2.831,19.035,0.79374192,297,3.301 +19.145,0.80221959,236,2.112,19.385,0.799558845,275,1.878,19.335,0.796700716,289,2.022,19.335,0.793841599,289,2.32 +18.705,0.802613373,214,4.224,19.785,0.800448063,226,3.558,19.705,0.797588946,229,2.989,19.635,0.794828522,229,2.528 +17.605,0.802313348,210,6.366,19.885,0.800842832,214,6.157,19.835,0.797983716,215,5.465,19.835,0.795223291,215,4.828 +15.345,0.799842092,206,8.855,15.585,0.797082655,208,11.058,16.135,0.794620281,209,11.966,16.735,0.792157908,209,11.529 +14.165,0.79964076,208,8.171,14.285,0.796881322,212,10.09,15.235,0.794617321,213,10.97,16.235,0.792452011,213,10.746 +14.015,0.800527017,197,6.782,14.735,0.798164323,202,8.094,15.575,0.795800642,204,8.356,16.435,0.79353664,204,7.703 +13.865,0.801414261,209,5.394,15.185,0.799348631,210,6.107,15.915,0.796984949,212,5.751,16.635,0.794621268,212,4.669 +15.845,0.800139156,206,5.097,16.385,0.797676783,213,5.87,16.915,0.795214409,216,5.741,17.435,0.792751049,216,4.52 +18.645,0.800148038,230,2.578,18.285,0.797091537,230,2.728,18.285,0.794331113,231,2.9,18.335,0.791571675,231,3.113 +21.965,0.799370343,15,0.625,21.485,0.796312855,13,0.682,21.115,0.793255366,13,0.71,20.735,0.790297557,13,0.734 +23.365,0.799966445,44,1.071,22.885,0.796908956,44,1.176,22.515,0.79395016,45,1.233,22.135,0.790991364,45,1.279 +24.665,0.797899827,27,0.526,24.185,0.794842339,29,0.563,23.815,0.791883543,29,0.592,23.435,0.788925734,29,0.605 +25.065,0.79701357,290,1.329,24.585,0.793957069,289,1.463,24.285,0.791096965,288,1.539,24.035,0.788139156,288,1.606 +25.145,0.794746607,281,3.738,24.785,0.791887491,281,3.785,24.515,0.789027387,281,3.828,24.235,0.786069578,281,3.866 +24.845,0.796126326,285,5.751,24.485,0.79316753,285,5.84,24.215,0.790308414,285,5.899,23.935,0.78744831,285,5.968 +24.785,0.796618801,288,4.422,24.485,0.793758697,288,4.516,24.185,0.790800888,288,4.577,23.935,0.787940785,288,4.669 +23.885,0.796911917,230,2.816,23.585,0.794051813,231,2.896,23.335,0.791094004,232,2.989,23.035,0.788233901,232,3.123 +20.765,0.797099433,189,6.316,20.435,0.794041944,189,6.789,20.235,0.791182828,189,7.221,20.035,0.788323711,189,7.703 +17.645,0.797188256,207,9.807,17.285,0.794130767,206,10.683,17.135,0.791271651,206,11.463,17.035,0.788412534,206,12.273 +16.285,0.799056501,198,9.787,15.985,0.796,197,10.91,16.215,0.793338268,197,11.927,16.435,0.790677523,197,12.867 +15.125,0.799939798,189,10.015,14.885,0.796981989,188,11.286,15.265,0.794419936,187,12.311,15.635,0.791857883,187,13.085 +14.605,0.797966938,193,9.4,14.485,0.795107821,191,10.782,14.985,0.792545769,192,11.502,15.535,0.790083395,192,11.668 +14.665,0.801022453,194,8.319,14.785,0.798263015,193,9.872,15.385,0.795800642,194,10.555,16.035,0.793338268,194,10.429 +15.205,0.800629657,204,6.485,16.285,0.798465334,213,7.718,16.665,0.795903282,219,8.415,17.035,0.793341229,219,8.506 +15.485,0.800531952,216,6.326,17.285,0.798764372,240,7.115,17.485,0.79610264,249,7.507,17.735,0.793441895,249,7.861 +15.785,0.801420183,226,6.544,17.585,0.799651616,254,7.441,17.735,0.796990871,262,7.931,17.835,0.794231434,262,8.297 +16.105,0.803491735,235,6.624,17.785,0.801723168,266,7.451,17.985,0.799061436,274,7.991,18.235,0.796302985,274,8.387 +16.405,0.803097952,257,7.149,17.785,0.801131014,282,8.469,18.065,0.798470269,289,9.204,18.335,0.795908216,289,9.358 +16.665,0.803197631,258,6.743,17.985,0.801230693,284,7.876,18.235,0.798569948,291,8.395,18.535,0.795909203,291,8.297 +15.005,0.801220824,243,5.92,15.635,0.798758451,270,6.858,15.935,0.796196398,279,7.142,16.235,0.793535653,279,6.919 +13.345,0.799244017,239,5.107,13.285,0.7963849,249,5.84,13.635,0.793724155,257,5.879,14.035,0.791163089,257,5.532 +15.725,0.798659758,251,4.383,15.485,0.795603257,260,5.129,15.665,0.792942512,266,5.741,15.835,0.790183074,266,6.206 +18.305,0.799949667,300,2.053,17.885,0.796893166,301,2.135,17.565,0.793835677,301,2.229,17.235,0.790877868,301,2.34 +20.905,0.798381446,338,1.16,20.485,0.795323958,337,1.275,20.115,0.792365162,336,1.342,19.735,0.789407353,336,1.398 +22.525,0.800653343,28,0.843,21.985,0.797595855,26,0.889,21.635,0.794637059,25,0.917,21.335,0.79167925,25,0.922 +24.025,0.796813225,344,1.239,23.485,0.793755736,340,1.354,23.135,0.790895633,339,1.421,22.835,0.787937824,339,1.457 +25.325,0.797113249,354,0.436,24.785,0.794055761,344,0.455,24.435,0.791195658,340,0.464,24.035,0.788236862,340,0.466 +26.165,0.796130274,299,0.982,25.685,0.793171478,293,1.107,25.365,0.790311374,292,1.204,25.035,0.787353565,292,1.269 +25.745,0.796424377,323,2.429,25.385,0.793465581,322,2.48,25.165,0.790606464,322,2.555,24.935,0.787747348,322,2.667 +25.045,0.796126326,329,3.5,24.685,0.793168517,328,3.637,24.535,0.7903094,328,3.828,24.335,0.787547989,328,4.124 +25.145,0.796028621,339,2.162,24.785,0.793168517,338,2.243,24.665,0.7903094,337,2.427,24.535,0.787548976,337,2.736 +23.665,0.79760079,262,3.183,23.335,0.794741673,262,3.479,23.265,0.791882556,263,3.887,23.235,0.789122132,263,4.501 +22.185,0.799173945,240,4.214,21.885,0.796313842,241,4.704,21.865,0.793455712,242,5.357,21.835,0.790695287,242,6.265 +23.345,0.80262719,263,4.313,23.285,0.79976906,268,5.04,23.135,0.796909943,269,5.751,23.035,0.794148532,269,6.434 +23.025,0.804598075,274,5.731,23.085,0.80193733,279,6.562,22.855,0.798978534,280,7.251,22.635,0.796119418,280,7.851 +21.725,0.804791512,278,5.632,21.785,0.802031088,286,6.562,21.665,0.799171971,288,7.389,21.535,0.796411547,288,8.119 +20.825,0.806464347,269,4.551,20.885,0.803703923,285,5.356,20.815,0.800844806,289,6.175,20.735,0.797986677,289,6.999 +20.025,0.80518036,268,6.068,20.385,0.802618307,284,6.947,20.315,0.799759191,289,7.616,20.235,0.796900074,289,8.208 +19.305,0.806459413,266,6.059,19.785,0.803996052,286,7.007,19.865,0.801236615,292,7.754,19.935,0.79847619,292,8.307 +18.865,0.805275105,273,6.356,19.585,0.802911424,295,7.165,19.665,0.800151986,302,7.655,19.735,0.797391562,302,7.921 +18.365,0.805865285,277,6.673,19.385,0.803601283,302,7.293,19.385,0.800840859,310,7.517,19.435,0.797981742,310,7.544 +17.905,0.804483592,288,6.663,18.985,0.802318283,312,7.194,18.935,0.799459166,319,7.241,18.935,0.796600049,319,7.028 +17.265,0.806551197,299,6.753,18.285,0.804287195,321,7.254,18.265,0.801429065,328,7.043,18.235,0.798668641,328,6.493 +16.715,0.804677029,301,6.683,17.585,0.80241204,318,7.165,17.615,0.799652603,322,6.856,17.635,0.796793486,322,6.216 +16.165,0.802900567,301,6.604,16.885,0.800536886,319,7.076,16.955,0.797777449,323,6.659,17.035,0.795017024,323,5.948 +16.125,0.802703183,289,4.155,15.885,0.799745374,298,4.803,16.135,0.797084629,303,4.982,16.435,0.794423884,303,4.6 +17.605,0.800342462,305,3.609,17.185,0.797284974,305,3.765,16.985,0.794425857,305,3.867,16.835,0.791468048,305,3.945 +20.205,0.800745127,337,2.479,19.785,0.797687639,338,2.49,19.435,0.794728843,337,2.496,19.035,0.791672341,337,2.508 +21.605,0.801045152,344,3.322,21.185,0.797987663,344,3.34,20.865,0.795029854,344,3.354,20.535,0.792071058,344,3.37 +22.405,0.797695534,341,4.363,21.985,0.794639033,341,4.398,21.635,0.791680237,341,4.429,21.335,0.788721441,341,4.471 +23.105,0.797698495,343,4.918,22.685,0.794641007,343,4.961,22.335,0.791682211,343,4.982,22.035,0.788724402,343,5.036 +23.705,0.79760079,352,5.464,23.285,0.794642981,352,5.514,22.955,0.791684185,352,5.544,22.635,0.788725389,352,5.601 +24.305,0.797504071,347,7.714,23.885,0.794546262,346,7.827,23.565,0.791587466,346,7.892,23.235,0.78862867,346,7.98 +24.545,0.79799852,348,7.268,24.185,0.795039724,348,7.362,23.865,0.79217962,348,7.428,23.535,0.789221811,348,7.524 +24.545,0.799575623,349,7.318,24.185,0.796616827,349,7.431,23.885,0.793659018,349,7.507,23.535,0.790798914,349,7.603 +24.095,0.80144683,342,6.802,23.885,0.798587713,342,7.214,23.615,0.795628917,342,7.487,23.335,0.7927698,342,7.683 +23.645,0.803319023,340,6.296,23.585,0.800558599,341,7.007,23.335,0.797599803,342,7.468,23.035,0.794740686,342,7.762 +22.605,0.805188256,338,7.179,22.785,0.80252751,341,8.459,22.635,0.799668394,341,9.352,22.535,0.796809277,341,9.874 +21.785,0.806269924,329,6.574,22.085,0.803707871,335,7.807,22.035,0.800848754,337,8.75,22.035,0.798089317,337,9.368 +20.865,0.807154207,319,6.624,21.285,0.804593141,329,7.659,21.365,0.801832717,333,8.454,21.435,0.799170984,333,9.051 +20.525,0.808139156,326,5.236,20.885,0.805478411,352,5.623,20.765,0.802619294,358,5.929,20.635,0.799760178,358,6.196 +19.845,0.80803849,342,4.145,20.085,0.805279053,12,4.22,19.935,0.802419936,19,4.36,19.835,0.799560819,19,4.56 +19.605,0.807446336,27,2.925,19.485,0.804587219,50,3.093,19.365,0.80162941,57,3.463,19.235,0.798770294,57,3.965 +19.305,0.807642734,44,2.509,19.185,0.804684925,69,2.757,19.115,0.801825808,76,3.255,19.035,0.798966691,76,3.916 +18.985,0.808232914,48,3.927,18.985,0.80547249,67,4.249,18.915,0.802613373,72,4.794,18.835,0.799754256,72,5.452 +18.285,0.806948927,48,3.679,18.585,0.804288182,72,4.21,18.485,0.801330373,77,4.784,18.335,0.798471256,77,5.393 +17.645,0.808031582,50,3.342,18.185,0.805469529,76,4.052,18.165,0.802710091,81,4.706,18.135,0.799850975,81,5.323 +16.935,0.807043671,15,4.036,17.535,0.804580311,49,4.496,17.565,0.801722181,60,4.972,17.535,0.798961757,60,5.591 +16.225,0.806054774,28,4.73,16.885,0.803592401,50,4.931,16.955,0.800832963,58,5.248,17.035,0.798073526,58,5.859 +15.825,0.803688132,40,2.945,16.485,0.801225759,73,4.111,16.535,0.798465334,80,4.992,16.535,0.795607205,80,5.71 +17.745,0.80251172,89,2.3,17.385,0.799454231,92,2.49,17.165,0.796496422,93,2.762,16.935,0.793538613,93,3.103 +20.205,0.800251665,60,1.983,19.785,0.797195164,60,2.006,19.485,0.794236368,60,2.032,19.235,0.791278559,60,2.072 +21.745,0.802425857,52,2.261,21.385,0.799467061,52,2.273,21.065,0.796508265,53,2.289,20.735,0.793550456,53,2.29 +22.745,0.799964471,21,3.123,22.385,0.797005675,21,3.133,22.065,0.794046879,21,3.137,21.735,0.79108907,21,3.152 +23.605,0.799770047,20,3.798,23.185,0.796811251,20,3.834,22.865,0.793852455,20,3.847,22.535,0.790894646,20,3.886 +24.405,0.799574636,1,3.837,23.985,0.796518135,0,3.884,23.685,0.793658031,0,3.906,23.435,0.790700222,0,3.936 +25.045,0.799380212,2,4.472,24.685,0.796421416,2,4.516,24.365,0.79346262,1,4.548,24.035,0.790603504,1,4.59 +25.445,0.79829657,2,5.077,25.085,0.795337774,2,5.139,24.755,0.792478658,2,5.179,24.435,0.789519862,2,5.244 +25.685,0.800367135,2,5.83,25.385,0.797409326,2,5.919,25.065,0.794549223,2,5.978,24.735,0.791591414,2,6.057 +25.205,0.80154947,21,4.333,24.935,0.798590674,21,4.487,24.635,0.795731557,21,4.587,24.335,0.792772761,21,4.669 +24.725,0.802632124,55,2.836,24.485,0.799772021,52,3.054,24.215,0.796912904,51,3.186,23.935,0.793954108,51,3.291 +24.565,0.80460301,62,2.291,24.385,0.801743893,58,2.51,24.115,0.798785097,57,2.624,23.835,0.795827288,57,2.706 +24.345,0.808052307,103,1.438,24.285,0.80519319,84,1.621,24.015,0.802334074,81,1.726,23.735,0.799376264,81,1.814 +23.925,0.809037256,340,0.803,23.985,0.806276832,39,1.117,23.765,0.803417715,41,1.332,23.535,0.800459906,41,1.527 +23.085,0.809034296,279,0.962,23.385,0.806472243,22,1.245,23.205,0.803514434,31,1.569,23.035,0.800655317,31,1.824 +22.185,0.807849001,264,1.18,22.485,0.805286948,353,1.166,22.385,0.802427831,11,1.47,22.335,0.799568715,11,1.715 +21.585,0.808536886,229,0.585,21.885,0.805974833,43,0.504,21.835,0.803115717,62,1.312,21.835,0.8002566,62,1.923 +20.865,0.808632618,208,1.458,21.585,0.806269924,159,1.018,21.605,0.803410807,132,1.716,21.635,0.800650382,132,2.568 +20.565,0.809518875,234,1.904,21.585,0.807353565,233,0.83,21.665,0.804594128,176,0.908,21.735,0.801833703,176,1.705 +20.405,0.808730323,229,2.082,21.485,0.806565014,226,1.057,21.615,0.803805576,184,1.075,21.735,0.801143844,184,1.804 +20.065,0.810109055,214,1.477,21.085,0.807943745,197,0.464,21.285,0.805184308,126,0.927,21.535,0.802523563,126,1.765 +19.735,0.808924747,202,1.319,20.335,0.806462374,150,0.929,20.475,0.803702936,113,1.45,20.635,0.801042191,113,2.121 +19.405,0.807741426,154,1.15,19.585,0.804981989,101,1.384,19.665,0.802221564,93,1.963,19.735,0.799462127,93,2.488 +18.745,0.804387861,192,1.309,19.285,0.8019245,155,1.136,19.315,0.799165063,142,1.371,19.335,0.796305946,142,1.745 +20.205,0.801730076,146,1.557,19.785,0.798673575,148,1.69,19.615,0.795715766,149,1.894,19.435,0.792856649,149,2.151 +23.365,0.800458919,132,0.714,22.885,0.797401431,139,0.8,22.535,0.794443622,141,0.858,22.235,0.791484826,141,0.902 +24.665,0.801547496,32,1.487,24.185,0.798490007,31,1.601,23.835,0.795531211,31,1.657,23.535,0.792573402,31,1.685 +24.845,0.800167777,48,3.451,24.485,0.797208981,48,3.449,24.165,0.794349864,48,3.443,23.835,0.791391068,48,3.44 +25.505,0.799381199,36,3.986,25.085,0.796422403,36,4.002,24.735,0.793464594,36,4.005,24.335,0.790505798,36,4.015 +25.905,0.798297557,48,4.531,25.485,0.795241056,48,4.556,25.165,0.792380952,48,4.558,24.835,0.789423143,48,4.58 +26.305,0.798989391,43,5.781,25.885,0.796030595,43,5.84,25.565,0.793072786,43,5.86,25.235,0.790212682,43,5.898 +26.605,0.798300518,45,5.821,26.185,0.795341722,45,5.88,25.865,0.792481619,45,5.909,25.535,0.78952381,45,5.948 +26.685,0.798497903,43,5.196,26.385,0.795539107,43,5.247,26.065,0.792679003,43,5.288,25.735,0.789721194,43,5.333 +25.635,0.800367135,83,4.859,25.485,0.797508019,83,5.129,25.195,0.794647915,83,5.258,24.935,0.791690106,83,5.313 +24.585,0.802237355,91,4.522,24.585,0.799476931,90,5,24.335,0.796519122,89,5.228,24.035,0.793659018,89,5.294 +24.365,0.805686652,114,5.087,24.485,0.802927214,106,5.475,24.235,0.800067111,105,5.583,24.035,0.797109302,105,5.541 +23.425,0.805881076,137,6.435,24.385,0.803715766,123,6.947,24.135,0.80075697,121,6.896,23.935,0.797897853,121,6.761 +22.505,0.806272884,164,7.328,24.185,0.804404639,146,7.758,23.985,0.801545522,145,7.586,23.835,0.798686405,145,7.366 +20.905,0.805971873,177,9.281,23.785,0.804896126,167,10.268,23.615,0.80203701,168,10.24,23.435,0.799177893,168,10.191 +19.465,0.804488527,182,10.015,21.385,0.802818653,180,12.61,21.585,0.800157908,180,13.959,21.835,0.797497163,180,14.067 +18.145,0.80408981,190,10.649,18.685,0.801627436,186,12.857,19.265,0.799164076,185,14.945,19.835,0.796701702,185,16.862 +17.885,0.804286208,189,10.778,18.185,0.801625463,186,12.679,18.705,0.799163089,185,14.541,19.235,0.796699729,185,16.357 +17.585,0.805566247,193,10.134,17.885,0.802906489,190,12.007,18.335,0.800344436,188,13.83,18.835,0.79778337,188,15.613 +17.285,0.803002221,195,10.461,17.585,0.800441155,192,12.392,17.985,0.797879102,190,14.245,18.335,0.795317049,190,16.01 +17.005,0.804678016,193,10.669,17.185,0.802016284,191,12.521,17.615,0.799455218,189,14.373,18.035,0.796893166,189,16.208 +17.085,0.804480632,195,9.787,17.235,0.801721194,192,11.513,17.705,0.799257834,191,13.229,18.235,0.796696768,191,14.9 +17.165,0.804284234,200,8.914,17.285,0.80152381,196,10.505,17.815,0.799061436,194,12.084,18.335,0.796599062,194,13.591 +18.785,0.801824821,199,7.645,18.485,0.798866025,198,8.43,18.615,0.796106588,197,9.352,18.735,0.79334715,197,10.359 +21.145,0.80114187,210,6.802,20.785,0.798184061,210,7.115,20.455,0.795126573,210,7.399,20.135,0.792168764,210,7.683 +23.145,0.802331113,216,3.808,22.785,0.799373304,216,3.844,22.485,0.796414508,216,3.857,22.235,0.793456699,216,3.876 +24.245,0.800363188,93,1.378,23.885,0.797404392,94,1.384,23.565,0.794545275,94,1.401,23.235,0.791586479,94,1.408 +24.905,0.798196891,90,1.388,24.485,0.795238095,92,1.393,24.165,0.792377992,92,1.401,23.835,0.789419196,92,1.408 +25.545,0.797606711,76,2.33,25.185,0.794746607,77,2.342,24.865,0.791788798,77,2.338,24.535,0.788928695,77,2.34 +26.145,0.79810116,73,2.628,25.785,0.795143351,73,2.639,25.455,0.792184555,74,2.644,25.135,0.789325438,74,2.647 +26.605,0.798496916,75,3.312,26.185,0.795539107,76,3.35,25.865,0.792580311,76,3.354,25.535,0.789720207,76,3.38 +26.345,0.796722428,69,2.965,25.985,0.793862324,70,2.994,25.735,0.791002221,69,3.019,25.535,0.788143104,69,3.043 +26.085,0.79780607,92,2.152,25.785,0.794945966,92,2.224,25.565,0.792086849,92,2.338,25.335,0.789226746,92,2.508 +25.955,0.799480878,99,3.084,25.685,0.796621762,99,3.301,25.455,0.793761658,99,3.502,25.235,0.790902541,99,3.737 +25.825,0.801255366,126,4.006,25.585,0.798395263,124,4.378,25.355,0.795536146,123,4.676,25.135,0.792677029,123,4.976 +24.405,0.804504318,185,5.335,24.285,0.801645201,183,5.959,24.185,0.798786084,182,6.333,24.035,0.796024673,182,6.473 +22.905,0.80479546,194,6.465,23.085,0.802133728,194,7.609,23.205,0.799472983,194,8.385,23.335,0.796712559,194,8.674 +22.285,0.804003948,212,6.862,22.585,0.801344189,213,8.341,22.785,0.798682457,213,9.49,23.035,0.796021712,213,10.111 +21.005,0.80557809,205,7.11,21.185,0.802916358,209,8.479,21.385,0.800255613,212,9.52,21.535,0.797594868,212,10.201 +19.665,0.803404885,197,6.951,20.085,0.800941525,208,8.271,20.455,0.79828078,214,8.947,20.835,0.795817419,214,8.962 +18.385,0.802808784,198,7.744,18.985,0.80034641,209,9.151,19.615,0.797982729,215,9.628,20.235,0.795520355,215,9.17 +17.345,0.80339699,211,7.903,17.585,0.800736245,217,9.457,18.355,0.798372564,221,10.279,19.135,0.796108562,221,10.399 +17.285,0.803988157,214,8.19,17.885,0.801525783,221,9.971,18.615,0.799162102,226,10.723,19.335,0.796799408,226,10.439 +17.065,0.803396003,215,7.615,17.785,0.801032322,224,9.339,18.455,0.798570935,230,10.082,19.135,0.796207254,230,9.765 +16.825,0.803592401,222,7.397,17.485,0.801228719,233,8.647,18.035,0.798766346,240,9.214,18.535,0.796302985,240,9.209 +16.855,0.80398717,226,6.257,17.635,0.801623489,248,7.115,18.025,0.799062423,258,7.537,18.435,0.79650037,258,7.663 +16.885,0.804283247,223,5.117,17.785,0.802018258,253,5.593,18.015,0.799357513,263,5.85,18.235,0.796696768,263,6.107 +17.845,0.798765359,258,3.143,17.785,0.795907229,273,3.735,17.935,0.793245497,280,4.41,18.035,0.790584752,280,5.135 +18.845,0.801036269,311,3.56,18.485,0.797978781,311,3.815,18.285,0.795119664,311,4.202,18.035,0.792161855,311,4.748 +18.445,0.799457192,333,4.343,18.085,0.796400691,333,4.546,17.815,0.793442882,332,4.834,17.535,0.790484086,332,5.224 +19.045,0.797586973,3,3.48,18.685,0.794628177,2,3.597,18.365,0.791571675,2,3.729,18.035,0.788612879,2,3.876 +21.305,0.798579817,5,3.619,20.885,0.795621021,4,3.686,20.565,0.792662226,4,3.749,20.235,0.789704416,4,3.846 +22.705,0.79809129,24,4.175,22.285,0.795033802,24,4.22,21.955,0.792173698,24,4.242,21.635,0.789215889,24,4.292 +24.265,0.797997533,26,2.281,23.785,0.794940044,26,2.293,23.435,0.791981248,25,2.289,23.035,0.789022453,25,2.3 +24.705,0.797801135,22,2.985,24.285,0.794842339,21,3.004,23.985,0.79188453,21,3.019,23.735,0.789025413,21,3.043 +25.045,0.798886751,16,4.244,24.685,0.795927955,15,4.299,24.365,0.792970146,15,4.311,24.035,0.790110042,15,4.362 +27.645,0.798500864,56,4.492,27.285,0.79564076,56,4.546,26.985,0.792681964,56,4.577,26.735,0.789822847,56,4.629 +26.765,0.799976314,232,5.563,26.435,0.797018505,232,5.9,26.165,0.794158401,232,6.215,25.835,0.791298298,232,6.553 +25.885,0.801354059,219,6.634,25.585,0.798395263,220,7.244,25.335,0.795536146,220,7.843,25.035,0.792676042,220,8.486 +25.005,0.80401283,174,5.751,24.885,0.801252406,181,6.503,24.915,0.798491981,184,6.994,24.935,0.795731557,184,7.217 +23.445,0.804599062,147,5.166,23.385,0.801740933,153,6.226,23.485,0.799079201,156,7.083,23.535,0.796318776,156,7.594 +22.025,0.804988897,169,5.533,22.085,0.80222946,168,6.552,22.315,0.799568715,168,7.478,22.535,0.797006662,168,8.297 +20.345,0.805181347,209,6.009,20.585,0.802520602,201,7.303,21.105,0.800057242,196,8.415,21.635,0.797594868,196,9.14 +18.985,0.803796694,224,8.22,18.985,0.801036269,220,9.606,19.735,0.798673575,217,11.009,20.535,0.796309894,217,12.441 +18.825,0.80507772,201,8.676,18.885,0.802318283,201,10.268,19.385,0.79975623,201,12.025,19.935,0.797293856,201,13.958 +18.485,0.804584259,205,10.62,18.485,0.801725142,206,12.343,18.785,0.799163089,206,14.176,19.035,0.796502344,206,16.119 +18.225,0.805470516,205,11.225,18.285,0.802611399,205,13.035,18.515,0.799950654,204,14.886,18.735,0.797289909,204,16.793 +17.625,0.804876388,203,9.539,17.685,0.80211695,202,11.177,17.835,0.799357513,202,12.844,18.035,0.796695781,202,14.543 +17.085,0.805367876,203,8.795,17.085,0.802508759,201,10.287,17.135,0.799749321,200,11.788,17.235,0.796988897,200,13.284 +17.595,0.803989144,212,7.189,17.535,0.801131014,210,8.538,17.635,0.79837059,210,9.963,17.735,0.795611152,210,11.44 +18.105,0.802611399,206,5.573,17.985,0.79965359,206,6.789,18.135,0.796991858,206,8.138,18.335,0.79423242,206,9.596 +19.585,0.802320257,156,3.361,19.285,0.799361461,160,3.637,19.065,0.796403652,162,4.035,18.835,0.793544535,162,4.61 +21.545,0.799960523,162,4.531,21.185,0.797001727,163,4.674,20.865,0.794043918,164,4.804,20.535,0.79098643,164,4.967 +24.205,0.801940291,184,4.948,23.785,0.798882803,184,5.04,23.455,0.795924994,184,5.13,23.135,0.792966198,184,5.234 +25.305,0.80154947,194,3.708,24.885,0.798590674,194,3.775,24.615,0.795731557,194,3.818,24.335,0.792772761,194,3.876 +26.405,0.800074019,202,3.381,25.985,0.797115223,201,3.439,25.665,0.794157414,201,3.482,25.335,0.791297311,201,3.539 +27.005,0.80066716,203,2.38,26.585,0.797708364,203,2.431,26.255,0.794849247,203,2.456,25.935,0.791890452,203,2.498 +27.505,0.799880582,209,1.626,27.085,0.796921786,209,1.67,26.785,0.794061683,209,1.697,26.535,0.791103874,209,1.735 +26.845,0.798991364,150,2.142,26.485,0.796131261,151,2.174,26.215,0.793172465,152,2.21,25.935,0.790313348,152,2.25 +26.305,0.798398224,111,2.013,25.885,0.795439428,113,2.065,25.615,0.792579324,114,2.111,25.335,0.789720207,114,2.171 +26.385,0.79967925,166,1.378,26.085,0.796721441,166,1.433,25.785,0.793861337,166,1.499,25.535,0.790903528,166,1.576 +23.835,0.800854676,210,3.312,23.535,0.797896867,211,3.577,23.735,0.795235134,211,3.591,23.935,0.792673082,211,3.321 +21.285,0.802030101,211,5.255,20.985,0.799071305,211,5.732,21.685,0.796707624,211,5.682,22.435,0.794343943,211,5.066 +20.005,0.802123859,225,6.574,19.885,0.799264742,225,7.431,20.515,0.796901061,224,8.01,21.135,0.794438687,224,8.278 +20.585,0.804196398,228,4.234,20.885,0.801535653,228,5.406,21.335,0.7989736,227,6.294,21.835,0.796511226,227,6.662 +20.805,0.806266963,230,4.879,21.285,0.803705897,230,6.246,21.635,0.801143844,229,7.349,22.035,0.798581791,229,7.891 +20.865,0.807055514,211,8.19,21.285,0.804593141,210,9.833,21.515,0.801931409,209,11.374,21.735,0.799270664,209,12.798 +20.805,0.807154207,203,8.617,20.985,0.804493462,201,10.11,21.015,0.801634345,200,11.423,21.035,0.798874907,200,12.57 +20.205,0.8077434,191,8.984,20.385,0.804983962,190,10.396,20.485,0.802323217,189,11.69,20.535,0.799562793,189,12.897 +19.665,0.806855169,195,9.222,19.785,0.804094745,194,10.515,19.985,0.801434,194,11.7,20.235,0.798773254,194,12.877 +19.225,0.80705058,197,9.747,19.285,0.804291142,196,11.137,19.585,0.801630397,196,12.459,19.935,0.799068344,196,13.75 +18.805,0.806852208,199,10.005,18.985,0.804092771,198,11.542,19.335,0.801530718,197,13.031,19.735,0.798869973,197,14.463 +18.345,0.807934863,196,9.351,18.585,0.805274118,194,10.969,19.005,0.802712065,193,12.518,19.435,0.800150999,193,13.988 +18.415,0.806653837,198,6.961,18.535,0.803893412,197,8.212,18.885,0.801233654,196,9.49,19.235,0.798671601,196,10.805 +18.485,0.805274118,177,4.571,18.485,0.802415001,179,5.445,18.765,0.799852948,179,6.452,19.035,0.797192203,179,7.613 +18.885,0.803500617,144,3.956,18.585,0.800444115,147,4.427,18.705,0.797683691,148,5.08,18.835,0.795022946,148,5.869 +20.545,0.803013077,131,2.737,20.185,0.799956575,133,2.856,19.915,0.796997779,134,2.999,19.635,0.794138663,134,3.152 +22.945,0.802133728,135,2.519,22.585,0.799174932,136,2.579,22.255,0.796217123,136,2.624,21.935,0.793258327,136,2.686 +24.605,0.802336047,138,2.102,24.185,0.799278559,139,2.135,23.885,0.79632075,140,2.16,23.535,0.793460646,140,2.191 +25.345,0.801155687,67,2.816,24.985,0.798196891,68,2.826,24.685,0.795238095,68,2.811,24.435,0.792378979,68,2.815 +25.945,0.800565507,60,3.242,25.585,0.797606711,61,3.261,25.255,0.794747594,61,3.255,24.935,0.791788798,61,3.261 +26.545,0.799778929,60,3.748,26.185,0.796918826,60,3.765,25.865,0.79396003,60,3.768,25.535,0.791100913,60,3.787 +27.005,0.799977301,44,4.264,26.585,0.797018505,44,4.299,26.255,0.794158401,44,4.301,25.935,0.791200592,44,4.332 +27.245,0.799287441,50,4.403,26.885,0.796329632,50,4.447,26.585,0.793469529,49,4.459,26.335,0.79051172,49,4.491 +27.385,0.80007698,51,5.226,27.085,0.797118184,51,5.297,26.755,0.794259067,51,5.337,26.435,0.791398964,51,5.393 +26.725,0.802638046,52,4.502,26.485,0.799778929,52,4.724,26.185,0.796918826,52,4.863,25.835,0.79396003,52,4.957 +26.065,0.805297804,52,3.768,25.885,0.802438687,53,4.151,25.615,0.799479891,53,4.39,25.335,0.796620775,53,4.53 +25.945,0.805592894,66,4.214,25.885,0.80283247,65,4.566,25.615,0.799874661,66,4.725,25.335,0.797014557,66,4.788 +25.785,0.809733037,11,2.291,25.785,0.806972613,29,2.688,25.535,0.804113496,32,2.871,25.335,0.8011547,32,2.934 +25.245,0.80815396,297,1.656,25.485,0.805493215,6,2.115,25.285,0.802634098,15,2.634,25.035,0.799773995,15,2.875 +23.525,0.810613373,239,3.173,24.485,0.808447076,262,2.154,24.435,0.80558796,276,1.618,24.435,0.802828522,276,1.457 +21.625,0.807748335,233,4.601,23.485,0.805979768,248,3.864,23.435,0.803219344,256,3.137,23.435,0.800360227,256,2.657 +20.485,0.808829016,220,5.285,22.585,0.807258821,233,4.704,22.605,0.804498396,240,3.926,22.635,0.80163928,240,3.331 +19.385,0.808825068,216,6.673,22.085,0.807651616,223,6.394,22.135,0.804891192,229,5.583,22.235,0.802131754,229,4.947 +18.645,0.807738465,214,7.774,21.885,0.806862077,218,7.876,21.885,0.804101653,223,7.201,21.935,0.801342216,223,6.691 +18.045,0.807342709,207,8.904,21.585,0.806663706,208,9.615,21.605,0.803805576,210,9.233,21.635,0.801045152,210,8.902 +17.925,0.806651863,208,8.944,20.685,0.805478411,201,10.199,20.935,0.802817666,202,10.289,21.235,0.800156921,202,9.943 +18.105,0.805666913,211,7.536,20.385,0.804195411,208,8.153,20.575,0.801534666,209,7.902,20.735,0.798873921,209,7.504 +18.285,0.804681964,208,6.138,20.085,0.802913397,208,6.107,20.205,0.800251665,214,5.514,20.335,0.797492228,214,5.056 +19.265,0.80419245,214,5.117,20.585,0.802224525,222,5.05,20.585,0.799464101,231,4.558,20.535,0.796605971,231,4.223 +21.205,0.804395756,240,3.213,21.085,0.801535653,250,3.894,20.955,0.798677523,256,4.36,20.835,0.795817419,256,4.332 +23.205,0.803317049,323,2.231,22.785,0.800259561,322,2.233,22.455,0.797301752,323,2.239,22.135,0.794342956,323,2.24 +24.965,0.803421663,5,0.347,24.485,0.800364175,8,0.356,24.115,0.797405379,8,0.365,23.735,0.79434789,8,0.357 +25.865,0.802339995,18,0.932,25.385,0.799282507,18,0.998,25.035,0.796323711,19,1.016,24.735,0.793365902,19,1.031 +26.565,0.802440661,14,1.834,26.085,0.799481865,14,1.986,25.735,0.796523069,14,2.062,25.335,0.793564273,14,2.112 +26.845,0.800863558,22,2.558,26.485,0.797905749,22,2.619,26.165,0.795045645,23,2.654,25.835,0.792086849,23,2.696 +27.105,0.799977301,28,4.373,26.685,0.797018505,28,4.407,26.365,0.794060696,28,4.41,26.035,0.791200592,28,4.431 +27.345,0.801062916,41,5.672,26.985,0.79810412,41,5.732,26.665,0.795244017,41,5.761,26.335,0.792286208,41,5.799 +27.545,0.800669134,42,5.255,27.185,0.797710338,42,5.317,26.865,0.794752529,42,5.347,26.535,0.791892425,42,5.403 +26.695,0.801553417,75,4.879,26.485,0.798694301,75,5.129,26.185,0.795834197,75,5.258,25.935,0.792876388,75,5.313 +25.845,0.802536393,82,4.492,25.785,0.799775968,81,4.941,25.515,0.796818159,81,5.159,25.235,0.793959043,81,5.224 +25.625,0.806183074,114,4.065,25.685,0.803423637,105,4.437,25.435,0.800563533,103,4.567,25.235,0.797605724,103,4.62 +25.205,0.809632371,165,2.172,25.385,0.806971626,126,2.431,25.185,0.804112509,119,2.634,25.035,0.801252406,119,2.726 +23.585,0.807754256,223,4.631,24.785,0.805687639,202,3.973,24.665,0.802828522,194,3.502,24.535,0.799969405,194,3.242 +21.885,0.808439181,213,6.931,24.285,0.807066371,204,7.076,24.205,0.804207254,200,6.777,24.135,0.80144683,200,6.483 +20.585,0.807350604,213,7.566,23.585,0.806275845,211,8.469,23.605,0.803515421,210,8.533,23.635,0.800755983,210,8.387 +19.605,0.807938811,206,7.714,22.785,0.807061436,210,8.41,22.955,0.804301999,213,8.306,23.135,0.801641253,213,8.109 +18.885,0.80764076,199,8.29,22.485,0.806962744,206,8.756,22.685,0.804301012,210,8.247,22.935,0.801640266,210,7.792 +18.205,0.808328645,203,9.4,21.985,0.807749321,201,10.258,22.185,0.805088576,204,9.776,22.435,0.802427831,204,9.229 +17.945,0.807046632,202,10.084,20.285,0.805673822,199,12.244,20.585,0.803013077,199,13.071,20.935,0.800451024,199,12.917 +17.485,0.807931902,202,9.509,19.585,0.806361707,198,11.463,20.065,0.803800642,198,11.917,20.535,0.801337281,198,11.222 +17.665,0.807439428,200,8.002,19.735,0.805869233,198,9.042,20.165,0.803308167,199,8.947,20.535,0.800746114,199,8.317 +17.845,0.80694794,204,6.495,19.885,0.805376758,204,6.611,20.265,0.802814705,207,5.988,20.635,0.800253639,207,5.413 +18.885,0.804979028,218,5.662,20.385,0.803013077,223,5.653,20.665,0.800450037,231,5.09,20.935,0.797789292,231,4.748 +20.845,0.802816679,228,3.302,20.785,0.799957562,236,4.101,20.865,0.797198125,242,4.656,20.935,0.794536393,242,4.659 +22.945,0.80509055,322,2.519,22.585,0.802034049,321,2.55,22.255,0.799075253,321,2.575,21.935,0.796117444,321,2.597 +24.105,0.804503331,336,2.291,23.685,0.801445843,335,2.312,23.365,0.798487047,335,2.308,23.035,0.795529238,335,2.32 +24.905,0.801646188,355,2.271,24.485,0.798688379,355,2.283,24.165,0.795729583,354,2.279,23.835,0.792771774,354,2.29 +25.505,0.803028868,3,2.866,25.085,0.800070072,3,2.876,24.755,0.797111276,3,2.881,24.435,0.794153467,3,2.895 +25.805,0.801156674,345,2.558,25.385,0.798197878,345,2.569,25.115,0.795240069,344,2.585,24.835,0.792379965,344,2.597 +25.345,0.80154947,257,5.721,24.985,0.798590674,257,5.781,24.685,0.795632865,257,5.82,24.435,0.792772761,257,5.869 +24.645,0.800758944,243,8.061,24.285,0.797800148,243,8.252,23.985,0.794842339,243,8.385,23.735,0.791883543,243,8.535 +25.045,0.800759931,243,6.237,24.685,0.797801135,243,6.364,24.385,0.794843326,243,6.461,24.035,0.79188453,243,6.572 +24.065,0.803023933,262,4.036,23.735,0.800066124,262,4.121,23.445,0.797107328,262,4.173,23.135,0.794248211,262,4.233 +23.085,0.805288922,272,1.824,22.785,0.802330126,273,1.868,22.515,0.799372317,274,1.884,22.235,0.796512213,274,1.893 +22.925,0.804301999,125,2.241,22.685,0.801442882,125,2.233,22.415,0.798484086,125,2.269,22.135,0.795526277,125,2.32 +22.585,0.808737232,176,3.084,22.585,0.805976807,171,3.073,22.335,0.803018998,171,3.098,22.035,0.800060202,171,3.172 +22.345,0.807356526,195,3.044,22.585,0.804695781,187,2.965,22.355,0.801736985,185,2.979,22.135,0.798877868,185,3.033 +21.885,0.808636566,179,3.758,22.485,0.806174192,171,3.686,22.335,0.803314088,168,3.788,22.235,0.800455959,168,3.995 +20.585,0.80971626,190,4.888,22.385,0.807947693,180,4.734,22.265,0.805088576,176,4.735,22.135,0.80222946,176,4.887 +19.465,0.807642734,193,5.126,22.285,0.806469282,179,4.931,22.165,0.803610165,177,4.794,22.035,0.800751049,177,4.788 +18.425,0.807836171,192,5.85,22.085,0.807256847,179,6.018,22.005,0.80439773,178,5.771,21.935,0.801538613,178,5.581 +17.305,0.807143351,201,6.425,21.085,0.806662719,184,7.372,21.255,0.803902295,184,7.408,21.435,0.801241549,184,7.167 +16.585,0.806943005,217,5.979,18.685,0.80537281,198,7.767,19.315,0.802910437,195,8.997,19.935,0.800448063,195,9.636 +16.165,0.807829262,224,5.434,16.885,0.805366889,208,7.076,17.565,0.803003208,203,8.868,18.235,0.800639526,203,10.508 +16.065,0.807434493,275,3.352,16.485,0.804774735,252,4.22,16.875,0.802212682,242,5.11,17.235,0.799650629,242,5.978 +15.965,0.806941031,306,1.269,16.085,0.804181594,306,1.354,16.185,0.801422156,304,1.342,16.335,0.798662719,304,1.457 +16.105,0.803885517,148,1.507,16.285,0.801224772,148,1.581,16.385,0.798366642,148,1.795,16.535,0.795607205,148,2.201 +18.305,0.802512707,187,2.291,17.885,0.799456205,187,2.461,17.735,0.796597089,188,2.664,17.535,0.793638293,188,2.895 +22.165,0.803905255,2,0.248,21.685,0.800847767,348,0.247,21.315,0.797791266,341,0.237,20.935,0.79483247,341,0.238 +23.565,0.802135702,54,0.654,23.085,0.799078214,57,0.692,22.735,0.796119418,58,0.71,22.335,0.793061929,58,0.714 +24.825,0.801153713,33,0.426,24.285,0.798096225,33,0.415,23.935,0.795137429,34,0.395,23.535,0.792178633,34,0.367 +25.865,0.800466815,299,0.625,25.385,0.797409326,287,0.702,25.035,0.794549223,285,0.76,24.735,0.791591414,285,0.813 +26.305,0.799481865,299,1.23,25.885,0.796425364,296,1.364,25.565,0.79356526,294,1.45,25.235,0.790606464,294,1.507 +26.385,0.799285468,337,1.874,26.085,0.796326672,336,1.888,25.815,0.793467555,336,1.884,25.535,0.790607451,336,1.893 +25.145,0.798098199,10,3.084,24.785,0.795239082,10,3.222,24.705,0.792379965,10,3.393,24.635,0.789619541,10,3.638 +24.645,0.798787071,359,2.985,24.285,0.795828275,359,3.133,24.165,0.793067851,359,3.384,24.035,0.790208734,359,3.817 +21.885,0.800060202,311,3.659,21.585,0.797101406,314,3.933,21.775,0.794539354,317,4.045,21.935,0.791878608,317,4.084 +19.125,0.801333333,252,4.333,18.885,0.79847323,257,4.734,19.385,0.795912164,262,4.706,19.935,0.793448803,262,4.352 +20.285,0.803110782,253,4.958,20.585,0.800450037,267,5.198,20.755,0.797789292,275,4.982,20.935,0.795128547,275,4.372 +20.465,0.807547002,248,6.138,21.485,0.805381693,265,6.384,21.415,0.802522576,270,6.057,21.335,0.799664446,270,5.631 +20.225,0.805377745,243,5.751,21.185,0.803113743,261,6.305,21.165,0.800353319,267,6.402,21.135,0.797494202,267,6.107 +19.365,0.805473476,233,5.275,20.385,0.803308167,256,5.771,20.485,0.800548729,263,5.939,20.535,0.797788305,263,5.938 +18.545,0.80537281,240,4.323,19.385,0.803107821,261,5.317,19.585,0.800348384,268,5.761,19.835,0.797687639,268,5.611 +17.745,0.805961017,209,3.966,18.285,0.803399951,242,4.575,18.565,0.800739206,252,4.676,18.835,0.798177153,252,4.064 +16.985,0.805170491,212,4.67,17.585,0.802708117,242,5.485,17.855,0.800047372,250,5.376,18.135,0.797386627,250,4.273 +16.685,0.804972119,220,4.303,17.285,0.802509746,256,5.119,17.565,0.799849001,264,5.199,17.835,0.797188256,264,4.421 +16.185,0.804970146,219,4.65,16.785,0.802507772,253,4.951,17.115,0.799848014,264,4.627,17.435,0.797285961,264,3.737 +16.485,0.805365902,220,4.948,17.685,0.803201579,256,4.852,17.835,0.800539847,266,4.163,18.035,0.79778041,266,3.142 +16.395,0.803886504,248,5.037,17.385,0.801622502,279,5.208,17.495,0.798863064,287,4.834,17.635,0.796202319,287,4.094 +16.305,0.802408093,265,5.126,17.085,0.800044412,294,5.564,17.165,0.797284974,303,5.495,17.235,0.79452455,303,5.046 +16.785,0.801522823,272,4.165,16.785,0.798762398,288,5.02,16.885,0.796001974,294,5.396,17.035,0.793242536,294,5.175 +18.345,0.804188502,324,2.38,17.985,0.801132001,323,2.461,17.665,0.7980755,323,2.555,17.335,0.795116704,323,2.667 +20.105,0.801237602,326,2.885,19.685,0.798180113,325,2.905,19.365,0.795221318,324,2.91,19.035,0.792263509,324,2.934 +22.785,0.801837651,5,1.517,22.185,0.798779176,3,1.512,21.835,0.795722675,2,1.499,21.535,0.792763879,2,1.487 +24.125,0.799377251,315,0.575,23.585,0.796319763,311,0.652,23.235,0.793360967,310,0.71,22.835,0.790402171,310,0.763 +24.365,0.799279546,337,0.436,23.885,0.796222058,333,0.504,23.585,0.793361954,332,0.543,23.335,0.790404145,332,0.585 +24.105,0.798292623,172,0.803,23.685,0.795235134,170,0.86,23.415,0.792376018,170,0.888,23.135,0.789417222,170,0.902 +19.265,0.796503331,211,12.087,18.785,0.79334715,211,12.501,18.485,0.790388354,211,12.805,18.235,0.787430545,211,13.095 +16.365,0.794916358,200,11.215,15.885,0.791760178,201,11.908,15.615,0.788802369,202,12.459,15.335,0.78584456,202,12.957 +17.065,0.79669282,187,11.195,16.585,0.793635332,188,11.967,16.315,0.790677523,188,12.578,16.035,0.787719714,188,13.115 +16.875,0.798762398,188,9.509,16.485,0.795705897,189,10.436,16.495,0.792945472,189,11.206,16.535,0.790086356,189,11.866 +16.685,0.800733284,199,7.814,16.385,0.797775475,199,8.904,16.685,0.79511473,199,9.825,17.035,0.792552677,199,10.627 +16.585,0.80270417,216,7.635,16.585,0.79984604,215,8.934,17.205,0.797383666,214,9.648,17.835,0.795019985,214,9.745 +16.545,0.803591414,223,8.22,17.085,0.801030348,223,10.04,17.755,0.798666667,223,10.565,18.435,0.796204293,223,9.735 +16.945,0.804775722,223,7.635,18.385,0.802907476,225,9.27,18.885,0.80034641,225,9.579,19.435,0.797884037,225,8.506 +15.545,0.802997286,234,6.257,16.385,0.800732297,232,7.886,17.065,0.798269924,232,8.661,17.735,0.795906242,232,8.416 +15.105,0.803783864,253,3.917,14.985,0.800826055,250,4.684,15.515,0.798363681,251,5.465,16.035,0.795802615,251,6.235 +13.845,0.802400197,219,2.955,13.785,0.799541081,233,3.834,14.535,0.797177399,239,4.321,15.335,0.794814705,239,4.62 +14.945,0.802600543,198,3.262,15.185,0.799939798,232,3.726,15.765,0.797477424,244,4.252,16.335,0.795015051,244,4.947 +16.165,0.804280286,222,4.343,16.885,0.8018189,259,4.941,17.235,0.799256847,269,5.741,17.535,0.796694794,269,6.622 +17.305,0.804679003,262,6.068,18.085,0.802315322,284,7.115,18.385,0.799654577,290,8.099,18.735,0.796993832,290,8.971 +17.545,0.805763632,274,7.863,18.685,0.803598322,291,9.299,19.015,0.800938564,297,10.388,19.335,0.798376511,297,11.192 +16.955,0.804381939,282,7.338,17.735,0.802018258,295,8.657,17.945,0.799258821,299,9.658,18.135,0.796598075,299,10.419 +16.365,0.80299926,278,6.822,16.785,0.800339502,289,8.005,16.885,0.797580064,293,8.918,17.035,0.794918332,293,9.646 +16.425,0.803690106,287,5.721,16.185,0.800732297,290,6.423,16.135,0.79787318,292,7.231,16.035,0.795014064,292,8.129 +18.345,0.802217617,300,5.404,17.985,0.799258821,301,5.663,17.685,0.796202319,301,5.919,17.435,0.79324451,301,6.196 +20.205,0.800941525,320,4.72,19.785,0.797983716,320,4.793,19.455,0.794926227,320,4.853,19.135,0.791968418,320,4.947 +21.605,0.801242536,332,4.76,21.185,0.798185048,331,4.832,20.865,0.795226252,331,4.873,20.535,0.792268443,331,4.937 +22.605,0.79838638,333,4.541,22.185,0.795328892,332,4.605,21.865,0.792371083,332,4.646,21.535,0.789412287,332,4.699 +23.865,0.797404392,330,3.004,23.385,0.794346904,328,3.044,23.035,0.791389094,328,3.078,22.735,0.788528991,328,3.113 +24.465,0.797702443,283,0.654,23.985,0.794644954,284,0.761,23.665,0.791686158,285,0.848,23.335,0.788827042,285,0.922 +23.545,0.796812238,160,2.697,23.185,0.793853442,160,2.718,22.935,0.790994325,160,2.733,22.735,0.788135208,160,2.756 +22.845,0.795429558,161,4.988,22.485,0.792471749,161,5.109,22.235,0.789611646,161,5.209,22.035,0.786752529,161,5.353 +21.205,0.795622008,160,5.047,20.785,0.79256452,160,5.238,20.615,0.789705403,160,5.495,20.435,0.786846287,160,5.859 +20.335,0.79788601,164,5.404,20.035,0.794928201,163,5.821,20.035,0.792069085,163,6.313,20.035,0.789309647,163,6.909 +19.465,0.800052307,185,5.761,19.285,0.79719319,182,6.404,19.455,0.794532445,180,7.122,19.635,0.791772021,180,7.97 +17.865,0.800737232,201,6.495,17.685,0.797779423,198,7.333,18.285,0.795317049,195,8.247,18.835,0.792952381,195,9.239 +16.365,0.801816926,214,7.486,16.185,0.798859117,213,8.509,16.935,0.796594128,211,9.47,17.735,0.794230447,211,10.379 +15.425,0.802897607,211,8.904,15.785,0.800237849,217,10.871,16.455,0.797874167,219,11.621,17.135,0.795411794,219,11.152 +15.205,0.802502837,206,8.101,16.285,0.800337528,221,9.606,17.115,0.797974833,229,9.973,17.935,0.795709845,229,9.338 +15.245,0.802700222,215,8.795,16.685,0.800831976,228,10.554,17.515,0.798469282,236,11.325,18.335,0.796204293,236,11.331 +15.825,0.802406119,219,7.972,17.985,0.800835924,240,9.151,18.565,0.798472243,249,9.815,19.135,0.796009869,249,10.29 +16.065,0.803096965,212,7.576,18.285,0.801625463,241,8.331,18.815,0.799163089,251,8.839,19.335,0.796700716,251,9.328 +15.985,0.802800888,215,6.723,18.385,0.801429065,244,7.402,18.785,0.798867012,252,7.813,19.235,0.796305946,252,8.238 +15.705,0.801913644,213,6.901,17.985,0.800442142,239,7.53,18.435,0.797978781,248,7.833,18.935,0.795417715,248,8.129 +15.345,0.803193684,209,7.476,17.385,0.801622502,224,8.212,17.865,0.799061436,232,8.346,18.335,0.796599062,232,8.297 +14.925,0.80220676,196,7.476,16.635,0.800437207,208,8.37,16.975,0.797876141,213,8.444,17.335,0.795215396,213,8.198 +14.505,0.80121885,194,7.467,15.885,0.799251912,199,8.538,16.085,0.796591167,202,8.533,16.335,0.79383173,202,8.089 +15.705,0.799350604,197,7.209,15.885,0.796688872,200,9.082,16.115,0.794028127,201,10.121,16.335,0.791367382,201,10.121 +18.445,0.800148038,213,6.287,18.085,0.79709055,214,6.661,17.815,0.794132741,214,6.994,17.535,0.791173945,214,7.326 +21.345,0.796706637,232,5.989,20.985,0.793747841,233,6.097,20.665,0.790790032,233,6.185,20.335,0.787831236,233,6.295 +23.105,0.798092277,236,6.475,22.685,0.795034789,236,6.601,22.385,0.792175672,236,6.698,22.035,0.789216876,236,6.81 +24.405,0.795927955,243,7.695,23.985,0.792870466,243,7.847,23.685,0.790010363,243,7.951,23.435,0.787052554,243,8.069 +25.245,0.795929928,242,8.091,24.885,0.792972119,242,8.262,24.565,0.790013323,242,8.365,24.235,0.78715322,242,8.496 +25.545,0.795142364,244,8.884,25.185,0.79228226,243,9.062,24.885,0.789324451,243,9.184,24.535,0.786464347,243,9.328 +25.045,0.796225019,245,7.903,24.685,0.793267209,245,8.064,24.435,0.790407106,245,8.178,24.235,0.787547989,245,8.307 +22.745,0.794147545,251,4.769,22.385,0.791189736,251,5.01,22.265,0.788330619,250,5.317,22.135,0.785570195,250,5.789 +21.105,0.794241303,251,2.826,20.685,0.791183814,251,2.984,20.615,0.78842339,250,3.275,20.535,0.78556526,250,3.767 +18.695,0.795318036,241,3.034,18.335,0.792360227,241,3.261,18.265,0.78950111,241,3.709,18.235,0.786641994,241,4.401 +16.285,0.796394769,255,3.233,15.985,0.79343696,253,3.528,15.915,0.790577844,251,4.133,15.835,0.787718727,251,5.036 +14.985,0.798559092,265,2.122,14.685,0.795502591,260,2.53,14.935,0.792841846,257,3.413,15.235,0.7901811,257,4.679 +15.245,0.799546015,214,4.452,15.185,0.796686899,220,5.455,15.285,0.793927461,222,6.501,15.335,0.791167037,222,7.584 +16.405,0.801718233,213,4.244,16.585,0.798958796,223,5.228,16.735,0.796298051,226,6.284,16.835,0.793537626,226,7.375 +17.945,0.804187515,211,5.553,18.185,0.80152677,221,6.522,18.235,0.798668641,224,7.478,18.335,0.795908216,224,8.406 +18.045,0.802117937,231,7.397,18.285,0.7993585,235,8.627,18.285,0.796598075,236,9.766,18.335,0.793838638,236,10.845 +17.665,0.802215643,221,8.002,17.785,0.799455218,226,9.082,17.705,0.796596102,228,9.983,17.635,0.793835677,228,10.795 +16.385,0.801816926,206,8.28,16.385,0.799056501,209,9.418,16.365,0.796198372,211,10.358,16.335,0.793339255,211,11.182 +15.145,0.800728349,196,8.607,15.085,0.797869233,198,9.833,15.105,0.795011103,199,10.95,15.135,0.792250679,199,12.015 +14.545,0.800135208,199,8.052,14.485,0.797276092,201,9.23,14.485,0.794416975,203,10.368,14.535,0.791657538,203,11.509 +14.205,0.799739452,194,8.161,14.085,0.796781643,196,9.339,14.065,0.793923513,198,10.447,14.035,0.791163089,198,11.559 +14.665,0.799544041,192,7.705,14.485,0.796586232,193,8.696,14.365,0.793727116,194,9.638,14.235,0.790769307,194,10.587 +15.125,0.799447323,189,7.238,14.885,0.796488527,190,8.054,14.665,0.793432026,191,8.829,14.435,0.790474217,191,9.616 +16.045,0.796492475,190,7.348,15.685,0.793435973,191,7.767,15.385,0.790477177,191,8.109,15.035,0.787519368,191,8.436 +18.045,0.799357513,198,6.584,17.685,0.796399704,198,6.789,17.365,0.793342216,198,6.974,17.035,0.790384407,198,7.197 +20.345,0.795520355,215,9.698,19.985,0.792562546,215,9.922,19.665,0.78960375,215,10.082,19.335,0.786645941,215,10.28 +21.305,0.797297804,215,11.274,20.885,0.794241303,215,11.503,20.615,0.791282507,215,11.68,20.335,0.78842339,215,11.886 +21.905,0.795623982,217,11.354,21.485,0.792665186,217,11.592,21.215,0.789707377,217,11.769,20.935,0.786748581,217,11.985 +22.245,0.794442635,215,12.167,21.885,0.791483839,216,12.422,21.615,0.788623736,216,12.617,21.335,0.785665926,216,12.838 +22.805,0.794542314,222,13.238,22.385,0.791583518,222,13.549,22.115,0.788625709,222,13.781,21.835,0.785765606,222,14.047 +23.105,0.794248211,221,13.495,22.685,0.791289415,221,13.825,22.415,0.788330619,221,14.087,22.135,0.785471503,221,14.364 +22.845,0.793753763,223,13.029,22.485,0.790795954,223,13.361,22.215,0.787837158,223,13.604,21.935,0.784978041,223,13.878 +21.945,0.793948187,225,11.225,21.585,0.790990377,225,11.582,21.355,0.788031582,225,11.907,21.135,0.785172465,225,12.322 +19.915,0.795913151,223,10.193,19.585,0.792955342,223,10.722,19.415,0.789997533,224,11.266,19.235,0.787138416,224,11.906 +17.885,0.797879102,227,9.172,17.585,0.794920306,227,9.863,17.455,0.791962497,228,10.624,17.335,0.78910338,228,11.489 +16.825,0.797086603,218,8.379,16.585,0.794128793,219,9.368,16.485,0.791269677,219,10.368,16.335,0.78841056,219,11.44 +16.165,0.798365655,225,8.706,15.985,0.795506538,225,9.675,15.865,0.792548729,225,10.713,15.735,0.789689613,225,11.866 +15.825,0.797872193,225,8.111,15.585,0.794914384,226,8.934,15.415,0.791956575,226,9.776,15.235,0.789096472,226,10.706 +15.925,0.799251912,224,10.035,15.685,0.796294103,225,10.861,15.415,0.793336294,225,11.502,15.135,0.790377498,225,12.094 +15.685,0.799350604,233,8.617,15.385,0.796391809,234,9.309,15.115,0.793335307,234,9.894,14.835,0.790376511,234,10.488 +15.485,0.79757513,226,8.349,15.185,0.794617321,227,8.924,14.915,0.791559832,228,9.411,14.635,0.788602023,228,9.903 +15.085,0.797869233,225,8.716,14.785,0.794911424,225,9.299,14.485,0.791854922,226,9.786,14.235,0.788896126,226,10.27 +14.685,0.798656797,227,7.873,14.385,0.795698988,228,8.439,14.115,0.7926415,228,8.957,13.835,0.789683691,228,9.507 +14.585,0.798262028,219,6.683,14.285,0.795304219,220,7.145,13.985,0.792246731,220,7.586,13.735,0.789288922,220,8.069 +14.485,0.798951887,216,5.88,14.185,0.795895386,216,6.236,13.915,0.79293659,217,6.56,13.635,0.789880089,217,6.939 +13.935,0.798260054,209,4.561,13.635,0.795203553,209,4.842,13.345,0.792244757,210,5.12,13.035,0.789188256,210,5.442 +13.385,0.797568221,219,3.242,13.085,0.79451172,220,3.449,12.785,0.791454231,220,3.67,12.535,0.788496422,220,3.936 +14.145,0.795401925,213,4.621,13.785,0.792345423,214,4.872,13.515,0.789386627,215,5.13,13.235,0.786428818,215,5.432 +14.545,0.798558105,196,5.652,14.185,0.795500617,197,5.9,13.885,0.792444115,198,6.136,13.535,0.789386627,198,6.394 +15.445,0.795405872,206,7.377,15.085,0.792349371,206,7.669,14.755,0.789390575,206,7.951,14.435,0.786334074,206,8.258 +16.045,0.796197385,231,5.592,15.685,0.793139896,231,5.722,15.385,0.7901811,231,5.83,15.035,0.787124599,231,5.978 +14.545,0.795206514,242,4.769,14.185,0.792149025,242,4.931,13.915,0.789191216,242,5.071,13.635,0.786134715,242,5.234 +15.845,0.794717987,229,7.447,15.485,0.791660498,229,7.708,15.185,0.788702689,229,7.931,14.935,0.785743893,229,8.198 +18.345,0.794429805,243,10.649,17.985,0.791373304,243,10.89,17.665,0.788414508,243,11.058,17.335,0.785456699,243,11.261 +19.445,0.795813472,246,9.678,19.085,0.792755983,246,9.872,18.785,0.789798174,246,10.013,18.535,0.786840365,246,10.181 +20.505,0.795521342,254,8.875,20.085,0.792463854,254,9.052,19.785,0.78960375,254,9.174,19.535,0.786645941,254,9.318 +20.585,0.796605971,259,8.21,20.285,0.793647175,258,8.4,19.955,0.790689366,258,8.543,19.635,0.78773057,258,8.704 +17.795,0.798174192,254,5.751,17.435,0.795116704,254,5.9,17.225,0.792257587,254,5.958,17.035,0.789299778,254,5.988 +15.005,0.799742413,27,3.292,14.585,0.796684925,26,3.39,14.485,0.793727116,25,3.374,14.335,0.790867999,25,3.271 +13.345,0.799637799,49,3.302,12.985,0.796581298,50,3.479,12.765,0.793623489,50,3.689,12.535,0.790664693,50,3.936 +12.985,0.802495929,153,0.307,12.685,0.799438441,147,0.306,12.565,0.796579324,138,0.316,12.435,0.793622502,138,0.377 +12.885,0.800721441,141,1.448,12.585,0.797663953,140,1.453,12.635,0.794904515,136,1.332,12.735,0.792045398,136,1.14 +12.985,0.803087096,149,2.737,12.685,0.800030595,148,2.876,12.735,0.797171478,146,2.881,12.835,0.79441204,146,2.776 +13.185,0.80584752,188,1.438,12.885,0.802791019,189,1.502,12.885,0.799931902,189,1.45,12.935,0.797073773,189,1.269 +13.285,0.802398224,341,0.605,12.985,0.799341722,333,0.642,12.935,0.796482605,334,0.74,12.935,0.793623489,334,0.902 +13.225,0.803580558,21,1.735,12.985,0.800524056,13,1.769,12.865,0.797566247,13,1.874,12.735,0.794608438,13,2.052 +12.905,0.805452751,30,2.489,12.785,0.802494942,25,2.53,12.635,0.799537133,25,2.585,12.535,0.796579324,25,2.677 +12.585,0.804465828,38,2.776,12.585,0.801606711,37,2.856,12.415,0.798648902,37,2.821,12.235,0.795691093,37,2.855 +12.325,0.805056008,21,3.56,12.385,0.802197878,29,3.647,12.185,0.799141377,30,3.541,12.035,0.796183568,30,3.529 +12.345,0.804366149,348,4.71,12.285,0.801508019,354,5.178,12.075,0.798451517,355,5.297,11.835,0.795492721,355,5.254 +12.365,0.803676289,347,5.85,12.185,0.80071848,352,6.71,11.955,0.797760671,354,7.053,11.735,0.79470417,354,6.979 +12.345,0.801704416,1,5.037,11.985,0.798647915,1,5.238,11.685,0.795591414,2,5.426,11.435,0.792534912,2,5.601 +13.045,0.803087096,13,3.728,12.685,0.799931902,13,3.795,12.365,0.796874414,13,3.857,12.035,0.793817913,13,3.936 +14.105,0.800034542,8,3.401,13.685,0.796978041,8,3.429,13.365,0.793920553,8,3.443,13.035,0.790864051,8,3.48 +15.465,0.800926721,5,3.272,14.985,0.79777054,5,3.291,14.665,0.794714039,5,3.305,14.335,0.791656551,5,3.341 +16.405,0.799746361,347,4.204,15.985,0.796689859,346,4.239,15.665,0.793632371,346,4.271,15.335,0.79057587,346,4.322 +17.405,0.796989884,345,3.946,16.985,0.793932396,345,3.983,16.635,0.790974587,345,4.015,16.335,0.787917098,345,4.054 +18.265,0.79748532,335,4.264,17.785,0.794427831,335,4.309,17.455,0.791470022,335,4.341,17.135,0.788412534,335,4.392 +19.005,0.79778337,335,5.057,18.585,0.794726869,335,5.109,18.235,0.791669381,335,5.14,17.835,0.788710585,335,5.204 +19.445,0.797094498,339,5.434,19.085,0.794136689,339,5.495,18.755,0.791177893,339,5.544,18.435,0.788121392,339,5.611 +19.645,0.799264742,339,5.592,19.285,0.796207254,339,5.672,18.985,0.793249445,339,5.722,18.735,0.790290649,339,5.789 +19.285,0.80162941,342,5.156,18.985,0.798670614,342,5.406,18.695,0.795712805,342,5.544,18.435,0.792655317,342,5.651 +18.925,0.803994078,335,4.72,18.685,0.801035283,337,5.139,18.415,0.798077473,338,5.376,18.135,0.795119664,338,5.512 +18.125,0.804977054,340,6.227,18.185,0.80221663,342,7.273,17.955,0.799258821,343,7.882,17.735,0.796301012,343,8.079 +17.485,0.808425364,319,5.999,17.785,0.805764619,329,7.036,17.635,0.80280681,332,7.576,17.535,0.799849001,332,7.703 +16.645,0.8068453,314,5.721,17.185,0.804381939,331,6.68,17.085,0.801425117,337,7.122,17.035,0.798566,337,7.108 +15.945,0.80802566,313,5.335,16.485,0.805464594,338,5.643,16.335,0.802506785,345,5.712,16.235,0.799647668,345,5.68 +15.365,0.808319763,332,4.799,15.785,0.80575771,359,4.991,15.585,0.802799901,6,5.061,15.435,0.799842092,6,5.115 +15.245,0.807628917,23,3.738,15.185,0.8047698,45,4.101,15.015,0.801811991,51,4.479,14.835,0.798854182,51,4.907 +15.125,0.807530225,27,2.578,14.885,0.804572415,47,2.619,14.815,0.801614606,55,3.019,14.735,0.79875549,55,3.638 +14.705,0.807430545,32,3.708,14.585,0.804571429,50,3.795,14.585,0.801712312,54,4.291,14.535,0.798853195,54,5.095 +13.925,0.806441648,35,4.006,13.985,0.803583518,55,4.18,14.015,0.800724402,60,4.765,14.035,0.797964964,60,5.651 +12.965,0.806438687,40,3.391,13.385,0.803876635,65,3.834,13.435,0.801018505,71,4.587,13.535,0.798160375,71,5.532 +12.605,0.805550456,17,2.231,12.935,0.802889711,57,2.678,13.015,0.800031582,64,3.591,13.135,0.797272144,64,4.57 +12.245,0.804563533,326,1.081,12.485,0.801902788,60,1.512,12.585,0.799043671,70,2.585,12.735,0.796284234,70,3.608 +12.205,0.804366149,262,0.892,12.685,0.80170639,106,1.779,12.785,0.798945966,103,2.9,12.835,0.796186528,103,3.826 +14.445,0.801712312,120,1.339,14.085,0.798654824,119,1.443,13.855,0.795598322,118,1.598,13.635,0.792640513,118,1.814 +17.165,0.798862077,117,1.577,16.685,0.795705897,118,1.601,16.315,0.792748088,118,1.638,15.935,0.7896906,118,1.665 +19.625,0.800249692,49,0.892,19.085,0.797093511,51,0.978,18.705,0.794135702,51,1.026,18.335,0.791078214,51,1.061 +21.365,0.799763138,7,0.823,20.885,0.79670565,4,0.88,20.515,0.793746854,3,0.898,20.135,0.790689366,3,0.912 +22.665,0.797795213,343,1.22,22.185,0.794737725,338,1.324,21.835,0.791778929,337,1.381,21.535,0.78882112,337,1.418 +23.705,0.79691093,348,1.884,23.285,0.793952134,345,2.046,22.955,0.790994325,345,2.141,22.635,0.788035529,345,2.211 +24.045,0.795729583,357,4.71,23.685,0.792770787,356,4.743,23.365,0.789910683,356,4.755,23.035,0.786952874,356,4.788 +24.145,0.794743647,12,6.306,23.785,0.791883543,11,6.364,23.455,0.788925734,11,6.392,23.135,0.785966938,11,6.453 +23.945,0.797010609,20,6.247,23.585,0.794051813,20,6.335,23.285,0.791192697,20,6.373,23.035,0.788233901,20,6.444 +23.555,0.798685418,21,4.819,23.285,0.795825315,19,5.06,22.995,0.792867506,18,5.228,22.735,0.78990871,18,5.363 +23.165,0.800360227,14,3.391,22.985,0.797500123,12,3.795,22.715,0.794542314,11,4.084,22.435,0.791682211,11,4.273 +22.785,0.804301999,16,3.877,22.785,0.801442882,11,4.496,22.535,0.798583765,10,4.903,22.335,0.795624969,10,5.115 +22.585,0.806765359,25,2.3,22.585,0.804004935,14,3.034,22.355,0.801047126,12,3.541,22.135,0.798188009,12,3.777 +22.205,0.805088576,219,0.892,22.385,0.802427831,320,0.781,22.185,0.799567728,340,1.243,22.035,0.796609919,340,1.487 +20.645,0.805477424,218,4.056,22.085,0.803510486,218,2.846,21.935,0.800651369,221,2.072,21.835,0.797792253,221,1.656 +18.865,0.804486553,230,6.138,21.985,0.803510486,234,5.583,21.835,0.800651369,234,4.893,21.735,0.797792253,234,4.332 +18.085,0.804188502,228,6.574,21.685,0.803509499,244,6.582,21.535,0.800650382,244,6.244,21.335,0.797791266,244,5.809 +17.465,0.803200592,230,6.534,21.185,0.802621268,264,6.572,21.135,0.799762151,266,6.126,21.035,0.797001727,266,5.522 +17.545,0.803890452,253,6.763,20.185,0.802716013,291,6.809,20.435,0.800055268,301,6.264,20.735,0.797394523,301,5.274 +17.485,0.803890452,272,7.209,19.885,0.802518628,307,7.382,20.235,0.799956575,316,6.955,20.535,0.797394523,316,6.017 +16.925,0.804578337,286,7.119,18.785,0.802908463,317,7.688,19.265,0.800347397,325,7.714,19.735,0.797885023,325,7.296 +16.895,0.804283247,303,7.11,18.185,0.80221663,321,7.767,18.505,0.799654577,326,7.981,18.835,0.796994819,326,7.891 +16.865,0.80398717,302,7.09,17.585,0.801623489,320,7.856,17.755,0.798864051,325,8.237,17.935,0.796203306,325,8.496 +15.765,0.801518875,295,6.594,16.185,0.798859117,309,7.926,16.415,0.796198372,315,8.622,16.635,0.793537626,315,8.763 +16.345,0.799253886,304,6.029,15.985,0.796196398,305,6.532,15.985,0.793338268,306,7.004,16.035,0.790577844,306,7.455 +17.745,0.797878115,298,4.343,17.385,0.794820627,298,4.516,17.065,0.791862818,298,4.656,16.735,0.788806316,298,4.798 +19.545,0.797884037,309,3.332,19.185,0.794925241,309,3.4,18.865,0.791868739,309,3.453,18.535,0.788909943,309,3.519 +21.045,0.797790279,320,3.56,20.685,0.794831483,320,3.597,20.335,0.791872687,319,3.62,20.035,0.788914878,319,3.658 +22.005,0.796413521,351,3.996,21.585,0.793356033,351,4.022,21.255,0.790495929,350,4.045,20.935,0.78753812,350,4.064 +22.745,0.795922033,10,4.531,22.385,0.792964224,10,4.566,22.065,0.79010412,10,4.577,21.735,0.787146311,10,4.61 +23.345,0.796417469,12,5.285,22.985,0.793458673,12,5.346,22.665,0.790500864,12,5.376,22.335,0.787542068,12,5.423 +23.745,0.795333827,12,4.343,23.385,0.792376018,12,4.388,23.085,0.789515914,12,4.419,22.835,0.786558105,12,4.471 +23.945,0.79819393,9,4.859,23.585,0.795235134,8,4.921,23.285,0.792276339,8,4.972,23.035,0.789417222,8,5.026 +23.385,0.799867752,9,3.441,23.085,0.796908956,9,3.558,22.785,0.793951147,9,3.65,22.535,0.790993338,9,3.727 +22.825,0.801541574,350,2.033,22.585,0.798583765,356,2.204,22.285,0.795624969,358,2.328,22.035,0.79266716,358,2.419 +22.565,0.80538564,350,2.429,22.385,0.802526524,356,2.579,22.115,0.799567728,358,2.654,21.835,0.796609919,358,2.716 +22.305,0.808046385,311,2.538,22.185,0.805187269,324,2.56,21.935,0.802228473,327,2.506,21.735,0.799270664,327,2.458 +21.745,0.807945719,286,3.55,21.985,0.805284974,304,3.419,21.765,0.802327165,306,3.216,21.535,0.799467061,306,3.033 +20.665,0.809814952,283,4.383,21.385,0.807452258,313,4.299,21.205,0.804592154,317,4.123,21.035,0.801634345,317,3.955 +19.945,0.808728349,315,4.145,20.185,0.806067604,345,4.437,20.035,0.803208488,352,4.617,19.835,0.800250679,352,4.6 +18.625,0.809611646,21,5.146,18.385,0.806555144,31,5.415,18.315,0.803696028,35,5.692,18.235,0.800836911,35,5.928 +17.185,0.808523069,41,4.353,17.185,0.805663953,58,4.921,17.385,0.803003208,64,5.722,17.535,0.80024377,64,6.642 +16.745,0.807535159,65,3.322,16.985,0.804874414,83,4.348,17.285,0.802213669,86,5.564,17.535,0.799552924,86,6.771 +16.225,0.808420429,60,2.935,16.585,0.805760671,85,3.992,16.885,0.803099926,90,5.218,17.235,0.800439181,90,6.424 +15.165,0.807826302,35,2.836,15.585,0.805264249,74,3.666,15.955,0.802604491,83,4.775,16.335,0.800042438,83,5.908 +15.335,0.80703775,39,3.5,15.635,0.804377005,61,4.2,15.975,0.801717246,68,4.962,16.335,0.799155194,68,5.68 +15.505,0.806348877,55,4.155,15.685,0.803588453,73,4.734,15.985,0.800928695,79,5.149,16.335,0.79826795,79,5.462 +15.025,0.80506489,77,1.468,15.085,0.80220676,99,2.243,15.605,0.799744387,106,3.068,16.135,0.797183321,106,3.807 +17.465,0.802115963,229,1.19,16.985,0.798959783,223,1.295,17.065,0.796200345,221,1.421,17.135,0.793439921,221,1.606 +20.805,0.804,274,0.664,20.385,0.800942512,265,0.731,20.035,0.79788601,261,0.799,19.735,0.794927214,261,0.882 +22.765,0.804203306,334,0.595,22.285,0.801145818,324,0.623,21.955,0.79808833,321,0.621,21.635,0.795130521,321,0.634 +23.345,0.803021959,351,2.796,22.985,0.799964471,349,2.807,22.685,0.797105354,349,2.802,22.435,0.794146558,349,2.805 +23.705,0.800262522,12,4.363,23.285,0.79720602,12,4.378,22.955,0.794345917,12,4.37,22.635,0.791387121,12,4.382 +24.405,0.800856649,28,3.639,23.985,0.797897853,28,3.656,23.665,0.794939057,28,3.64,23.335,0.791981248,28,3.628 +24.545,0.799773008,29,3.897,24.185,0.796814212,29,3.923,23.885,0.793954108,29,3.916,23.535,0.790996299,29,3.936 +24.245,0.799179867,34,4.66,23.885,0.796222058,34,4.724,23.615,0.793263262,34,4.775,23.335,0.790404145,34,4.838 +23.485,0.801051073,46,3.361,23.185,0.79819097,46,3.498,23.015,0.795331853,46,3.689,22.835,0.792472736,46,3.965 +22.785,0.803019985,59,2.439,22.485,0.800062176,59,2.54,22.495,0.797301752,59,2.821,22.535,0.794541327,59,3.39 +22.085,0.804891192,111,1.527,21.785,0.801932396,108,1.581,21.985,0.799271651,103,1.963,22.235,0.796610906,103,2.815 +22.525,0.806272884,197,1.408,22.285,0.803314088,199,1.433,22.315,0.800554651,196,1.322,22.335,0.797794226,196,1.309 +22.505,0.810018258,241,4.522,22.685,0.807258821,248,4.605,22.585,0.804399704,249,4.281,22.535,0.80163928,249,3.529 +21.065,0.809028374,245,7.318,22.685,0.807160128,265,7.995,22.585,0.804399704,267,7.991,22.535,0.801540587,267,7.792 +20.065,0.810010363,247,8.19,21.985,0.808340489,268,9.309,22.035,0.805581051,274,9.825,22.035,0.802820627,274,9.893 +19.225,0.809317543,254,7.923,21.085,0.807548976,274,9.398,21.285,0.804888231,280,10.23,21.535,0.802227486,280,10.32 +18.865,0.807542068,249,7.943,20.785,0.805872193,275,8.993,20.985,0.803211448,282,9.598,21.235,0.800550703,282,9.804 +18.725,0.809217863,252,7.149,20.285,0.807349618,277,8.005,20.455,0.80459018,284,8.543,20.635,0.801929435,284,8.783 +18.345,0.809216876,251,6.247,19.485,0.807051567,279,6.898,19.735,0.804390822,287,7.261,20.035,0.801730076,287,7.326 +17.625,0.807636812,233,5.245,18.885,0.805571182,269,5.307,19.135,0.802910437,280,5.327,19.435,0.800249692,280,5.145 +16.845,0.809605724,213,4.76,18.585,0.807837158,248,4.062,18.755,0.80507772,261,3.591,18.935,0.802416975,261,3.202 +17.995,0.809313595,233,3.609,19.135,0.807149272,254,3.123,19.175,0.804388848,261,2.841,19.235,0.801530718,261,2.617 +19.145,0.809021466,224,2.459,19.685,0.8064604,261,2.184,19.585,0.803601283,271,2.081,19.535,0.800742166,271,2.032 +17.985,0.806159388,203,4.095,18.885,0.803895386,206,3.963,18.885,0.801036269,206,3.62,18.935,0.798275845,206,3.271 +18.705,0.803993092,214,3.292,18.585,0.801133975,213,4.012,18.685,0.798374537,212,4.508,18.835,0.795614113,212,4.649 +21.185,0.802325191,214,3.094,20.885,0.79926869,215,3.162,20.565,0.796309894,215,3.206,20.235,0.793351098,215,3.261 +22.805,0.804991858,273,1.785,22.385,0.80193437,272,1.828,22.065,0.798976561,271,1.864,21.735,0.796017765,271,1.923 +23.545,0.801248458,332,1.626,23.185,0.798289662,329,1.631,22.865,0.795330866,329,1.628,22.535,0.792373057,329,1.636 +24.105,0.80075697,8,2.31,23.685,0.797699482,6,2.293,23.365,0.794741673,6,2.289,23.035,0.791881569,6,2.28 +24.705,0.800561559,32,2.201,24.285,0.797602763,32,2.184,23.985,0.794644954,32,2.16,23.735,0.791784851,32,2.141 +25.045,0.799084135,50,3.312,24.685,0.796125339,50,3.32,24.365,0.79316753,50,3.305,24.035,0.790208734,50,3.311 +25.245,0.798789045,51,4.056,24.885,0.795928942,51,4.071,24.565,0.792971132,51,4.084,24.235,0.790012337,51,4.094 +25.245,0.80085961,55,5.047,24.885,0.797999507,55,5.089,24.585,0.795040711,55,5.12,24.335,0.792082902,55,5.155 +25.335,0.802535406,251,6.049,25.185,0.799676289,252,6.503,24.955,0.796816186,252,6.846,24.735,0.793957069,252,7.118 +25.425,0.804112509,249,7.04,25.485,0.801353072,253,7.916,25.335,0.79859166,254,8.563,25.235,0.795732544,254,9.071 +23.425,0.807950654,238,7.308,23.785,0.805389588,245,8.519,23.765,0.802629164,248,9.529,23.735,0.799868739,248,10.359 +21.205,0.809324451,237,9.162,21.685,0.806762398,243,10.93,21.885,0.804101653,246,12.548,22.035,0.801440908,246,13.997 +19.865,0.806066617,242,9.846,20.285,0.803505551,248,11.78,20.665,0.800943499,250,13.623,21.035,0.798381446,250,15.336 +19.045,0.807543055,240,8.647,19.585,0.804981989,247,10.495,20.085,0.802518628,249,12.301,20.535,0.800055268,249,14.027 +18.445,0.806752529,252,8.309,18.985,0.804290155,258,10.149,19.585,0.801826795,260,11.907,20.235,0.799364421,260,13.571 +18.145,0.806159388,243,7.605,18.985,0.803895386,255,9.329,19.515,0.80133432,259,10.723,20.035,0.79887096,259,11.747 +17.925,0.806849247,235,7.258,19.185,0.804783617,254,8.864,19.615,0.802221564,260,9.944,20.035,0.799659511,260,10.359 +17.585,0.807045645,234,7.169,19.385,0.805277079,258,8.627,19.735,0.802715026,265,9.589,20.035,0.800152973,265,9.883 +17.265,0.805861337,231,6.941,19.185,0.804191463,257,7.906,19.565,0.801630397,265,8.563,19.935,0.799068344,265,8.852 +16.945,0.808028621,212,6.039,18.985,0.806359734,248,6.246,19.365,0.803797681,258,6.422,19.735,0.801236615,258,6.563 +17.935,0.807835184,185,4.908,19.435,0.805966938,206,4.862,19.575,0.803207501,212,4.903,19.735,0.800448063,212,4.947 +18.925,0.80764076,191,3.788,19.885,0.80547545,214,3.469,19.785,0.802616334,220,3.374,19.735,0.799658525,220,3.331 +18.205,0.805371823,186,4.353,19.885,0.803503578,197,3.943,19.785,0.800644461,201,3.65,19.735,0.797786331,201,3.48 +19.125,0.802318283,191,3.986,20.085,0.800054281,195,4.496,19.955,0.797195164,196,4.281,19.835,0.794336047,196,4.035 +22.085,0.801638293,215,3.768,21.785,0.798679497,215,3.864,21.455,0.795721688,216,3.946,21.135,0.792762892,216,4.064 +24.005,0.800164816,225,4.67,23.585,0.797207007,225,4.783,23.255,0.794248211,225,4.863,22.935,0.791289415,225,4.967 +25.305,0.798000493,231,6.148,24.885,0.795041698,232,6.275,24.565,0.792083888,232,6.363,24.235,0.789223785,232,6.473 +26.105,0.797509993,232,8.607,25.685,0.794551197,232,8.785,25.365,0.791593388,233,8.898,25.035,0.788733284,233,9.021 +26.305,0.796031582,231,9.579,25.885,0.793072786,231,9.783,25.585,0.790114977,231,9.904,25.335,0.78725586,231,10.042 +26.405,0.796722428,236,10.273,25.985,0.793763632,236,10.495,25.665,0.790804836,236,10.634,25.335,0.787945719,236,10.795 +26.145,0.795735505,239,10.461,25.785,0.792875401,239,10.703,25.455,0.789917592,239,10.851,25.135,0.787057488,239,11.014 +25.645,0.797902788,244,10.104,25.285,0.795042684,244,10.347,24.985,0.792084875,244,10.496,24.735,0.789224772,244,10.667 +24.755,0.7998717,240,9.47,24.635,0.797012583,240,10.149,24.395,0.794153467,241,10.664,24.135,0.791293363,241,11.093 +23.865,0.80174192,237,8.835,23.985,0.798982482,239,9.951,23.815,0.796123365,239,10.822,23.635,0.793263262,239,11.519 +22.125,0.802820627,231,8.2,22.485,0.800258574,236,9.615,22.415,0.797499136,237,10.822,22.335,0.79464002,237,11.836 +20.605,0.803801628,229,8.557,21.085,0.801240563,235,10.159,21.185,0.79857883,236,11.581,21.335,0.795819393,236,12.867 +19.305,0.801728103,232,9.479,19.785,0.79916605,237,11.335,20.115,0.796603997,239,13.091,20.435,0.794041944,239,14.731 +18.605,0.80349963,235,8.498,19.085,0.801037256,241,10.248,19.455,0.798475204,243,11.907,19.835,0.795913151,243,13.452 +18.045,0.80221663,235,8.121,18.585,0.799754256,242,9.823,19.005,0.797192203,244,11.423,19.435,0.794630151,244,12.927 +17.465,0.802017271,236,7.258,18.185,0.79965359,246,8.805,18.635,0.797092524,249,10.358,19.035,0.794629164,249,11.876 +16.625,0.801817913,217,7.526,17.585,0.799651616,232,8.963,18.165,0.797189243,238,10.25,18.735,0.794726869,238,11.499 +15.945,0.801814952,208,7.714,17.385,0.799849001,223,9.161,17.935,0.79738564,229,10.348,18.535,0.794923267,229,11.44 +15.245,0.800827042,205,8.369,16.685,0.798860104,217,9.971,17.265,0.79639773,222,11.246,17.835,0.794034049,222,12.401 +14.505,0.801317543,200,8.865,15.885,0.799350604,210,10.495,16.455,0.796888231,215,11.67,17.035,0.794425857,215,12.62 +15.205,0.800925734,196,7.893,16.135,0.798661732,203,9.438,16.535,0.796099679,206,10.555,16.935,0.793538613,206,11.39 +15.905,0.800533925,199,6.921,16.385,0.797971873,203,8.38,16.615,0.795311128,205,9.441,16.835,0.792650382,205,10.171 +16.085,0.797281026,185,7.843,16.085,0.794521589,189,9.181,16.415,0.791860844,191,10.457,16.735,0.789298791,191,11.668 +19.385,0.798967678,204,9.817,19.085,0.796009869,204,10.159,18.815,0.793051073,205,10.476,18.535,0.790093264,205,10.855 +21.545,0.796510239,225,11.334,21.185,0.793551443,225,11.71,20.885,0.790593634,225,12.015,20.535,0.787733531,225,12.342 +22.585,0.797400444,233,11.75,22.285,0.794442635,233,12.066,21.955,0.791483839,233,12.301,21.635,0.78852603,233,12.56 +23.245,0.796022699,235,12.117,22.885,0.793063903,235,12.432,22.585,0.790106094,235,12.666,22.335,0.787245991,235,12.927 +23.645,0.796319763,233,13.158,23.285,0.793360967,233,13.489,22.955,0.790402171,233,13.732,22.635,0.787543055,233,13.988 +23.745,0.794643967,236,13.505,23.385,0.791685171,236,13.855,23.065,0.788727362,236,14.107,22.735,0.785867259,236,14.374 +23.745,0.796023686,242,12.553,23.385,0.793065877,242,12.877,23.085,0.790107081,242,13.11,22.835,0.787247964,242,13.363 +23.645,0.795333827,244,11.482,23.285,0.792375031,244,11.79,22.985,0.789417222,244,11.996,22.735,0.786557118,244,12.233 +23.285,0.796811251,243,10.302,22.985,0.793951147,243,10.653,22.685,0.790993338,244,10.901,22.435,0.788035529,244,11.152 +22.715,0.798682457,235,9.212,22.535,0.795823341,236,9.912,22.285,0.792865532,236,10.457,22.035,0.790005428,236,10.924 +22.145,0.800454972,230,8.111,22.085,0.797694547,232,9.161,21.885,0.794736738,233,10.003,21.735,0.791877622,233,10.696 +20.305,0.803308167,219,7.962,20.485,0.800647422,223,9.428,20.435,0.797886997,224,10.644,20.435,0.795027881,224,11.628 +18.785,0.804387861,228,8.409,19.085,0.801727116,232,10.08,19.185,0.798966691,234,11.601,19.335,0.796207254,234,12.957 +17.565,0.802313348,246,8.994,17.985,0.799752282,250,11.009,18.315,0.797190229,252,12.913,18.635,0.794529484,252,14.642 +16.905,0.803593388,247,8.409,17.385,0.801031335,254,10.218,17.835,0.798568961,256,11.996,18.335,0.796006908,256,13.73 +16.565,0.802802862,267,7.764,16.985,0.800241796,272,9.2,17.415,0.797679743,274,10.605,17.835,0.79521737,274,12.005 +15.825,0.803095978,292,8.081,16.185,0.80043622,297,9.527,16.365,0.797775475,299,10.851,16.535,0.795016038,299,12.104 +13.665,0.802103133,328,7.784,13.785,0.799343696,335,8.775,13.665,0.796385887,338,9.411,13.535,0.793429065,338,9.854 +12.705,0.803578584,353,5.89,12.885,0.800819146,8,6.562,12.765,0.797862324,13,7.053,12.635,0.794904515,13,7.494 +12.045,0.803084135,340,5.821,12.285,0.800324698,359,6.305,12.185,0.797366889,5,6.629,12.035,0.794507772,5,6.909 +11.425,0.804855662,343,5.88,11.785,0.802195904,4,6.255,11.635,0.799238095,10,6.461,11.535,0.796280286,10,6.642 +11.085,0.804066124,346,5.711,11.385,0.801406366,353,6.404,11.205,0.798349864,355,6.767,11.035,0.795392055,355,6.959 +10.745,0.803276585,7,5.553,10.985,0.800517148,16,6.552,10.785,0.797460646,20,7.073,10.535,0.794502837,20,7.276 +10.545,0.803079201,31,4.541,10.485,0.800220084,40,5.485,10.335,0.797163583,43,6.205,10.235,0.794205774,43,6.771 +11.045,0.803376264,63,5.007,10.685,0.800221071,64,5.159,10.385,0.797163583,64,5.278,10.035,0.794107081,64,5.423 +11.845,0.797957069,60,3.004,11.485,0.794900567,61,3.034,11.165,0.791745374,61,3.068,10.835,0.788687886,61,3.103 +13.045,0.799341722,67,3.471,12.685,0.796284234,68,3.508,12.365,0.793227733,68,3.532,12.035,0.790170244,68,3.569 +14.405,0.798754503,67,3.52,13.985,0.795598322,68,3.548,13.665,0.792640513,68,3.561,13.335,0.789583025,68,3.598 +15.845,0.798759437,79,3.282,15.485,0.795701949,79,3.32,15.165,0.792645448,80,3.334,14.835,0.789686652,80,3.37 +17.745,0.79768073,51,1.398,17.385,0.794624229,51,1.374,17.065,0.791665433,52,1.351,16.735,0.788707624,52,1.338 +18.585,0.797979768,22,2.162,18.285,0.795020972,22,2.144,17.955,0.791964471,22,2.131,17.635,0.789005675,22,2.112 +19.045,0.796896126,14,3.808,18.685,0.793839625,14,3.824,18.365,0.790880829,14,3.828,18.035,0.78792302,14,3.846 +19.085,0.798375524,31,4.74,18.785,0.795319023,31,4.803,18.485,0.792360227,31,4.844,18.235,0.789402418,31,4.897 +19.195,0.801333333,30,4.403,18.985,0.79847323,30,4.704,18.705,0.795515421,31,4.913,18.435,0.792557612,31,5.076 +19.305,0.804388848,35,4.056,19.185,0.801529731,35,4.605,18.935,0.798571922,35,4.992,18.735,0.795614113,35,5.254 +19.185,0.806754503,72,3.173,19.185,0.803896373,61,3.686,18.955,0.800937577,59,4.064,18.735,0.797979768,59,4.322 +19.065,0.810106094,100,2.4,19.185,0.807346657,72,2.925,18.955,0.804387861,68,3.364,18.735,0.801430052,68,3.628 +18.865,0.8102038,279,1.041,18.985,0.807444362,5,1.522,18.785,0.804486553,14,1.993,18.535,0.801527757,14,2.191 +18.465,0.810497903,298,1.011,18.585,0.807738465,20,1.749,18.435,0.804780656,27,2.377,18.335,0.80192154,27,2.716 +17.745,0.809214903,338,2.281,17.985,0.806455465,5,3.034,17.915,0.803596348,11,3.581,17.835,0.800737232,11,3.916 +16.825,0.809704416,3,1.666,16.885,0.806943992,14,2.698,16.935,0.804085862,18,3.472,17.035,0.801326425,18,3.866 +16.225,0.808224032,166,0.645,16.285,0.805463607,34,1.413,16.385,0.802605477,35,2.21,16.535,0.79984604,35,2.805 +15.925,0.809110289,152,0.773,15.985,0.806251172,39,1.601,16.085,0.803491735,44,2.417,16.235,0.800732297,44,3.113 +15.785,0.809207994,290,1.041,15.785,0.806348877,15,1.216,15.955,0.803688132,38,1.973,16.135,0.800928695,38,2.696 +15.625,0.809799161,256,1.19,15.685,0.806940044,325,0.316,15.935,0.804279299,65,1.056,16.235,0.801619541,65,2.201 +15.325,0.808417469,301,2.866,15.535,0.805756723,316,2.451,15.685,0.802997286,320,2.654,15.835,0.800237849,320,3.024 +15.025,0.807135455,344,4.551,15.385,0.80447471,353,4.585,15.435,0.80161658,354,4.252,15.535,0.798857143,354,3.846 +13.785,0.80496225,301,1.051,14.085,0.8022038,353,1.304,14.205,0.799443375,348,1.43,14.335,0.796683938,348,1.398 +14.365,0.803190723,223,1.061,14.185,0.800231927,219,0.949,14.235,0.797373797,220,0.927,14.335,0.794515667,220,1.081 +16.945,0.80112904,218,1.269,16.585,0.798071552,216,1.364,16.285,0.795015051,216,1.49,16.035,0.792056255,216,1.626 +19.545,0.802320257,189,2.211,19.185,0.799361461,190,2.293,18.885,0.796304959,190,2.377,18.535,0.793346163,190,2.488 +22.005,0.799370343,225,2.657,21.585,0.796312855,224,2.747,21.285,0.793355046,224,2.831,21.035,0.790397237,224,2.924 +23.645,0.798586726,217,3.49,23.285,0.79562793,217,3.597,22.955,0.792768813,217,3.68,22.635,0.789810017,217,3.777 +24.905,0.798098199,221,5.126,24.485,0.795139403,221,5.247,24.165,0.792180607,221,5.337,23.835,0.789222798,221,5.432 +25.445,0.798000493,231,5.989,25.085,0.795042684,231,6.107,24.755,0.792083888,231,6.195,24.435,0.789223785,231,6.295 +25.505,0.797310634,234,6.554,25.085,0.794352825,234,6.69,24.785,0.791394029,234,6.777,24.535,0.788534912,234,6.87 +25.285,0.799183814,236,6.257,24.985,0.796225019,236,6.394,24.665,0.793364915,236,6.471,24.335,0.790407106,236,6.563 +24.735,0.800857636,222,5.612,24.585,0.798097212,222,5.999,24.325,0.795138416,222,6.284,24.035,0.792279299,222,6.533 +24.185,0.802630151,225,4.968,24.185,0.799869726,225,5.593,23.985,0.797010609,225,6.096,23.835,0.794151493,225,6.503 +22.445,0.806173205,217,5.711,22.985,0.803612139,223,6.73,22.935,0.800851715,225,7.438,22.935,0.79809129,225,7.861 +20.785,0.806464347,236,7.05,22.285,0.804497409,247,8.103,22.285,0.801736985,250,8.543,22.335,0.798977547,250,8.684 +19.585,0.806854182,253,8.111,21.985,0.805482359,276,9.102,21.965,0.802721934,279,9.243,21.935,0.79996151,279,9.071 +19.325,0.807642734,259,6.653,21.185,0.805874167,290,7.619,21.265,0.803113743,298,8.089,21.335,0.800452998,298,7.802 +18.785,0.805866272,251,6.604,20.885,0.804296077,289,7.095,20.955,0.801535653,296,7.261,21.035,0.798776215,296,7.118 +18.545,0.806555144,247,5.87,20.285,0.804786578,288,6.305,20.435,0.802125833,297,6.619,20.535,0.799365408,297,6.572 +17.705,0.806749568,218,4.879,19.685,0.805080681,264,4.368,19.915,0.802419936,279,4.459,20.135,0.799759191,279,4.62 +16.525,0.805858377,203,5.84,19.585,0.804883296,217,4.724,19.635,0.802122872,226,3.729,19.735,0.799264742,226,3.113 +15.565,0.805066864,204,7.804,19.285,0.80448754,194,7.896,19.335,0.801728103,196,7.152,19.435,0.798967678,196,6.325 +14.605,0.805951147,211,7.149,17.185,0.804776709,191,9.032,17.815,0.802314335,187,9.579,18.435,0.799851962,187,8.743 +15.865,0.805561313,219,5.791,17.635,0.803792746,205,6.937,17.985,0.801230693,202,7.438,18.335,0.798668641,202,7.128 +17.125,0.805170491,230,4.442,18.085,0.802906489,209,4.852,18.165,0.800147052,204,5.288,18.235,0.797386627,204,5.512 +16.325,0.804675056,217,3.966,17.285,0.802411054,198,4.457,17.485,0.799750308,191,5.08,17.735,0.796990871,191,5.284 +16.305,0.80043622,225,3.302,16.785,0.797875154,208,4.062,17.165,0.795313101,202,4.834,17.535,0.792751049,202,5.72 +19.345,0.799165063,245,2.062,18.985,0.796206267,241,2.233,18.785,0.793248458,240,2.446,18.535,0.790389341,240,2.716 +21.945,0.800257587,257,1.636,21.585,0.797298791,254,1.69,21.255,0.794340982,253,1.746,20.935,0.791382186,253,1.814 +23.245,0.797205033,287,1.567,22.885,0.794247224,283,1.601,22.565,0.791288428,282,1.628,22.235,0.788330619,282,1.665 +23.905,0.796517148,356,1.894,23.485,0.793559339,354,1.868,23.185,0.790699235,353,1.825,22.935,0.787741426,353,1.804 +24.245,0.795434493,356,3.778,23.885,0.792475697,355,3.795,23.565,0.789517888,355,3.788,23.235,0.786657784,355,3.797 +24.445,0.795238095,12,5.265,24.085,0.792279299,12,5.297,23.755,0.789419196,12,5.307,23.435,0.786461387,12,5.333 +24.345,0.795138416,16,5.83,23.985,0.792180607,16,5.88,23.665,0.789221811,16,5.919,23.335,0.786361707,16,5.958 +24.085,0.797405379,12,6.445,23.785,0.794545275,12,6.542,23.455,0.791587466,12,6.6,23.135,0.78862867,12,6.681 +23.465,0.800458919,359,7.139,23.285,0.797599803,358,7.758,23.035,0.794641994,358,8.286,22.835,0.791782877,358,8.773 +22.845,0.803414755,352,7.824,22.785,0.80065433,352,8.983,22.615,0.797696521,352,9.973,22.435,0.794837404,352,10.865 +21.865,0.803115717,350,6.792,21.985,0.800454972,353,8.133,21.965,0.797694547,353,9.362,21.935,0.794835431,353,10.488 +21.525,0.806762398,344,6.187,21.885,0.804200345,353,7.441,21.885,0.801439921,355,8.582,21.935,0.798581791,355,9.566 +21.185,0.806071552,327,6.138,21.785,0.803608191,347,7.283,21.785,0.800848754,352,8.277,21.835,0.79808833,352,8.981 +20.545,0.807745374,330,5.493,20.785,0.805083642,354,6.127,20.815,0.802225512,0,6.896,20.835,0.799465088,0,7.712 +19.285,0.805374784,353,4.988,19.285,0.802516654,13,5.356,19.435,0.799854922,18,6.018,19.535,0.797095485,18,6.929 +18.305,0.806555144,54,4.303,18.185,0.803597335,63,5.159,18.485,0.80093659,65,6.373,18.835,0.798374537,65,7.831 +17.945,0.80625808,89,6.624,17.885,0.803300271,89,7.392,18.065,0.800639526,88,8.227,18.235,0.797978781,88,9.16 +17.625,0.80566494,101,7.01,17.985,0.803005181,99,8.242,17.985,0.800146065,98,9.332,18.035,0.797386627,98,10.25 +16.905,0.806352825,122,5.414,17.685,0.803990131,112,7.145,17.785,0.801229706,108,8.573,17.835,0.798470269,108,9.536 +16.325,0.807534172,86,2.34,16.985,0.805071799,100,4.022,17.235,0.802411054,97,5.583,17.535,0.799750308,97,6.89 +16.095,0.807237108,39,3.411,16.485,0.80457735,48,4.348,16.495,0.801816926,50,5.189,16.535,0.798958796,50,5.918 +15.865,0.806941031,24,4.482,15.985,0.804181594,40,4.674,15.765,0.801125093,42,4.804,15.535,0.798166297,42,4.937 +15.505,0.804968172,59,3.689,15.685,0.802208734,72,4.2,15.485,0.799250925,74,4.587,15.335,0.796293116,74,4.897 +14.905,0.801220824,70,2.459,14.785,0.798263015,80,3.528,14.705,0.795403898,83,4.577,14.635,0.792544782,83,5.294 +16.145,0.799746361,85,5.236,15.785,0.796688872,85,5.327,15.455,0.793632371,85,5.416,15.135,0.790673575,85,5.522 +17.345,0.801426104,77,4.442,16.985,0.798368616,77,4.506,16.665,0.795312114,78,4.548,16.335,0.792353319,78,4.62 +18.705,0.798177153,64,3.213,18.285,0.795119664,64,3.241,17.955,0.792161855,65,3.275,17.635,0.789203059,65,3.301 +19.805,0.800151986,56,3.342,19.385,0.797094498,57,3.36,19.065,0.794037997,57,3.374,18.735,0.791079201,57,3.41 +20.705,0.799760178,52,3.133,20.285,0.796703676,53,3.162,19.955,0.79374488,53,3.167,19.635,0.790787071,53,3.182 +21.345,0.798086356,27,3.163,20.985,0.795128547,28,3.182,20.665,0.792169751,28,3.186,20.335,0.789211942,28,3.202 +21.645,0.797496176,30,3.609,21.285,0.794439674,31,3.647,20.955,0.791579571,31,3.65,20.635,0.788620775,31,3.678 +21.745,0.799369356,22,4.898,21.385,0.796411547,22,4.941,21.065,0.793452751,22,4.972,20.735,0.790493955,22,5.026 +22.065,0.8015396,19,4.67,21.885,0.798581791,19,5.06,21.615,0.795721688,20,5.327,21.335,0.792763879,20,5.502 +22.385,0.803610165,33,4.442,22.385,0.800850728,32,5.178,22.165,0.797891932,31,5.672,21.935,0.795032815,31,5.978 +22.265,0.806469282,46,4.829,22.385,0.803708858,43,5.465,22.165,0.800751049,42,5.84,21.935,0.797891932,42,6.037 +22.265,0.809426104,23,3.302,22.385,0.806666667,28,3.854,22.165,0.803806563,30,4.143,21.935,0.800848754,30,4.302 +21.865,0.808735258,356,3.213,21.985,0.805974833,13,3.676,21.815,0.803115717,17,3.936,21.635,0.800157908,17,4.104 +20.945,0.811491735,322,2.876,21.185,0.808830989,355,3.202,21.115,0.805971873,2,3.463,21.035,0.803113743,2,3.608 +19.885,0.809615593,313,3.758,20.185,0.806954848,340,3.903,20.205,0.804195411,349,4.015,20.235,0.801336294,349,4.005 +19.025,0.811189736,323,3.421,19.085,0.808331606,352,3.301,19.235,0.805572169,6,3.354,19.335,0.802910437,6,3.45 +18.405,0.809906736,308,2.796,18.585,0.807147298,338,1.947,18.885,0.804486553,6,1.736,19.235,0.8019245,6,2.25 +17.925,0.809017518,315,2.895,18.285,0.806456452,347,2.036,18.665,0.803795707,17,1.963,19.035,0.801233654,17,2.746 +16.965,0.808620775,346,2.836,17.085,0.80586035,17,2.56,17.665,0.803397977,36,2.881,18.235,0.800935603,36,3.678 +15.185,0.807924994,349,2.469,15.485,0.805264249,18,2.174,16.465,0.803000247,39,2.565,17.435,0.800834937,39,3.44 +14.235,0.807231187,336,2.766,14.835,0.804768813,7,2.54,15.665,0.802406119,23,2.841,16.535,0.800042438,23,3.549 +13.285,0.806538367,342,3.064,14.185,0.804175672,20,2.905,14.865,0.801811991,33,3.127,15.535,0.799349618,33,3.668 +12.265,0.803182828,331,2.043,13.285,0.800919812,46,2.401,14.085,0.798556131,57,3.117,14.935,0.796292129,57,3.995 +12.645,0.801311621,289,2.082,12.885,0.798552184,313,1.136,13.835,0.796288182,15,1.174,14.835,0.794122872,15,2.201 +15.145,0.800728349,306,2.181,14.785,0.797671848,305,2.224,15.185,0.795109795,304,2.032,15.535,0.79244905,304,1.606 +18.505,0.801232667,352,1.111,18.085,0.798175179,352,1.077,17.785,0.795216383,352,1.016,17.535,0.792159882,352,0.952 +20.545,0.799464101,18,1.111,20.185,0.796407599,19,1.077,19.885,0.793448803,19,1.016,19.535,0.790490994,19,0.962 +21.645,0.799960523,20,2.955,21.285,0.796904022,20,2.965,20.985,0.793945226,20,2.94,20.735,0.791086109,20,2.924 +22.545,0.799273624,29,4.68,22.185,0.796314829,29,4.743,21.865,0.793357019,29,4.765,21.535,0.790398224,29,4.798 +23.245,0.798979521,39,5.325,22.885,0.796021712,40,5.425,22.585,0.793062916,40,5.495,22.335,0.790105107,40,5.561 +23.885,0.799376264,45,5.354,23.585,0.796418455,45,5.465,23.285,0.79345966,45,5.544,23.035,0.790600543,45,5.641 +24.285,0.800954355,50,4.492,23.985,0.797996546,50,4.625,23.685,0.79503775,50,4.735,23.435,0.792178633,50,4.838 +24.585,0.803321984,65,4.393,24.435,0.80046188,65,4.684,24.165,0.797504071,65,4.853,23.935,0.794644954,65,4.976 +24.885,0.805688626,69,4.294,24.885,0.802829509,68,4.743,24.635,0.799970392,68,4.972,24.435,0.797110289,68,5.105 +24.925,0.809138909,90,2.915,24.985,0.806477177,81,3.36,24.735,0.803519368,81,3.601,24.535,0.800561559,81,3.747 +24.585,0.811109795,160,2.677,24.885,0.808546755,124,2.797,24.685,0.805588946,119,2.979,24.535,0.80272983,119,3.113 +21.925,0.80912904,202,7.09,24.085,0.807558845,194,7.056,23.935,0.804699729,192,6.777,23.835,0.801840612,192,6.691 +19.785,0.809812978,194,10.174,21.885,0.808241796,192,12.452,21.985,0.805482359,192,13.535,22.035,0.802721934,192,13.869 +18.565,0.806457439,197,10.183,19.885,0.804390822,192,12.896,20.315,0.801829756,190,14.768,20.735,0.799366395,190,15.653 +17.945,0.806750555,191,10.322,19.085,0.804683938,186,13.025,19.535,0.802122872,185,14.906,20.035,0.799561806,185,15.831 +17.225,0.807635825,189,10.689,18.185,0.805469529,185,13.381,18.735,0.802908463,184,15.33,19.335,0.800446089,184,16.426 +16.525,0.806745621,193,10.848,17.185,0.804284234,188,13.272,17.765,0.801820873,187,15.281,18.335,0.7993585,187,16.793 +15.885,0.805955095,191,11.572,16.185,0.80329435,189,13.657,16.765,0.800831976,188,15.833,17.335,0.798369603,188,17.963 +16.005,0.807926968,196,11.274,16.185,0.805266223,195,12.758,16.685,0.802705157,195,14.235,17.235,0.800144091,195,15.752 +16.175,0.807238095,198,8.438,16.535,0.80457735,196,9.892,16.885,0.802015297,195,11.128,17.235,0.799355539,195,12.104 +16.345,0.806548236,201,5.602,16.885,0.80398717,195,7.036,17.085,0.801326425,194,8.01,17.335,0.798566987,194,8.456 +16.225,0.80516753,195,5.275,16.885,0.802705157,191,7.175,17.185,0.800045398,191,8.434,17.535,0.797483346,191,8.674 +17.665,0.803004194,185,3.778,17.485,0.800046385,187,4.348,17.665,0.797285961,188,5.228,17.835,0.794625216,188,6.315 +19.945,0.801137923,187,2.529,19.585,0.798180113,189,2.688,19.335,0.795221318,189,2.861,19.035,0.792263509,189,3.063 +22.345,0.800849741,104,1.12,21.985,0.79779324,107,1.127,21.685,0.794933136,108,1.115,21.435,0.791975327,108,1.12 +22.785,0.799471009,50,2.578,22.485,0.7965132,50,2.589,22.165,0.793554404,50,2.585,21.835,0.790695287,50,2.577 +23.345,0.798585739,57,3.411,22.985,0.795529238,57,3.449,22.665,0.792669134,57,3.453,22.335,0.789710338,57,3.48 +23.945,0.798095238,67,3.728,23.585,0.795136442,67,3.765,23.255,0.792177646,67,3.778,22.935,0.789219837,67,3.817 +24.445,0.797702443,49,4.016,24.085,0.794743647,49,4.062,23.785,0.791784851,49,4.074,23.535,0.788925734,49,4.104 +24.745,0.796914878,45,4.472,24.385,0.793956082,45,4.526,24.085,0.790997286,46,4.558,23.835,0.788138169,46,4.6 +24.845,0.799181841,44,4.413,24.485,0.796223045,44,4.477,24.185,0.793265236,44,4.518,23.935,0.790406119,44,4.57 +24.815,0.802829509,55,4.442,24.635,0.799970392,56,4.694,24.345,0.797110289,56,4.794,24.035,0.794151493,56,4.867 +24.785,0.806574883,32,4.482,24.785,0.803815445,37,4.911,24.515,0.800856649,38,5.08,24.235,0.79789884,38,5.155 +24.785,0.805589933,19,3.461,24.785,0.802829509,28,3.903,24.515,0.799870713,30,4.114,24.235,0.797011596,30,4.164 +24.365,0.807658525,275,1.12,24.485,0.8048981,3,1.453,24.285,0.802038983,14,1.963,24.035,0.799179867,14,2.26 +21.385,0.806170244,218,6.772,23.185,0.80450037,223,6.601,23.165,0.801739946,223,5.909,23.135,0.798880829,223,5.313 +18.905,0.805669874,195,8.587,19.985,0.803504565,201,10.475,20.215,0.800843819,203,11.345,20.435,0.798182087,203,11.321 +16.005,0.803885517,200,10.441,15.885,0.8010264,202,12.195,16.185,0.798365655,203,13.83,16.535,0.795705897,203,15.266 +14.125,0.803978288,203,10.501,13.885,0.800921786,204,12.096,14.235,0.798261041,205,13.515,14.535,0.795698988,205,14.751 +13.665,0.802201826,203,9.955,13.485,0.799244017,205,11.681,13.835,0.796682951,206,13.17,14.235,0.794022206,206,14.434 +13.705,0.802300518,205,8.766,13.585,0.799441401,207,10.199,13.955,0.796781643,209,11.542,14.335,0.79421959,209,12.758 +13.705,0.801118184,207,8.418,13.585,0.798160375,209,9.902,14.085,0.795599309,211,11.108,14.535,0.793135949,211,11.985 +13.725,0.803877622,212,7.794,13.785,0.801019492,214,9.487,14.385,0.798557118,215,10.674,15.035,0.796094745,215,11.271 +13.805,0.803878608,221,6.455,13.835,0.801019492,223,7.896,14.315,0.798557118,224,8.938,14.835,0.795996052,224,9.517 +13.885,0.803977301,214,5.117,13.885,0.801118184,217,6.295,14.235,0.798458426,218,7.201,14.535,0.795896373,218,7.762 +14.325,0.803387121,211,4.869,14.085,0.800330619,214,5.682,14.485,0.797768566,216,6.373,14.835,0.795207501,216,6.85 +16.045,0.800337528,223,3.5,15.685,0.797280039,225,3.745,15.685,0.79442191,226,4.094,15.735,0.791661485,226,4.55 +18.705,0.801922527,268,1.587,18.285,0.798866025,268,1.621,17.955,0.795808537,267,1.657,17.635,0.792850728,267,1.695 +21.465,0.801241549,12,0.377,20.985,0.798184061,7,0.395,20.615,0.795226252,6,0.404,20.235,0.792168764,6,0.406 +22.745,0.799865778,351,0.625,22.385,0.796906982,349,0.623,22.035,0.793949173,348,0.621,21.735,0.790990377,348,0.615 +23.345,0.799374291,28,2.221,22.985,0.796317789,29,2.233,22.665,0.793457686,29,2.22,22.335,0.790499877,29,2.23 +23.945,0.79661584,25,3.897,23.585,0.793658031,25,3.923,23.255,0.790797927,25,3.936,22.935,0.787840118,25,3.965 +24.345,0.797110289,32,4.879,23.985,0.794151493,33,4.931,23.685,0.791292376,33,4.962,23.435,0.78833358,33,5.016 +24.745,0.796816186,32,5.126,24.385,0.79385739,33,5.198,24.085,0.790898594,33,5.238,23.835,0.788039477,33,5.294 +24.945,0.798590674,36,5.206,24.585,0.795631878,36,5.297,24.285,0.792772761,36,5.357,24.035,0.789814952,36,5.432 +24.085,0.800658278,14,4.571,23.785,0.797700469,16,4.793,23.485,0.794741673,16,4.932,23.235,0.791882556,16,5.026 +23.225,0.802725882,8,3.937,22.985,0.799768073,14,4.279,22.685,0.796809277,15,4.498,22.435,0.793851468,15,4.62 +22.845,0.804893166,350,4.839,22.785,0.802132741,357,5.514,22.565,0.799174932,360,5.899,22.335,0.796315815,360,6.027 +21.145,0.805971873,327,6.336,21.085,0.803113743,333,7.313,21.005,0.800353319,334,8.158,20.935,0.797494202,334,8.962 +19.725,0.807347644,321,6.049,19.785,0.804588206,327,7.333,19.865,0.801827782,330,8.553,19.935,0.799068344,330,9.715 +19.285,0.807445349,324,4.978,19.585,0.804784604,334,6.295,19.685,0.80202418,337,7.27,19.835,0.799264742,337,7.732 +19.325,0.806854182,317,5.245,19.985,0.804391809,340,5.919,19.985,0.801631384,348,6.166,20.035,0.798772267,348,5.988 +19.305,0.807642734,330,4.809,19.785,0.805179373,359,4.951,19.735,0.802320257,7,5.001,19.735,0.799462127,7,5.046 +18.985,0.807246978,344,3.946,19.285,0.804586232,16,3.933,19.265,0.801727116,25,4.005,19.235,0.798967678,25,4.223 +18.525,0.806456452,355,3.877,18.885,0.803796694,29,3.726,18.935,0.801036269,40,3.847,19.035,0.798276832,40,4.243 +18.125,0.805568221,353,2.757,18.485,0.803006168,44,2.639,18.585,0.800246731,61,3.127,18.735,0.797487293,61,3.906 +16.945,0.805564273,349,3.153,17.485,0.803003208,27,2.994,17.615,0.80024377,41,2.999,17.735,0.797582038,41,3.212 +16.445,0.805069825,355,2.162,16.685,0.80240908,25,1.907,16.765,0.79955095,35,1.884,16.835,0.796790526,35,2.072 +15.945,0.804575376,286,1.17,15.885,0.80171626,272,0.82,15.915,0.79885813,247,0.779,15.935,0.796097705,247,0.942 +15.305,0.802502837,224,2.935,15.485,0.7997434,217,3.419,15.635,0.796983962,212,3.749,15.835,0.794224525,212,3.866 +16.045,0.803393042,265,4.343,15.685,0.800335554,263,4.704,15.915,0.797674809,262,5.1,16.135,0.794915371,262,5.571 +18.045,0.800047372,299,3.867,17.685,0.797089563,297,4.022,17.365,0.794032075,297,4.153,17.035,0.791074266,297,4.292 +19.805,0.800644461,325,4.66,19.385,0.79758796,324,4.743,19.065,0.794629164,324,4.804,18.735,0.791671355,324,4.887 +21.345,0.798481125,329,4.095,20.985,0.795522329,329,4.141,20.665,0.79256452,329,4.183,20.335,0.789605724,329,4.233 +22.645,0.799963484,343,4.571,22.285,0.797005675,343,4.615,21.955,0.794046879,343,4.646,21.635,0.79108907,343,4.689 +23.545,0.797502097,357,5.404,23.185,0.794543301,357,5.475,22.865,0.791585492,357,5.514,22.535,0.788626696,357,5.581 +24.145,0.79819393,5,5.731,23.785,0.795235134,5,5.811,23.455,0.792277325,5,5.87,23.135,0.789417222,5,5.938 +24.585,0.798097212,357,4.125,24.285,0.795138416,358,4.17,23.955,0.792180607,358,4.212,23.635,0.789320503,358,4.273 +24.785,0.798294597,349,4.056,24.485,0.795434493,349,4.121,24.185,0.792476684,349,4.153,23.935,0.789617567,349,4.213 +24.045,0.800855662,339,4.561,23.835,0.797995559,339,4.931,23.565,0.79503775,339,5.179,23.335,0.792178633,339,5.343 +23.305,0.803415741,321,5.067,23.185,0.800556625,325,5.751,22.935,0.797598816,326,6.205,22.735,0.794739699,326,6.483 +22.405,0.805582038,306,6.713,22.585,0.802921293,312,8.015,22.435,0.800062176,314,8.79,22.335,0.797203059,314,8.952 +21.425,0.806663706,293,6.584,21.785,0.804101653,305,7.906,21.815,0.801242536,308,8.622,21.835,0.798483099,308,8.654 +20.745,0.807154207,295,6.782,21.585,0.804790526,310,8.173,21.665,0.802031088,314,8.78,21.735,0.799270664,314,8.585 +20.505,0.808336541,297,6.415,21.585,0.806171231,318,7.471,21.585,0.803312114,324,7.921,21.535,0.80055169,324,7.822 +20.225,0.807152233,292,6.564,21.485,0.805185295,319,7.323,21.415,0.802326178,325,7.458,21.335,0.799467061,325,7.167 +20.105,0.808433259,304,5.999,21.185,0.80626795,331,6.572,21.135,0.803507525,338,6.59,21.035,0.800648409,338,6.216 +19.925,0.808925734,310,5.245,20.585,0.80646336,340,5.465,20.585,0.803702936,348,5.376,20.535,0.800844806,348,5.006 +19.585,0.808924747,317,4.075,19.885,0.806264002,350,3.884,19.955,0.803504565,1,3.778,20.035,0.80074414,1,3.589 +19.305,0.807740439,297,2.439,19.485,0.805079694,335,1.532,19.635,0.802320257,5,1.282,19.835,0.799560819,5,1.358 +18.685,0.809316556,296,3.887,19.285,0.806853195,313,2.767,19.455,0.804093758,323,2.062,19.635,0.801433013,323,1.884 +17.455,0.808326672,305,5.226,18.535,0.806161362,326,4.556,18.725,0.803401925,332,3.906,18.935,0.800741179,332,3.658 +16.225,0.807336788,302,6.554,17.785,0.805468542,328,6.354,17.985,0.802709104,333,5.751,18.235,0.800048359,333,5.432 +15.705,0.80388453,282,5.245,17.385,0.802115963,319,4.793,17.635,0.799455218,330,4.143,17.935,0.796794473,330,3.747 +16.205,0.801422156,289,5.305,16.985,0.799058475,306,5.504,17.335,0.796496422,316,5.159,17.735,0.793935357,316,4.441 +16.845,0.799058475,321,3.54,16.485,0.796000987,321,3.844,16.685,0.793340242,322,4.4,16.935,0.790679497,322,5.204 +18.145,0.797584012,337,3.619,17.785,0.794526524,336,3.716,17.455,0.791568715,336,3.798,17.135,0.788511226,336,3.906 +19.745,0.798377498,322,3.213,19.385,0.795418702,322,3.281,19.065,0.792460893,322,3.334,18.735,0.789502097,322,3.4 +21.205,0.796114483,331,3.143,20.785,0.793057982,330,3.192,20.485,0.790099186,330,3.226,20.235,0.787240069,330,3.281 +22.245,0.796906982,349,4.026,21.885,0.793849494,348,4.071,21.585,0.790990377,348,4.114,21.335,0.788031582,348,4.173 +23.045,0.796613866,345,4.403,22.685,0.79365507,345,4.467,22.365,0.790696274,345,4.518,22.035,0.787738465,345,4.58 +23.545,0.79691093,351,3.808,23.185,0.793952134,351,3.854,22.885,0.790994325,351,3.897,22.535,0.788134222,351,3.955 +23.785,0.798094251,347,3.669,23.485,0.795136442,347,3.726,23.185,0.792276339,347,3.778,22.935,0.789318529,347,3.826 +23.615,0.800558599,328,4.393,23.435,0.797698495,329,4.793,23.185,0.794839378,329,5.031,22.935,0.791980262,329,5.165 +23.445,0.803120651,317,5.126,23.385,0.800360227,319,5.86,23.185,0.797402418,320,6.294,23.035,0.794543301,320,6.513 +22.605,0.804597089,327,5.424,22.785,0.801936343,329,6.562,22.685,0.79907624,330,7.221,22.535,0.796315815,330,7.306 +22.165,0.806074513,301,5.434,22.585,0.803611152,317,6.167,22.535,0.800752036,321,6.501,22.535,0.797991611,321,6.523 +21.745,0.805973847,282,5.434,22.585,0.803611152,308,5.712,22.585,0.800850728,313,5.771,22.535,0.798090303,313,5.779 +21.345,0.808535899,275,5.513,22.785,0.806667654,307,5.603,22.765,0.803808537,313,5.524,22.735,0.801048113,313,5.601 +21.125,0.808437207,292,6.415,22.685,0.806568961,322,6.878,22.635,0.803709845,327,7.004,22.535,0.80094942,327,7.187 +21.185,0.807943745,296,5.236,22.085,0.805679743,329,5.643,22.105,0.802919319,338,5.86,22.135,0.800159882,338,5.72 +20.445,0.808730323,293,5.027,21.285,0.806465334,328,4.961,21.415,0.803705897,338,4.745,21.535,0.801045152,338,4.372 +19.485,0.808332593,289,4.72,20.385,0.806068591,324,4.17,20.615,0.803407846,336,3.62,20.835,0.800747101,336,3.172 +18.265,0.806456452,282,4.849,19.585,0.804488527,316,3.903,19.915,0.801827782,326,2.871,20.235,0.799266716,326,2.141 +17.285,0.808226992,288,5.9,19.085,0.806557118,318,5.04,19.485,0.803995065,325,3.818,19.835,0.801434,325,2.825 +17.225,0.808325685,289,6.187,18.935,0.806458426,315,5.742,19.245,0.803896373,320,4.883,19.535,0.801235628,320,4.124 +17.165,0.808325685,294,6.485,18.785,0.806457439,325,6.433,19.015,0.803698001,333,5.939,19.235,0.801037256,333,5.423 +16.925,0.805269183,274,4.006,18.485,0.803400938,332,3.597,18.765,0.800740192,351,3.512,19.035,0.798079447,351,3.519 +16.845,0.803001234,255,2.925,18.285,0.801034296,317,2.214,18.535,0.79837355,342,1.845,18.835,0.795811498,342,2.23 +18.345,0.800836911,257,2.796,18.285,0.797978781,260,2.787,18.515,0.795318036,261,2.16,18.735,0.792656304,261,1.13 +20.805,0.799169011,261,1.745,20.385,0.796112509,259,1.818,20.135,0.793153713,258,1.864,19.935,0.790195904,258,1.913 +22.545,0.799963484,335,1.904,22.185,0.797004688,333,1.907,21.885,0.794046879,332,1.884,21.535,0.791088083,332,1.884 +23.245,0.798092277,1,3.262,22.885,0.795134468,360,3.281,22.585,0.792175672,360,3.285,22.335,0.789316556,360,3.281 +24.145,0.7985887,10,3.084,23.785,0.795629904,9,3.093,23.455,0.792671108,9,3.098,23.135,0.789811991,9,3.093 +24.745,0.798688379,20,4.75,24.385,0.79573057,20,4.793,24.065,0.792870466,20,4.814,23.735,0.789912657,20,4.848 +24.945,0.798294597,25,4.839,24.585,0.795336788,25,4.902,24.285,0.792377992,25,4.942,24.035,0.789518875,25,5.006 +24.985,0.799477918,31,4.165,24.685,0.796520109,31,4.239,24.385,0.793660005,31,4.291,24.035,0.790702196,31,4.352 +25.045,0.802830496,18,3.669,24.835,0.799970392,19,3.874,24.565,0.797012583,19,3.985,24.335,0.79415248,19,4.054 +25.105,0.806182087,18,3.173,24.985,0.803322971,19,3.508,24.735,0.800462867,20,3.689,24.535,0.797505058,20,3.757 +24.945,0.806279793,356,1.904,24.885,0.803420676,8,2.164,24.635,0.800561559,11,2.259,24.435,0.79760375,11,2.29 +24.565,0.810517641,272,1.696,24.685,0.807758204,311,1.512,24.455,0.8048981,317,1.312,24.235,0.801940291,317,1.11 +23.265,0.80962645,257,3.956,24.285,0.80746114,278,3.479,24.115,0.804602023,278,3.068,23.935,0.801644214,278,2.756 +22.085,0.810411054,257,5.087,24.185,0.808840859,280,4.605,24.015,0.80588305,279,4.133,23.835,0.803023933,279,3.757 +20.505,0.808336541,248,6.128,23.985,0.807558845,282,6.226,23.815,0.804699729,281,5.879,23.635,0.801839625,281,5.403 +19.705,0.809319516,243,6.376,23.185,0.808640513,283,6.779,23.165,0.805781396,285,6.531,23.135,0.803020972,285,5.958 +19.285,0.80961362,257,6.852,21.985,0.808439181,292,7.471,22.165,0.805778436,300,7.399,22.335,0.803117691,300,6.791 +18.985,0.80951394,263,6.376,21.085,0.807943745,300,6.69,21.355,0.805283,311,6.55,21.635,0.802622255,311,5.958 +18.625,0.809020479,268,5.999,20.485,0.807350604,306,5.761,20.865,0.804689859,318,5.11,21.235,0.802127807,318,4.243 +18.225,0.810694301,261,4.958,20.085,0.808926721,303,4.071,20.535,0.80646336,316,2.979,21.035,0.803902295,316,1.993 +19.245,0.80961362,269,4.879,20.535,0.807646681,286,4.091,20.885,0.804985936,292,3.038,21.235,0.802423884,292,1.983 +20.265,0.808631631,288,4.799,20.985,0.80626795,305,4.111,21.235,0.803607205,308,3.098,21.535,0.800946459,308,1.983 +19.185,0.804586232,277,4.095,20.385,0.802519615,306,3.301,20.685,0.79985887,306,2.17,21.035,0.797296817,306,0.962 +18.725,0.804979028,261,4.065,20.285,0.803110782,289,3.587,20.615,0.800548729,282,2.654,20.935,0.797986677,282,1.715 +20.005,0.800941525,259,3.193,20.185,0.798279793,266,3.785,20.585,0.795718727,267,3.897,21.035,0.793156674,267,3.46 +22.545,0.802034049,305,1.824,22.185,0.799075253,300,1.907,22.165,0.796314829,297,2.032,22.135,0.793554404,297,2.221 +24.345,0.800757957,343,2.558,23.985,0.797799161,341,2.579,23.685,0.794940044,340,2.575,23.435,0.791981248,340,2.587 +25.045,0.798196891,17,3.986,24.685,0.795238095,17,4.012,24.385,0.792280286,17,4.015,24.035,0.789420183,17,4.015 +25.845,0.799480878,23,3.857,25.485,0.796522082,23,3.874,25.165,0.793662966,23,3.867,24.835,0.79070417,23,3.866 +26.345,0.798792006,25,3.927,25.985,0.795932889,25,3.943,25.685,0.792974093,25,3.936,25.435,0.790114977,25,3.945 +26.645,0.798300518,24,3.52,26.285,0.795341722,24,3.538,25.985,0.792482605,24,3.541,25.735,0.78952381,24,3.549 +26.785,0.799877622,18,3.054,26.485,0.797018505,18,3.073,26.185,0.794059709,18,3.068,25.935,0.791200592,18,3.073 +26.805,0.802539354,12,2.092,26.535,0.799680237,10,2.174,26.265,0.796820133,10,2.239,26.035,0.793862324,10,2.26 +26.825,0.805201086,316,1.14,26.585,0.802341969,335,1.275,26.335,0.799481865,339,1.401,26.035,0.796622749,339,1.447 +25.705,0.804409573,248,3.153,25.885,0.801747841,260,3.113,25.685,0.798888724,264,3.078,25.535,0.796029608,264,3.113 +23.425,0.808345423,235,6.336,24.685,0.806377498,255,6.799,24.615,0.803518381,258,6.797,24.535,0.800757957,258,6.761 +21.845,0.806763385,248,8.944,23.285,0.804796447,261,10.614,23.385,0.802036023,264,11.226,23.535,0.799375278,264,10.974 +20.625,0.80873131,247,8.775,22.185,0.806863064,259,11.009,22.515,0.804202319,263,12.075,22.835,0.801640266,263,11.688 +19.945,0.807249938,246,9.232,21.985,0.805679743,261,11.473,22.365,0.803117691,266,12.696,22.735,0.800555638,266,12.56 +19.865,0.807348631,245,8.2,22.085,0.805877128,268,9.665,22.435,0.803315075,276,10.486,22.835,0.800753022,276,10.468 +20.025,0.808925734,259,8.022,21.885,0.807157168,283,9.477,22.115,0.804496422,290,10.319,22.335,0.80193437,290,10.498 +19.685,0.807841105,272,7.972,20.885,0.805774488,293,9.25,21.035,0.803015051,300,9.934,21.235,0.800353319,300,10.052 +18.985,0.807148285,272,7.744,20.185,0.805082655,295,8.766,20.335,0.80232223,302,9.095,20.535,0.799661485,302,8.813 +18.725,0.808231927,267,6.356,19.985,0.806166297,298,7.095,20.135,0.803405872,306,7.339,20.335,0.800745127,306,7.068 +19.465,0.808924747,296,4.442,20.035,0.806461387,320,4.862,20.035,0.803603257,326,5.061,20.035,0.800842832,326,5.016 +20.205,0.80961658,305,2.538,20.085,0.806757464,327,2.629,19.935,0.803799655,334,2.772,19.835,0.800940538,334,2.954 +19.425,0.804783617,235,2.35,19.785,0.802222551,249,1.69,19.665,0.799363434,251,1.164,19.535,0.796503331,251,0.664 +19.005,0.804290155,237,2.697,19.785,0.801926474,249,2.154,19.665,0.799067358,245,1.667,19.535,0.796109548,245,1.219 +20.325,0.801928448,260,2.072,20.085,0.798969652,260,2.312,19.915,0.796110535,260,2.328,19.735,0.793251419,260,2.032 +22.445,0.801737972,312,0.476,22.085,0.798779176,308,0.484,21.755,0.79582038,306,0.503,21.435,0.792862571,306,0.515 +23.705,0.799770047,251,0.843,23.285,0.796811251,250,0.88,22.955,0.793852455,249,0.917,22.635,0.790894646,249,0.962 +24.805,0.801055021,256,0.654,24.385,0.798096225,252,0.702,24.085,0.795138416,251,0.75,23.835,0.79217962,251,0.803 +25.745,0.799283494,340,1.051,25.385,0.796324698,334,1.048,25.085,0.793465581,331,1.026,24.835,0.790506785,331,1.021 +26.085,0.798988404,343,2.548,25.785,0.796129287,341,2.569,25.485,0.793170491,340,2.555,25.235,0.790311374,340,2.568 +26.285,0.800172712,337,2.747,25.985,0.797213916,336,2.777,25.665,0.794353812,335,2.782,25.335,0.791396003,335,2.796 +26.445,0.800961263,302,3.272,26.085,0.798002467,301,3.33,25.785,0.795143351,301,3.384,25.535,0.792184555,301,3.43 +26.475,0.803622995,293,3.064,26.235,0.800763879,290,3.301,25.975,0.797903775,290,3.522,25.735,0.794945966,290,3.717 +26.505,0.806284727,279,2.866,26.385,0.803524303,279,3.281,26.165,0.800665186,278,3.66,25.935,0.797805083,278,3.995 +24.845,0.804997779,250,4.561,25.085,0.802337034,259,5.228,24.935,0.799477918,260,5.761,24.835,0.796717493,260,6.225 +22.945,0.805682704,251,6.733,23.485,0.803219344,258,8.301,23.565,0.800558599,261,9.48,23.635,0.797798174,261,10.131 +21.725,0.807453245,258,7.328,22.685,0.805188256,267,9.2,22.915,0.80252751,271,10.565,23.135,0.799965458,271,11.222 +20.805,0.80843622,252,7.833,22.185,0.806468295,267,9.774,22.485,0.80380755,272,11.078,22.835,0.801245497,272,11.38 +20.145,0.808926721,258,7.933,21.585,0.806959783,272,9.932,21.935,0.80439773,277,11.226,22.335,0.801736985,277,11.479 +19.745,0.808234888,263,8.26,21.185,0.80626795,279,10.04,21.535,0.803705897,285,11.098,21.835,0.801143844,285,11.242 +19.525,0.809220824,269,7.933,21.085,0.807352578,289,9.359,21.415,0.804790526,296,10.121,21.735,0.80212978,296,10.171 +19.425,0.810008389,275,6.921,20.985,0.808042438,298,8.034,21.235,0.805380706,306,8.622,21.535,0.80281964,306,8.694 +19.405,0.810304466,268,5.275,20.785,0.808238835,304,5.791,20.915,0.805577103,313,6.136,21.035,0.802817666,313,6.275 +19.525,0.811389094,278,4.403,20.485,0.809125093,316,4.714,20.535,0.806364668,325,5.001,20.535,0.803604244,325,5.155 +20.355,0.811489761,334,4.194,20.835,0.809027387,340,4.368,20.765,0.80616827,342,4.518,20.735,0.803309154,342,4.639 +21.185,0.811690106,332,3.986,21.185,0.808830989,342,4.032,20.985,0.80587318,344,4.045,20.835,0.803014064,344,4.124 +20.165,0.806166297,302,3.312,20.585,0.803605231,328,3.212,20.415,0.800745127,333,3.019,20.235,0.79788601,333,2.905 +19.345,0.806951887,282,3.877,19.885,0.804489514,303,3.884,19.815,0.801630397,310,3.512,19.735,0.798771281,310,3.024 +20.045,0.804490501,292,2.697,19.685,0.801433013,294,2.886,19.585,0.798573896,296,3.176,19.535,0.795714779,296,3.608 +21.345,0.801635332,331,3.094,20.985,0.798677523,332,3.152,20.665,0.795718727,332,3.226,20.335,0.792759931,332,3.311 +22.445,0.801145818,356,2.41,22.085,0.798089317,355,2.431,21.755,0.795130521,355,2.446,21.435,0.792172712,355,2.478 +23.405,0.800458919,14,2.38,22.985,0.797500123,13,2.411,22.665,0.794542314,13,2.417,22.335,0.791583518,13,2.449 +24.045,0.801150753,48,2.509,23.685,0.798192943,48,2.53,23.365,0.795234148,48,2.535,23.035,0.792276339,48,2.558 +24.445,0.800757957,50,3.629,24.085,0.797799161,50,3.666,23.785,0.794841352,50,3.68,23.535,0.791982235,50,3.717 +24.785,0.800561559,58,4.056,24.485,0.79760375,58,4.101,24.165,0.794743647,58,4.123,23.835,0.791785838,58,4.164 +25.045,0.800267456,52,5.136,24.685,0.79730866,52,5.208,24.385,0.794349864,52,5.258,24.035,0.791490748,52,5.333 +21.675,0.801932396,79,3.49,21.435,0.798974587,82,3.795,21.375,0.796214162,82,4.262,21.335,0.793355046,82,4.838 +18.305,0.803597335,31,1.854,18.185,0.800639526,55,2.392,18.365,0.797978781,62,3.255,18.535,0.795318036,62,4.342 +17.345,0.802312361,288,0.972,17.885,0.799751295,63,0.593,18.415,0.797288922,89,2.21,18.935,0.794826548,89,3.965 +17.145,0.804974093,307,2.072,18.285,0.802808784,14,1.206,18.565,0.800148038,61,1.864,18.835,0.797487293,61,3.014 +17.245,0.803790772,292,1.309,18.385,0.801725142,46,0.81,18.635,0.799064397,83,1.835,18.935,0.796403652,83,3.093 +17.345,0.80527017,315,1.805,18.185,0.803005181,33,1.611,18.485,0.800345423,58,2.101,18.835,0.797684678,58,2.974 +16.845,0.804578337,343,3.054,17.385,0.802115963,13,2.896,17.735,0.799455218,25,2.585,18.035,0.796893166,25,2.488 +16.045,0.805266223,332,2.41,16.285,0.802605477,4,2.619,16.415,0.799845053,10,2.269,16.535,0.797085616,10,1.705 +15.885,0.805758697,217,3.054,16.185,0.803097952,208,2.757,16.205,0.800238835,203,3.196,16.235,0.797479398,203,4.243 +14.725,0.80535998,207,8.141,16.285,0.803492721,197,9.645,16.765,0.800930669,196,11.058,17.235,0.798369603,196,12.58 +13.905,0.805455712,200,9.836,15.285,0.803488774,198,12.462,16.385,0.801323464,198,13.811,17.535,0.799159141,198,14.007 +14.505,0.805457686,207,9.331,17.085,0.804184555,206,11.374,17.955,0.801920553,209,12.075,18.835,0.799656551,209,11.757 +14.835,0.806247224,213,6.782,16.935,0.804677029,215,8.123,17.645,0.802313348,217,8.612,18.335,0.799950654,217,8.525 +15.165,0.80703775,233,4.224,16.785,0.805169504,231,4.882,17.335,0.802707131,237,5.159,17.935,0.800244757,237,5.294 +15.205,0.8047698,195,1.934,16.885,0.803001234,224,1.759,17.205,0.800340489,243,1.825,17.535,0.79768073,243,2.121 +15.465,0.803094991,156,2.112,16.485,0.800929682,117,1.828,16.685,0.798170244,106,1.332,16.935,0.795509499,106,1.17 +18.505,0.799753269,122,1.259,18.085,0.796696768,123,1.265,17.785,0.793737972,124,1.243,17.535,0.790681471,124,1.209 +20.545,0.801829756,79,1.666,20.185,0.798773254,80,1.67,19.835,0.795814458,81,1.657,19.535,0.792856649,81,1.656 +22.345,0.800948433,48,1.874,21.985,0.797990624,50,1.848,21.665,0.795031828,50,1.815,21.335,0.792073032,50,1.774 +23.305,0.801543548,49,3.867,22.885,0.79848606,50,3.884,22.585,0.795625956,50,3.877,22.335,0.792668147,50,3.886 +24.145,0.799968418,68,3.639,23.785,0.797009623,69,3.676,23.455,0.794051813,69,3.689,23.135,0.791093018,69,3.717 +24.085,0.798391315,35,2.757,23.785,0.795531211,36,2.797,23.515,0.792573402,36,2.821,23.235,0.789713299,36,2.855 +24.285,0.799081174,55,2.955,23.985,0.796123365,56,3.004,23.715,0.793264249,56,3.058,23.435,0.790305453,56,3.152 +23.945,0.798686405,54,2.132,23.585,0.795727609,55,2.214,23.355,0.792868492,55,2.348,23.135,0.790009376,55,2.558 +23.115,0.801739946,31,1.765,22.785,0.79878115,36,1.779,22.795,0.796020725,38,1.815,22.835,0.79335998,38,2.032 +22.285,0.804793486,285,1.398,21.985,0.80183469,287,1.344,22.235,0.799272638,293,1.292,22.535,0.796611892,293,1.497 +21.405,0.802621268,247,4.343,21.585,0.799960523,256,4.605,21.785,0.797299778,257,3.976,22.035,0.794639033,257,2.597 +20.485,0.807054528,246,6.296,21.385,0.804790526,265,6.809,21.485,0.802030101,268,6.452,21.535,0.799270664,268,5.551 +19.505,0.801530718,242,7.724,21.185,0.799762151,263,8.607,21.315,0.797002714,268,8.454,21.435,0.794340982,268,7.752 +19.585,0.806164323,241,7.357,21.685,0.804594128,265,8.271,21.765,0.801833703,271,8.326,21.835,0.799172958,271,7.881 +19.045,0.803106834,234,6.981,21.085,0.801535653,259,7.56,21.285,0.798874907,265,7.606,21.535,0.796214162,265,7.455 +18.005,0.805272144,217,6.971,19.985,0.803700962,241,7.59,20.335,0.801139896,248,7.803,20.735,0.798479151,248,7.782 +17.105,0.805269183,217,7.119,19.085,0.803600296,238,8.212,19.565,0.801136936,245,8.839,20.035,0.79857587,245,9.011 +16.565,0.805267209,208,6.277,18.485,0.803598322,237,7.016,19.015,0.801134962,246,7.586,19.535,0.798672588,246,7.871 +16.145,0.804773748,207,6.406,18.485,0.803302245,234,6.927,18.935,0.800741179,243,7.231,19.435,0.798277819,243,7.504 +15.745,0.80615248,195,6.475,18.385,0.804879349,220,6.315,18.765,0.802317296,229,6.126,19.135,0.799755243,229,6.255 +15.425,0.804968172,180,6.544,17.735,0.803496669,187,6.977,18.025,0.800934616,192,6.896,18.335,0.798274858,192,6.761 +15.105,0.803783864,186,6.614,17.085,0.802114977,185,7.649,17.285,0.799454231,188,7.665,17.535,0.796793486,188,7.266 +16.065,0.802112016,187,6.168,18.285,0.800640513,181,7.194,18.335,0.797880089,181,7.418,18.435,0.795120651,181,7.415 +18.085,0.800146065,183,5.602,18.085,0.797287935,181,6.858,18.255,0.79462719,180,8.119,18.435,0.791965458,180,9.16 +21.345,0.799170984,202,6.515,20.985,0.796213175,203,6.69,20.665,0.793254379,203,6.826,20.335,0.790295584,203,6.999 +23.045,0.796908956,217,7.685,22.685,0.793951147,217,7.837,22.385,0.791091044,217,7.941,22.035,0.788132248,217,8.079 +23.945,0.796911917,222,8.498,23.585,0.793953121,222,8.657,23.285,0.790995312,222,8.77,23.035,0.788135208,222,8.902 +24.605,0.796913891,226,9.509,24.185,0.793856403,226,9.704,23.885,0.790997286,226,9.825,23.535,0.78803849,226,9.983 +24.945,0.796422403,226,10.045,24.585,0.793463607,226,10.258,24.285,0.790603504,226,10.398,24.035,0.787645695,226,10.548 +25.105,0.796619788,232,10.382,24.685,0.793660992,232,10.594,24.415,0.790703183,232,10.743,24.135,0.787843079,232,10.905 +24.945,0.795830249,232,10.689,24.585,0.792971132,232,10.93,24.315,0.790012337,232,11.098,24.035,0.78715322,232,11.281 +24.785,0.79799852,229,10.957,24.485,0.795139403,229,11.236,24.185,0.792180607,229,11.433,23.935,0.78932149,229,11.648 +23.025,0.798387367,216,9.023,22.785,0.795528251,216,9.566,22.675,0.792669134,217,10.121,22.535,0.78990871,217,10.766 +21.265,0.798874907,221,7.09,21.085,0.795917098,222,7.896,21.165,0.793256353,223,8.799,21.235,0.790495929,223,9.883 +19.705,0.799363434,230,6.316,19.585,0.796504318,231,7.214,19.835,0.793843573,232,8.306,20.035,0.791181841,232,9.626 +19.125,0.798966691,226,6.425,19.185,0.796207254,229,7.471,19.285,0.793545522,231,8.494,19.335,0.790786084,231,9.517 +19.625,0.802122872,233,6.554,19.685,0.799264742,237,7.599,19.615,0.796504318,239,8.553,19.535,0.793645201,239,9.447 +18.865,0.801332346,244,6.624,18.985,0.798571922,247,7.659,18.915,0.795712805,248,8.622,18.835,0.792854676,248,9.576 +17.705,0.800638539,231,5.275,17.885,0.797879102,240,6.236,17.915,0.795118678,243,7.191,17.935,0.792358253,243,8.178 +17.225,0.799946706,207,5.017,17.585,0.797285961,225,5.623,17.605,0.794526524,230,6.225,17.635,0.791766099,230,6.89 +15.585,0.800631631,203,5.354,16.185,0.798169257,218,5.989,16.335,0.795507525,224,6.412,16.535,0.792748088,224,6.85 +14.445,0.801120158,208,5.959,15.285,0.798856156,221,6.789,15.415,0.796096718,227,7.063,15.535,0.793336294,227,7.227 +14.345,0.799838145,205,6.316,15.485,0.797673822,222,7.115,15.565,0.794913397,228,7.27,15.635,0.79215396,228,7.256 +14.285,0.800528004,201,7.11,16.085,0.798760424,214,7.649,16.065,0.795901308,219,7.359,16.035,0.793140883,219,7.058 +14.115,0.800133235,205,6.316,15.435,0.798166297,207,7.105,15.485,0.795308167,210,7.034,15.535,0.792547742,210,6.622 +13.945,0.799837158,215,5.533,14.785,0.797474463,213,6.552,14.915,0.794715026,215,6.698,15.035,0.791954602,215,6.186 +14.025,0.799739452,210,3.798,14.685,0.797277079,213,4.457,14.765,0.794516654,217,4.37,14.835,0.791658525,217,3.777 +15.485,0.796195411,219,2.32,15.185,0.793137923,224,2.826,15.085,0.790278806,227,3.127,15.035,0.787420676,227,3.083 +18.565,0.796895139,281,0.575,18.085,0.793837651,279,0.662,17.735,0.790878855,278,0.73,17.335,0.787822354,278,0.793 +20.325,0.796901061,351,0.506,19.785,0.79374488,345,0.544,19.435,0.790786084,343,0.552,19.035,0.787827288,343,0.555 +21.865,0.796511226,310,1.408,21.385,0.793453738,306,1.552,21.035,0.790494942,305,1.638,20.735,0.787537133,305,1.715 +23.265,0.795135455,322,1.725,22.785,0.792077967,319,1.888,22.435,0.789217863,319,1.993,22.035,0.786259067,319,2.072 +23.765,0.794545275,329,1.914,23.285,0.791586479,327,2.085,23.015,0.78862867,327,2.2,22.735,0.785768566,327,2.29 +24.005,0.794644954,346,5.186,23.585,0.791686158,346,5.238,23.285,0.788728349,345,5.258,23.035,0.785868246,345,5.294 +23.905,0.794841352,2,5.345,23.485,0.791784851,2,5.406,23.185,0.788924747,2,5.436,22.935,0.785966938,2,5.482 +23.845,0.796319763,8,4.71,23.485,0.793361954,8,4.763,23.185,0.79050185,8,4.784,22.935,0.787544041,8,4.818 +23.005,0.800063163,352,4.66,22.735,0.797204046,351,4.931,22.465,0.794246237,351,5.08,22.235,0.791287441,351,5.155 +22.165,0.803806563,15,4.611,21.985,0.800947446,11,5.109,21.735,0.797989637,10,5.386,21.535,0.795031828,10,5.492 +21.465,0.80242487,14,5.027,21.585,0.799763138,12,5.86,21.335,0.796805329,11,6.294,21.035,0.793846533,11,6.344 +21.125,0.803311128,3,4.165,21.185,0.800550703,4,5.109,20.985,0.797592894,4,5.643,20.835,0.794733777,4,5.68 +20.765,0.803901308,351,2.578,20.885,0.801239576,3,3.627,20.705,0.798281767,5,4.311,20.535,0.79542265,5,4.511 +20.145,0.804589193,245,1.507,20.385,0.801928448,318,1.245,20.285,0.799069331,342,1.805,20.235,0.796210215,342,2.359 +19.725,0.805179373,262,2.062,20.085,0.802518628,310,1.512,19.955,0.799659511,332,1.549,19.835,0.796800395,332,1.794 +19.845,0.806657784,276,0.476,19.785,0.803799655,59,0.751,19.635,0.800940538,68,1.332,19.535,0.797982729,68,1.913 +19.625,0.805573156,169,1.329,19.685,0.802813718,124,1.888,19.535,0.799855909,121,2.506,19.335,0.796995806,121,3.063 +19.165,0.805276092,198,1.517,19.585,0.802714039,143,1.858,19.505,0.799854922,138,2.516,19.435,0.796996792,138,3.123 +18.625,0.805668887,216,2.162,19.585,0.803403898,178,1.937,19.565,0.800644461,164,2.417,19.535,0.797785344,164,2.954 +18.205,0.807540094,225,1.924,19.285,0.805374784,196,1.295,19.335,0.802615347,172,1.608,19.435,0.799854922,172,2.112 +17.165,0.80625512,259,3.133,18.185,0.803991118,262,2.5,18.275,0.80123168,259,2.348,18.335,0.798471256,259,2.409 +16.125,0.804871453,262,4.333,17.085,0.802607451,271,3.706,17.205,0.799848014,267,3.098,17.335,0.797088576,267,2.716 +15.925,0.805067851,247,3.57,17.185,0.803002221,262,3.093,17.315,0.800242783,255,2.703,17.435,0.797483346,255,2.439 +16.425,0.800338515,271,3.49,16.785,0.79767777,285,3.844,16.985,0.795017024,282,3.472,17.235,0.792356279,282,2.974 +19.945,0.800250679,305,1.339,19.585,0.797292869,301,1.344,19.235,0.794235381,300,1.342,18.835,0.791276585,300,1.338 +22.165,0.799075253,5,0.952,21.685,0.796017765,2,1.008,21.315,0.792960276,1,1.026,20.935,0.790002467,1,1.021 +23.665,0.798784111,16,1.339,23.185,0.795726622,15,1.423,22.835,0.792767826,15,1.45,22.535,0.78990871,15,1.457 +23.845,0.798192943,24,4.879,23.485,0.795235134,24,4.892,23.165,0.792276339,24,4.883,22.835,0.789317543,24,4.887 +24.105,0.796715519,31,5.553,23.685,0.793658031,31,5.593,23.365,0.790797927,31,5.603,23.035,0.787840118,31,5.641 +24.605,0.796520109,35,4.859,24.185,0.793561313,35,4.892,23.865,0.790701209,35,4.913,23.535,0.787742413,35,4.937 +25.045,0.797605724,41,3.976,24.685,0.794646928,41,4.002,24.365,0.791786825,41,4.005,24.035,0.788829016,41,4.035 +25.185,0.798099186,32,3.827,24.885,0.79514039,31,3.864,24.565,0.792280286,32,3.867,24.235,0.789322477,32,3.906 +24.335,0.800659265,16,3.064,24.035,0.797799161,16,3.064,23.735,0.794841352,16,3.048,23.435,0.791882556,16,3.053 +23.485,0.803318036,68,2.31,23.185,0.800360227,71,2.273,22.915,0.797401431,72,2.229,22.635,0.794542314,72,2.201 +23.145,0.805781396,53,3.629,23.085,0.803020972,56,3.676,22.815,0.800063163,57,3.64,22.535,0.797104367,57,3.589 +22.885,0.806766346,30,2.945,22.885,0.804005922,39,3.123,22.635,0.801048113,41,3.176,22.435,0.798188996,41,3.172 +22.085,0.803806563,323,2.251,22.385,0.80124451,8,2.451,22.205,0.798385394,15,2.614,22.035,0.795526277,15,2.686 +20.285,0.80744831,260,3.966,21.485,0.805381693,293,3.261,21.415,0.802522576,303,2.772,21.335,0.799664446,303,2.419 +18.385,0.80379472,260,7.268,19.885,0.801926474,280,8.143,20.015,0.799167037,286,8.129,20.135,0.796407599,286,7.415 +17.965,0.804976067,264,6.574,18.985,0.802712065,285,7.738,19.215,0.80005132,292,8.138,19.435,0.797390575,292,7.703 +17.865,0.805764619,274,6.594,18.885,0.803599309,294,7.382,19.115,0.800938564,302,7.487,19.335,0.798179127,302,6.909 +17.725,0.804285221,268,6.059,18.985,0.80221959,296,6.236,19.135,0.799460153,304,5.86,19.335,0.796799408,304,5.095 +17.285,0.804776709,284,6.118,18.485,0.802711078,311,6.512,18.585,0.799950654,319,6.373,18.735,0.797191216,319,5.869 +16.245,0.804576363,304,7.457,17.085,0.802213669,322,8.39,17.105,0.799453245,328,8.632,17.135,0.796595115,328,8.406 +15.775,0.804771774,299,6.277,16.435,0.8023094,318,6.868,16.455,0.799549963,325,7.113,16.435,0.796690846,325,7.167 +15.305,0.804967185,299,5.087,15.785,0.802406119,324,5.346,15.815,0.799646681,331,5.583,15.835,0.796787565,331,5.918 +14.965,0.803981248,289,5.126,15.685,0.801617567,316,5.228,15.685,0.798758451,322,5.199,15.735,0.795900321,322,5.393 +15.565,0.800236862,298,3.699,15.385,0.797279053,311,4.635,15.415,0.794518628,317,5.297,15.435,0.791660498,317,5.522 +17.145,0.798369603,331,3.421,16.785,0.795312114,332,3.518,16.455,0.792353319,332,3.62,16.135,0.789296817,332,3.727 +19.365,0.798770294,8,2.181,18.885,0.795614113,8,2.194,18.535,0.792656304,8,2.2,18.235,0.789697508,8,2.211 +21.865,0.798778189,42,0.664,21.385,0.795720701,43,0.702,21.015,0.792762892,43,0.72,20.635,0.789804096,43,0.714 +23.165,0.797797187,53,0.942,22.685,0.794739699,54,1.008,22.335,0.791780903,54,1.026,22.035,0.788823094,54,1.031 +24.265,0.796518135,78,0.674,23.785,0.793461633,84,0.692,23.435,0.79060153,87,0.7,23.035,0.787642734,87,0.694 +25.105,0.796127313,50,1.18,24.685,0.793168517,51,1.186,24.365,0.790209721,52,1.174,24.035,0.787349618,52,1.15 +25.045,0.79445053,28,2.895,24.685,0.791591414,27,2.886,24.385,0.788632618,27,2.861,24.035,0.785772514,27,2.845 +24.985,0.796225019,31,3.629,24.685,0.793267209,31,3.637,24.365,0.790407106,31,3.64,24.035,0.78744831,31,3.648 +24.045,0.799377251,67,3.57,23.835,0.796517148,67,3.716,23.565,0.793559339,67,3.778,23.335,0.790699235,67,3.767 +23.105,0.802528497,110,3.51,22.985,0.799669381,107,3.795,22.765,0.796809277,107,3.916,22.535,0.79395016,107,3.886 +22.725,0.804005922,176,3.193,22.785,0.801245497,172,3.192,22.565,0.798287688,171,3.176,22.335,0.795428571,171,3.152 +20.765,0.801140883,226,6.911,22.085,0.799173945,238,7.293,21.915,0.796313842,240,7.241,21.735,0.793454725,240,7.207 +19.145,0.803501604,241,8.329,20.585,0.801534666,256,9.872,20.635,0.798774241,260,10.536,20.735,0.796014804,260,10.528 +18.065,0.803793733,226,7.784,19.685,0.80202418,246,9.359,19.885,0.799363434,252,10.22,20.035,0.796603997,252,10.221 +16.945,0.801720207,212,8.319,18.985,0.800149025,231,9.714,19.315,0.797586973,237,10.457,19.635,0.794927214,237,10.548 +16.225,0.802604491,213,8.379,18.385,0.801034296,230,10.021,18.765,0.79847323,236,10.871,19.135,0.795911177,236,10.954 +15.525,0.802997286,212,8.24,17.685,0.801525783,230,10.011,18.115,0.798963731,236,10.989,18.535,0.796401678,236,11.202 +15.005,0.801122132,209,8.171,17.285,0.799650629,229,9.714,17.705,0.797089563,235,10.526,18.135,0.79452751,235,10.696 +14.385,0.801120158,201,8.389,17.085,0.799945719,221,9.329,17.485,0.797384653,228,9.598,17.835,0.794822601,228,9.655 +13.825,0.801906736,201,8.25,17.185,0.801227733,217,8.983,17.485,0.798566987,222,8.997,17.835,0.795907229,222,9.061 +14.315,0.802401184,195,6.792,16.985,0.801227733,211,7.194,17.165,0.798566,216,7.221,17.335,0.795806563,216,7.296 +14.805,0.802994325,210,5.345,16.785,0.801325438,227,5.415,16.835,0.798565014,233,5.445,16.935,0.795706884,233,5.532 +14.245,0.800626696,183,6.634,17.185,0.799650629,189,6.493,17.165,0.796791512,192,5.998,17.135,0.793933383,192,5.74 +15.785,0.795900321,189,7.169,16.685,0.793636319,189,8.637,16.815,0.790875894,190,9.145,16.935,0.788215149,190,8.952 +19.045,0.796304959,204,6.406,18.685,0.793346163,204,6.661,18.385,0.790388354,205,6.915,18.035,0.787429558,205,7.187 +21.245,0.79424229,208,6.911,20.885,0.791283494,209,7.056,20.565,0.788325685,209,7.172,20.235,0.785366889,209,7.306 +22.445,0.79464002,207,7.496,22.085,0.791681224,208,7.649,21.755,0.788723415,208,7.754,21.435,0.785764619,208,7.871 +23.245,0.792473723,207,8.399,22.885,0.789514927,208,8.558,22.565,0.786557118,208,8.661,22.235,0.783697015,208,8.803 +23.645,0.793164569,207,8.706,23.285,0.79020676,208,8.884,22.955,0.787247964,208,8.987,22.635,0.784388848,208,9.12 +23.785,0.793756723,214,8.756,23.485,0.790897607,214,8.934,23.165,0.787938811,214,9.046,22.835,0.785078707,214,9.18 +23.645,0.793855416,216,9.38,23.285,0.79089662,216,9.586,22.985,0.788036516,216,9.727,22.735,0.785078707,216,9.874 +23.185,0.795135455,221,9.757,22.885,0.792176659,221,10.119,22.585,0.78921885,221,10.388,22.335,0.786358747,221,10.637 +22.205,0.796413521,221,8.309,22.085,0.793554404,221,9.032,21.845,0.790695287,222,9.569,21.635,0.787835184,222,10.002 +21.225,0.797692573,219,6.872,21.285,0.794932149,221,7.935,21.115,0.792073032,221,8.75,20.935,0.789213916,221,9.378 +19.605,0.797982729,218,7.724,20.085,0.795421663,223,9.24,20.005,0.792661239,225,10.279,19.935,0.789802122,225,10.914 +18.025,0.800047372,219,8.081,18.685,0.797683691,225,9.863,18.785,0.794924254,227,11.216,18.835,0.792262522,227,12.104 +16.765,0.798268937,214,8.24,17.485,0.795906242,222,10.1,17.735,0.793245497,225,11.522,18.035,0.790683444,225,12.481 +15.805,0.79846336,201,8.389,16.585,0.796099679,211,10.119,16.955,0.793538613,215,11.394,17.335,0.790976561,215,12.243 +14.785,0.796587219,209,8.795,15.385,0.794124846,215,10.643,15.885,0.791661485,217,12.292,16.435,0.789199112,217,13.73 +14.185,0.79707772,196,8.696,14.485,0.794416975,201,10.258,14.815,0.791757217,203,11.64,15.135,0.789195164,203,12.937 +13.525,0.796681964,196,8.161,13.585,0.79392154,201,9.428,13.635,0.79106341,203,10.605,13.735,0.788302985,203,11.757 +12.885,0.796383913,196,7.09,12.885,0.793524796,202,8.143,12.815,0.79066568,204,9.115,12.735,0.78780755,204,10.092 +11.965,0.795788798,181,7.467,12.085,0.793029361,188,8.657,12.165,0.790269924,191,9.717,12.235,0.787410807,191,10.736 +11.165,0.795293363,181,8.012,11.285,0.792533925,185,9.438,11.585,0.78987318,187,10.733,11.935,0.787213422,187,11.965 +11.405,0.794998273,178,8.805,11.435,0.792238835,179,10.208,11.625,0.789479398,180,11.552,11.835,0.786719961,180,12.867 +11.645,0.79470417,180,9.598,11.585,0.791845053,181,10.979,11.665,0.789084629,181,12.361,11.735,0.786226499,181,13.769 +12.325,0.794903528,168,9.608,12.085,0.791847027,170,10.673,11.915,0.788889218,171,11.68,11.735,0.785931409,171,12.709 +13.045,0.791356526,188,13.466,12.685,0.788300025,188,13.964,12.385,0.785243523,188,14.324,12.035,0.782284727,188,14.691 +12.745,0.791454231,184,11.165,12.385,0.78839773,185,11.592,12.065,0.785340242,185,11.936,11.735,0.78228374,185,12.302 +13.445,0.789584012,188,11.294,13.085,0.786625216,188,11.691,12.755,0.783568715,189,12.005,12.435,0.780511226,189,12.362 +14.005,0.788600049,193,12.028,13.585,0.785542561,193,12.432,13.285,0.782584752,194,12.775,13.035,0.779626943,194,13.145 +13.845,0.788402665,196,11.829,13.485,0.785443869,196,12.214,13.185,0.782387367,196,12.528,12.935,0.779428571,196,12.887 +13.505,0.78879546,201,11.958,13.085,0.785737972,202,12.343,12.785,0.782681471,202,12.647,12.535,0.779723661,202,13.006 +12.445,0.787806563,210,9.817,12.085,0.784749075,210,10.139,11.785,0.781791266,210,10.427,11.535,0.778734764,210,10.736 +11.345,0.787211448,219,7.853,10.985,0.78415396,219,8.143,10.685,0.781196151,219,8.415,10.435,0.77813965,219,8.714 +10.245,0.788784604,233,6.088,9.885,0.78562941,233,6.364,9.615,0.782670614,233,6.629,9.335,0.779614113,233,6.919 +10.315,0.791841105,218,6.911,9.985,0.788783617,218,7.333,9.695,0.785727116,218,7.685,9.435,0.782769307,218,8.03 +10.385,0.794995312,232,7.724,10.085,0.791938811,233,8.311,9.785,0.788881322,233,8.74,9.535,0.785824821,233,9.13 +8.725,0.791539107,236,7.338,8.485,0.788581298,237,7.916,8.185,0.785524796,237,8.326,7.935,0.782468295,237,8.724 +7.425,0.793112263,239,6.445,7.185,0.790055761,240,7.105,6.915,0.78699926,241,7.655,6.635,0.783942758,241,8.208 +6.465,0.793996546,226,6.148,6.285,0.790940044,229,6.987,6.115,0.787982235,231,7.744,5.935,0.784925734,231,8.466 +5.905,0.796162842,203,5.949,5.785,0.793106341,211,6.839,5.705,0.790149519,214,7.507,5.635,0.78719171,214,8.099 +5.425,0.794879842,216,6.088,5.485,0.792021712,222,7.165,5.515,0.789063903,226,8,5.535,0.786205774,226,8.763 +5.005,0.794779176,208,6.148,5.185,0.791922033,219,7.303,5.315,0.789161609,224,8.148,5.435,0.786303479,224,8.882 +4.465,0.795468048,194,5.989,4.885,0.792807303,208,6.957,5.115,0.790048853,215,7.507,5.335,0.787289415,215,7.921 +4.005,0.795564767,201,6.019,4.785,0.793202073,214,7.056,5.065,0.790442635,221,7.428,5.335,0.787683198,221,7.603 +3.725,0.796056255,200,6.336,4.985,0.793990624,216,7.007,5.265,0.791232174,224,6.896,5.535,0.788571429,224,6.711 +3.525,0.796942512,203,6.296,5.385,0.795273624,223,6.463,5.615,0.792514187,233,6.096,5.835,0.789755736,233,5.869 +3.385,0.797632371,208,6.455,5.185,0.795864792,222,6.512,5.365,0.793006662,230,5.998,5.535,0.790247224,230,5.601 +3.245,0.798223538,206,6.604,4.985,0.796454972,221,6.552,5.115,0.793596842,229,5.889,5.235,0.790738712,229,5.333 +3.485,0.797829756,201,3.907,5.585,0.796260548,225,3.676,5.585,0.793401431,237,3.216,5.535,0.790444609,237,2.964 +5.065,0.795470022,186,2.568,5.785,0.793007649,232,2.362,5.735,0.790149519,250,2.18,5.735,0.78719171,250,2.25 +8.205,0.797649149,36,0.595,7.785,0.794493955,33,0.632,7.435,0.791436467,32,0.641,7.035,0.788281273,32,0.644 +9.865,0.794303479,78,0.843,9.385,0.791048606,81,0.919,9.035,0.787992105,82,0.947,8.735,0.784935603,82,0.962 +11.525,0.796773748,84,0.506,10.985,0.793617567,92,0.544,10.635,0.790560079,95,0.552,10.335,0.787404885,95,0.565 +13.065,0.795201579,6,0.615,12.585,0.792045398,1,0.642,12.235,0.788988897,359,0.641,11.835,0.785931409,359,0.634 +14.365,0.795402911,340,0.754,13.885,0.792246731,335,0.81,13.535,0.789190229,333,0.839,13.235,0.786231434,333,0.862 +14.985,0.793926474,354,1.864,14.685,0.790967678,352,1.858,14.365,0.787911177,352,1.835,14.035,0.784952381,352,1.824 +15.145,0.794715026,19,3.233,14.785,0.791658525,19,3.232,14.485,0.788699729,19,3.206,14.235,0.785643227,19,3.202 +15.045,0.797179373,46,4.591,14.685,0.794121885,47,4.625,14.385,0.791065384,47,4.636,14.035,0.788107575,47,4.649 +14.545,0.799346657,51,5.255,14.335,0.796388848,52,5.761,14.075,0.793430052,52,6.057,13.835,0.79037355,52,6.225 +14.045,0.801611646,52,5.92,13.985,0.798753516,53,6.888,13.765,0.795697015,53,7.478,13.535,0.792738219,53,7.802 +13.385,0.803088083,67,5.662,13.685,0.800428325,62,6.918,13.485,0.797469529,61,7.576,13.335,0.794414014,61,7.762 +13.045,0.806438687,103,5.424,13.585,0.803877622,85,6.423,13.385,0.800919812,82,6.925,13.235,0.797962003,82,7.028 +12.665,0.806141623,136,5.434,13.385,0.803680237,108,5.969,13.235,0.800722428,102,6.235,13.035,0.797764619,102,6.255 +12.185,0.807914138,145,5.92,13.385,0.805848507,116,6.325,13.235,0.802890698,110,6.373,13.035,0.799834197,110,6.354 +12.125,0.805548483,148,5.543,13.685,0.803681224,115,5.989,13.485,0.800624722,111,6.116,13.335,0.797666913,111,6.146 +10.805,0.806333087,160,6.574,13.985,0.805455712,122,7.165,13.735,0.80239921,120,7.369,13.535,0.799342709,120,7.445 +10.105,0.806132741,183,4.829,14.185,0.805851468,129,5.089,13.985,0.802893659,125,5.396,13.835,0.799837158,125,5.492 +9.465,0.805243523,190,4.234,13.785,0.805159635,138,3.805,13.735,0.802202813,130,4.015,13.735,0.799343696,130,4.243 +9.245,0.804552677,188,4.65,13.385,0.804370096,154,3.923,13.435,0.80151098,146,3.897,13.535,0.79865285,146,4.104 +9.425,0.80583469,186,5.374,13.685,0.80565211,164,4.783,13.665,0.802695287,159,4.676,13.635,0.799836171,159,4.748 +10.425,0.806528497,197,5.672,13.635,0.805750802,191,5.238,13.575,0.802792993,190,5.011,13.535,0.799836171,190,4.986 +11.425,0.80732001,200,5.969,13.585,0.805750802,195,5.692,13.485,0.802891685,196,5.357,13.335,0.799933876,196,5.224 +10.745,0.805839625,181,6.257,13.685,0.804863558,176,6.028,13.635,0.801906736,177,5.524,13.535,0.799047619,177,5.304 +11.105,0.800714532,188,6.614,13.685,0.799442388,183,7.748,13.705,0.796681964,184,7.764,13.735,0.793823834,184,7.544 +14.885,0.800826055,201,5.483,14.585,0.797769553,201,5.989,14.415,0.794811744,201,6.659,14.235,0.791853935,201,7.415 +18.045,0.800442142,204,6.891,17.685,0.79738564,204,7.056,17.365,0.794328152,204,7.182,17.035,0.791370343,204,7.336 +19.745,0.798673575,196,6.475,19.385,0.795616087,196,6.591,19.065,0.792658278,196,6.698,18.735,0.789699482,196,6.81 +20.905,0.796902048,199,7.893,20.485,0.793845547,199,8.034,20.165,0.790886751,199,8.129,19.835,0.787928942,199,8.248 +21.705,0.798580804,203,8.736,21.285,0.795523316,203,8.884,20.955,0.792565507,203,8.987,20.635,0.789606711,203,9.11 +22.045,0.797004688,199,8.954,21.685,0.794045892,199,9.121,21.385,0.791088083,199,9.224,21.035,0.788129287,199,9.348 +22.185,0.796906982,198,8.914,21.885,0.793948187,198,9.082,21.565,0.790990377,198,9.204,21.235,0.788130274,198,9.348 +21.945,0.797595855,198,9.271,21.585,0.794637059,198,9.536,21.285,0.79167925,198,9.717,21.035,0.788819146,198,9.923 +20.955,0.79956378,197,8.151,20.835,0.796704663,198,8.934,20.615,0.793746854,198,9.559,20.435,0.790887737,198,10.082 +19.965,0.801434,202,7.02,20.085,0.798674562,202,8.331,19.935,0.795815445,201,9.391,19.835,0.792956329,201,10.25 +18.885,0.804683938,204,6.624,19.485,0.802221564,204,8.094,19.435,0.799362448,203,9.135,19.435,0.796503331,203,9.844 +17.845,0.804778682,217,6.584,19.285,0.802811744,211,7.935,19.285,0.799953615,208,8.77,19.335,0.79719319,208,9.318 +16.485,0.804478658,191,6.961,18.585,0.802908463,189,8.37,18.735,0.800149025,187,9.303,18.835,0.797487293,187,10.092 +15.045,0.804967185,180,8.637,16.185,0.802801875,176,10.831,16.765,0.800339502,175,12.647,17.335,0.797877128,175,14.097 +15.345,0.803883543,179,10.233,15.885,0.801322477,177,12.037,16.185,0.798661732,176,13.712,16.535,0.796000987,176,15.356 +15.765,0.804673082,177,10.927,16.185,0.802013323,175,12.728,16.385,0.799352578,175,14.373,16.535,0.796691833,175,15.96 +16.045,0.804575376,179,11.899,16.285,0.801914631,179,13.697,16.385,0.799155194,178,15.36,16.535,0.796395756,178,16.971 +16.105,0.802801875,180,11.294,16.285,0.800042438,180,12.926,16.285,0.797282013,179,14.432,16.335,0.794423884,179,15.881 +16.165,0.802112016,181,10.58,16.285,0.799352578,180,12.155,16.285,0.796493462,179,13.594,16.335,0.793733037,179,14.989 +16.165,0.80329435,184,10.183,16.285,0.800534912,183,11.681,16.265,0.797675796,182,13.031,16.235,0.794817666,182,14.334 +16.015,0.802899581,183,9.658,16.135,0.800140143,181,11.118,16.135,0.797281026,180,12.43,16.135,0.794521589,180,13.69 +15.865,0.802504811,191,9.142,15.985,0.799745374,188,10.544,16.015,0.796887244,186,11.828,16.035,0.79412682,186,13.046 +16.245,0.801422156,187,10.977,16.185,0.79856304,186,12.363,16.115,0.795703923,185,13.633,16.035,0.792844806,185,14.9 +18.485,0.802021219,181,12.861,18.185,0.799062423,181,13.578,17.915,0.796005922,181,14.205,17.635,0.793047126,181,14.88 +20.145,0.796702689,185,13.723,19.785,0.79374488,185,14.191,19.455,0.790786084,185,14.551,19.135,0.787827288,185,14.949 +21.205,0.795819393,189,14.636,20.785,0.792761905,189,15.031,20.455,0.789803109,189,15.34,20.135,0.7868453,189,15.673 +22.245,0.796314829,192,15.399,21.885,0.793357019,192,15.831,21.565,0.790398224,192,16.149,21.235,0.787440415,192,16.486 +22.805,0.795232174,198,14.596,22.385,0.792175672,198,14.991,22.065,0.789315569,198,15.271,21.735,0.786356773,198,15.593 +23.045,0.795233161,200,14.755,22.685,0.792274365,200,15.14,22.335,0.789316556,200,15.419,22.035,0.786456452,200,15.712 +23.245,0.794938071,199,14.457,22.885,0.791979275,200,14.843,22.565,0.789021466,200,15.123,22.235,0.78606267,200,15.415 +23.145,0.794641994,204,13.852,22.785,0.791683198,204,14.25,22.455,0.788725389,204,14.541,22.135,0.785766593,204,14.85 +22.485,0.796118431,209,12.246,22.185,0.793160622,209,12.699,21.865,0.790300518,209,13.031,21.535,0.787342709,209,13.373 +21.155,0.797001727,205,10.848,21.035,0.79414261,205,11.71,20.795,0.791283494,205,12.42,20.535,0.78842339,205,13.076 +19.825,0.797885023,196,9.45,19.885,0.795124599,198,10.722,19.735,0.792265482,198,11.808,19.535,0.789406366,198,12.778 +18.125,0.79748532,203,10.521,18.185,0.794724895,204,12.056,18.135,0.791865778,204,13.446,18.035,0.789007649,204,14.771 +17.025,0.798566,206,11.363,17.085,0.795805576,207,12.985,17.105,0.793046139,207,14.501,17.135,0.790285714,207,16 +16.085,0.796492475,206,11.671,16.085,0.793634345,207,13.193,16.035,0.790775228,208,14.59,16.035,0.788014804,208,15.95 +15.045,0.797671848,207,11.254,14.985,0.794813718,209,12.639,14.865,0.791855909,209,13.87,14.735,0.788996792,209,15.068 +14.005,0.79520454,207,10.431,13.885,0.792246731,208,11.71,13.765,0.789387614,209,12.854,13.635,0.786528497,209,13.968 +13.145,0.796088823,204,9.251,13.085,0.793229706,206,10.446,12.935,0.790271897,207,11.522,12.835,0.787315075,207,12.57 +12.245,0.797366889,200,9.152,12.185,0.794508759,202,10.376,12.065,0.79155095,202,11.483,11.935,0.788691833,202,12.56 +11.205,0.795885517,194,8.865,11.085,0.792927708,196,10.1,10.985,0.790068591,197,11.206,10.835,0.787110782,197,12.292 +10.105,0.793909697,189,9.787,9.985,0.790952874,190,11.137,9.985,0.788093758,191,12.41,10.035,0.78533432,191,13.67 +9.265,0.794301505,185,9.876,9.085,0.791245004,186,11.246,9.205,0.788485566,186,12.588,9.335,0.785726129,186,13.968 +9.565,0.794006415,186,9.261,9.385,0.791048606,187,10.485,9.365,0.788091784,187,11.66,9.335,0.785232667,187,12.867 +9.865,0.793712312,197,8.647,9.685,0.790754503,197,9.724,9.515,0.787796694,197,10.733,9.335,0.784740192,197,11.757 +9.185,0.791343696,192,10.838,8.885,0.788287195,192,11.77,8.665,0.785230693,193,12.676,8.435,0.782272884,193,13.67 +10.045,0.788981002,197,13.961,9.685,0.7859245,197,14.448,9.385,0.782867012,197,14.807,9.035,0.779909203,197,15.197 +11.245,0.788196398,195,13.971,10.885,0.785139896,195,14.507,10.535,0.782082408,194,14.945,10.235,0.779025907,194,15.415 +11.845,0.788986923,208,14.338,11.485,0.785930422,208,14.774,11.135,0.782971626,208,15.113,10.835,0.779915125,208,15.484 +11.805,0.787311128,213,14.487,11.385,0.784155934,213,14.873,11.065,0.781197138,213,15.133,10.735,0.778140637,213,15.435 +11.505,0.788197385,216,13.585,11.085,0.785139896,216,13.914,10.755,0.782083395,216,14.136,10.435,0.779026894,216,14.414 +11.105,0.786323217,216,13.783,10.685,0.783265729,216,14.122,10.365,0.780209228,216,14.343,10.035,0.777250432,216,14.602 +10.605,0.785730076,223,13.406,10.185,0.782672588,223,13.726,9.865,0.779616087,223,13.949,9.535,0.776559585,223,14.196 +9.645,0.787698001,237,12.871,9.285,0.7846415,237,13.203,8.955,0.781584012,237,13.436,8.635,0.77852751,237,13.67 +8.545,0.788877375,243,11.909,8.185,0.785722181,243,12.264,7.885,0.78266568,243,12.509,7.535,0.779706884,243,12.758 +8.315,0.790946953,233,11.185,7.985,0.787889465,234,11.829,7.695,0.784832963,234,12.282,7.435,0.781776462,234,12.709 +8.085,0.793114236,245,10.471,7.785,0.790057735,245,11.384,7.515,0.787001234,245,12.045,7.235,0.783944732,245,12.659 +6.725,0.793207994,251,9.906,6.485,0.790151493,251,10.89,6.235,0.787094991,252,11.67,6.035,0.78403849,252,12.421 +5.825,0.791825315,269,10.56,5.585,0.788768813,270,11.562,5.315,0.785712312,270,12.331,5.035,0.782655811,270,13.036 +5.065,0.791625956,271,8.597,4.885,0.788668147,273,9.447,4.615,0.785512953,273,10.131,4.335,0.782455465,273,10.786 +4.465,0.792313842,280,8.756,4.285,0.789356033,283,9.675,4.035,0.786200839,283,10.388,3.835,0.783144338,283,11.053 +3.525,0.792704663,300,8.756,3.285,0.78954947,301,9.665,3.035,0.786492968,302,10.358,2.835,0.783436467,302,11.004 +2.525,0.792405625,309,9.003,2.285,0.789348137,310,9.902,1.985,0.786192943,310,10.545,1.735,0.78303775,310,11.133 +1.625,0.793978781,311,8.746,1.385,0.79092228,312,9.645,1.115,0.787767086,312,10.309,0.835,0.784611892,312,10.934 +1.125,0.7940755,309,7.863,0.885,0.790920306,310,8.746,0.635,0.787765112,311,9.441,0.435,0.784709598,311,10.111 +0.825,0.794173205,307,7.833,0.585,0.791018011,309,8.775,0.355,0.78796151,310,9.529,0.135,0.784806316,310,10.27 +0.465,0.796241796,310,8.18,0.285,0.793185295,312,9.22,0.065,0.790031088,313,10.042,-0.165,0.786974587,313,10.825 +0.245,0.795452258,311,7.586,0.035,0.792298051,312,8.538,-0.195,0.789241549,313,9.312,-0.465,0.786086356,313,10.062 +0.025,0.794663706,315,6.981,-0.215,0.791508512,316,7.866,-0.445,0.788353319,317,8.582,-0.665,0.785296817,317,9.289 +-0.115,0.795648655,317,7.189,-0.415,0.792493462,318,7.916,-0.695,0.789239576,319,8.642,-0.965,0.786084382,319,9.398 +0.545,0.791806563,331,7.873,0.185,0.788552677,331,8.232,-0.135,0.785397483,331,8.533,-0.465,0.782241303,331,8.872 +1.545,0.793486306,333,7.229,1.185,0.790231434,333,7.372,0.865,0.78707624,333,7.487,0.535,0.783921046,333,7.633 +2.545,0.791320997,327,6.217,2.185,0.788165803,327,6.305,1.865,0.785009623,327,6.373,1.535,0.781854429,327,6.463 +3.605,0.791620035,317,7.268,3.185,0.788464841,317,7.372,2.865,0.785309647,317,7.438,2.535,0.782153467,317,7.544 +4.505,0.792510239,322,8.498,4.085,0.789355046,322,8.627,3.755,0.786199852,322,8.711,3.435,0.783043671,322,8.823 +5.305,0.793893906,327,9.479,4.885,0.790639033,327,9.655,4.535,0.787483839,327,9.776,4.235,0.784328645,327,9.933 +5.945,0.79350111,333,9.539,5.585,0.790345917,334,9.724,5.235,0.787190723,334,9.855,4.835,0.784034542,334,10.032 +6.445,0.793995559,338,9.826,6.085,0.790939057,338,10.05,5.755,0.787783864,338,10.21,5.435,0.78462867,338,10.399 +6.745,0.797348137,344,10.302,6.385,0.794192943,344,10.633,6.085,0.791136442,344,10.871,5.835,0.787981248,344,11.133 +6.025,0.799711818,347,8.746,5.785,0.796556625,347,9.457,5.535,0.793500123,347,10.003,5.335,0.790443622,347,10.528 +5.305,0.80197582,346,7.179,5.185,0.799018998,346,8.271,4.985,0.795863805,346,9.145,4.835,0.792807303,346,9.913 +4.985,0.801186282,346,7.001,4.985,0.79822946,347,8.034,4.835,0.795172958,348,8.849,4.735,0.792117444,348,9.606 +4.545,0.805226746,344,6.574,4.785,0.802368616,348,7.797,4.685,0.799410807,350,8.73,4.535,0.796355292,350,9.546 +3.925,0.806505798,8,7.655,4.285,0.803747348,12,9.092,4.205,0.800789539,14,10.092,4.135,0.797734024,14,10.875 +3.025,0.805319516,22,8.438,3.385,0.802659758,26,10.04,3.335,0.799603257,27,11.226,3.335,0.796646435,27,12.163 +2.205,0.805810017,33,8.736,2.385,0.802951887,35,10.228,2.335,0.799895386,35,11.463,2.335,0.796938564,35,12.55 +1.505,0.805313595,31,8.29,1.685,0.802456452,32,9.635,1.615,0.799399951,32,10.743,1.535,0.796443129,32,11.737 +0.825,0.807184801,29,9.41,0.885,0.804129287,30,10.772,0.835,0.801171478,30,11.877,0.835,0.798115963,30,12.897 +0.225,0.806393289,26,8.785,0.285,0.803436467,28,10.08,0.235,0.800380952,28,11.137,0.235,0.797325438,28,12.124 +-0.195,0.805702443,17,7.754,-0.015,0.802844313,21,8.963,-0.085,0.799788798,22,9.904,-0.165,0.796732297,22,10.756 +-0.555,0.807376264,15,6.941,-0.315,0.804519122,20,8.123,-0.385,0.80146262,22,8.967,-0.465,0.798407106,22,9.685 +-0.035,0.807674315,10,5.86,0.235,0.804816186,17,6.848,0.075,0.801760671,19,7.428,-0.065,0.798605477,19,7.851 +0.485,0.807971379,4,4.789,0.785,0.805212929,17,5.583,0.535,0.802057735,20,5.889,0.335,0.798803849,20,6.027 +0.345,0.808070072,347,4.65,0.585,0.805211942,12,4.971,0.335,0.802056748,16,5.041,0.035,0.798802862,16,5.056 +0.245,0.804027634,350,3.233,0.185,0.800972119,3,3.953,-0.045,0.797816926,8,4.163,-0.265,0.794661732,8,4.104 +1.645,0.802948927,27,2.33,1.285,0.799695041,28,2.362,0.935,0.796441155,28,2.397,0.535,0.793284974,28,2.439 +3.605,0.802857143,69,3.203,3.185,0.799603257,69,3.251,2.865,0.796448063,70,3.305,2.535,0.79319319,70,3.361 +5.505,0.800498396,68,3.431,5.085,0.79724451,68,3.479,4.755,0.79408833,68,3.532,4.435,0.790933136,68,3.589 +7.405,0.801983716,56,3.768,6.985,0.79872983,57,3.815,6.635,0.795573649,57,3.867,6.335,0.792517148,57,3.926 +8.945,0.802482112,53,4.303,8.585,0.799325931,53,4.368,8.255,0.79626943,54,4.41,7.935,0.793114236,54,4.491 +10.145,0.802584752,34,4.72,9.785,0.799428571,34,4.783,9.455,0.796273378,34,4.824,9.135,0.793216876,34,4.887 +10.845,0.801896867,29,5.255,10.485,0.798741673,29,5.336,10.165,0.795684185,29,5.386,9.835,0.792627683,29,5.462 +11.085,0.803672341,21,6.287,10.785,0.800614853,22,6.404,10.455,0.797558352,22,6.501,10.135,0.794403158,22,6.612 +10.745,0.806135702,9,5.999,10.685,0.803177893,9,6.532,10.415,0.800022699,10,6.797,10.135,0.796966198,10,6.919 +10.405,0.80850037,8,5.721,10.585,0.80564224,10,6.671,10.355,0.802585739,11,7.083,10.135,0.799528251,11,7.237 +10.005,0.810962744,3,6.594,10.485,0.808401678,11,7.698,10.285,0.805345176,13,8.089,10.035,0.802288675,13,8.139 +9.525,0.811158154,9,6.435,9.885,0.808399704,20,7.352,9.735,0.805441895,23,7.872,9.535,0.802385394,23,8.218 +8.745,0.810662719,7,5.959,8.985,0.807804589,22,6.453,9.035,0.804946459,26,6.767,9.035,0.80208833,26,7.098 +8.005,0.812730323,11,5.523,8.185,0.809872193,30,5.84,8.365,0.807112756,36,6.185,8.535,0.804254626,36,6.691 +6.965,0.808981002,1,4.849,7.385,0.806321244,31,5.03,7.635,0.803561806,39,5.406,7.935,0.800802369,39,5.988 +6.425,0.810457439,6,4.214,6.785,0.807698988,43,4.338,6.985,0.804939551,54,4.903,7.235,0.802081421,54,5.769 +5.885,0.811835184,18,4.72,6.485,0.809275105,54,5.109,6.685,0.806416975,64,5.968,6.935,0.803558845,64,7.038 +4.945,0.811241056,32,5.483,5.785,0.808878362,65,6.344,6.115,0.806118924,72,7.645,6.435,0.803360474,72,9.09 +4.325,0.811238095,57,5.265,4.985,0.808678016,83,6.641,5.315,0.805919566,87,8.346,5.635,0.803258821,87,10.062 +4.145,0.812026647,63,5.087,4.685,0.809366889,86,6.473,5.015,0.806608438,90,8.119,5.335,0.803849001,90,9.774 +3.705,0.811334814,6,5.761,4.485,0.808873427,31,6.631,4.695,0.80611399,39,7.359,4.935,0.803256847,39,8.139 +3.265,0.810741673,1,6.425,4.285,0.808478658,21,6.799,4.385,0.805521836,27,6.609,4.535,0.802663706,27,6.503 +2.945,0.809853442,4,4.809,3.485,0.807193684,31,5.356,3.635,0.804336541,38,5.495,3.835,0.801478411,38,5.541 +2.825,0.805811991,352,4.085,2.885,0.802855169,12,4.862,3.265,0.800194424,20,5.09,3.635,0.797435973,20,5.016 +4.245,0.803549963,351,3.044,3.885,0.800296077,353,3.152,3.915,0.797338268,355,3.216,3.935,0.794480138,355,3.261 +7.005,0.802967678,16,3.609,6.585,0.799713792,17,3.696,6.255,0.796657291,18,3.749,5.935,0.79350111,18,3.826 +9.305,0.80139847,33,3.887,8.885,0.798243277,34,3.953,8.565,0.795087096,34,4.025,8.235,0.792030595,34,4.114 +11.405,0.802194917,53,3.917,10.985,0.799038737,53,3.992,10.665,0.795883543,54,4.045,10.335,0.792826055,54,4.124 +13.305,0.801313595,66,3.322,12.885,0.798158401,67,3.37,12.565,0.795100913,67,3.403,12.235,0.792044412,67,3.46 +14.805,0.801219837,34,3.183,14.385,0.798064643,35,3.222,14.065,0.795105848,35,3.236,13.735,0.792049346,35,3.271 +15.645,0.803194671,19,3.52,15.285,0.80003849,20,3.568,14.955,0.797080681,20,3.591,14.635,0.794023193,20,3.628 +15.985,0.804082902,3,3.203,15.685,0.8010264,3,3.251,15.385,0.797968912,4,3.265,15.035,0.795011103,4,3.301 +16.115,0.807335801,330,4.7,16.085,0.804477671,333,4.961,15.835,0.801518875,334,4.942,15.535,0.798462374,334,4.867 +16.245,0.810688379,317,6.187,16.485,0.807928942,325,6.671,16.285,0.804971132,327,6.619,16.035,0.802013323,327,6.424 +15.065,0.80920602,301,6.505,15.785,0.806743647,316,7.007,15.705,0.80388453,319,6.826,15.635,0.801025413,319,6.404 +13.805,0.812750062,291,7.447,15.185,0.810783124,311,7.847,15.265,0.807924994,314,7.399,15.335,0.805066864,314,6.751 +12.925,0.811958549,284,7.248,14.485,0.81009129,309,7.827,14.635,0.807233161,314,7.645,14.835,0.804473723,314,7.128 +12.225,0.811759191,292,7.467,13.485,0.80969356,316,8.627,13.635,0.806835431,321,9.026,13.835,0.804075993,321,8.882 +11.725,0.811954602,288,6.634,12.385,0.809393536,312,7.619,12.585,0.806634098,318,8.089,12.835,0.803874661,318,8.119 +11.425,0.813037256,280,5.731,11.785,0.810377498,305,6.186,11.955,0.807618061,312,6.274,12.135,0.804759931,312,6.077 +10.805,0.812740192,264,6.138,11.585,0.810376511,290,6.038,11.855,0.807618061,296,5.426,12.135,0.804858623,296,4.58 +10.205,0.811357513,251,6.564,11.585,0.809292869,278,6.236,11.985,0.806632124,280,5.564,12.335,0.804071058,280,4.927 +9.705,0.811454231,253,6.594,11.385,0.809685665,280,6.483,11.685,0.806927214,283,6.008,12.035,0.804266469,283,5.512 +9.065,0.812339502,257,6.128,10.385,0.810273871,288,6.315,10.635,0.807515421,292,6.027,10.935,0.804755983,292,5.551 +8.635,0.811845053,264,5.672,9.535,0.809482359,283,5.959,9.795,0.806723908,287,5.692,10.035,0.803964471,287,5.195 +8.205,0.811251912,284,5.226,8.685,0.808690846,305,5.603,8.955,0.805932396,307,5.347,9.235,0.803172958,307,4.838 +7.025,0.810952874,296,5.85,7.385,0.808193437,310,6.028,7.935,0.805632371,312,5.574,8.535,0.803072292,312,4.957 +6.065,0.806710091,297,6.138,6.185,0.803851962,304,7.471,6.955,0.801489267,306,7.645,7.735,0.799027881,306,7.088 +7.605,0.804350358,307,4.621,7.185,0.801194177,307,4.991,7.365,0.798336047,306,5.465,7.535,0.79557661,306,6.047 +9.145,0.804157908,335,3.639,8.785,0.801002714,334,3.726,8.455,0.79784752,334,3.798,8.135,0.794692327,334,3.886 +11.405,0.800715519,339,2.608,10.985,0.797560326,339,2.639,10.635,0.794405132,339,2.644,10.335,0.791347644,339,2.667 +13.645,0.802201826,355,2.429,13.285,0.799145324,355,2.441,12.955,0.796087836,355,2.437,12.635,0.793031335,355,2.439 +14.945,0.801811991,8,2.796,14.585,0.798656797,8,2.816,14.255,0.795698001,9,2.831,13.935,0.7926415,9,2.855 +16.045,0.80142117,18,3.728,15.685,0.798364668,18,3.775,15.365,0.79530718,18,3.798,15.035,0.792349371,18,3.836 +16.645,0.802901554,19,3.927,16.285,0.799845053,19,3.973,15.985,0.796788552,20,4.005,15.735,0.793829756,20,4.054 +16.985,0.80369208,14,3.827,16.685,0.800634592,14,3.894,16.385,0.79757809,14,3.946,16.035,0.794619294,14,4.015 +17.895,0.80655317,12,4.66,17.835,0.803694054,16,4.961,17.545,0.800736245,17,5.09,17.235,0.797679743,17,5.135 +18.805,0.80951394,14,5.493,18.985,0.806754503,22,6.028,18.715,0.803795707,24,6.225,18.435,0.800837898,24,6.255 +18.605,0.81237207,10,4.988,18.785,0.809710338,24,5.406,18.565,0.806653837,28,5.603,18.335,0.803696028,28,5.581 +17.845,0.812862571,15,5.702,18.085,0.810201826,29,6.433,17.955,0.807244017,33,6.836,17.835,0.8043849,33,6.84 +16.285,0.813743893,19,6.068,16.285,0.810885764,34,6.582,16.415,0.808125339,39,7.261,16.535,0.805365902,39,8.119 +14.745,0.814133728,41,4.581,14.685,0.811175919,57,5.247,14.815,0.808416482,61,5.958,14.935,0.805656057,61,6.672 +13.565,0.813045152,115,1.299,13.685,0.810285714,113,2.095,13.585,0.807327905,114,2.516,13.535,0.804370096,114,2.667 +12.945,0.81383173,219,3.312,13.485,0.811270664,202,3.755,13.465,0.808312855,200,4.173,13.435,0.805454725,200,4.54 +12.385,0.812450037,242,4.383,13.585,0.81038342,229,4.645,13.735,0.80752529,226,5.001,13.835,0.804765852,226,5.363 +11.325,0.811066371,262,3.183,12.585,0.808999753,266,3.054,12.935,0.806339995,261,3.019,13.335,0.80367925,261,3.073 +10.085,0.810864051,266,1.973,10.985,0.808502344,305,1.868,11.485,0.805940291,310,1.638,12.035,0.803379225,310,1.259 +9.405,0.811650629,294,1.259,10.185,0.809189243,22,1.552,10.705,0.806628177,36,2.062,11.235,0.804067111,36,2.498 +8.775,0.811648655,332,2.35,9.435,0.809186282,24,2.678,9.975,0.806625216,40,3.265,10.535,0.80406415,40,3.876 +8.145,0.811745374,357,3.441,8.685,0.809184308,46,3.805,9.235,0.80652455,58,4.479,9.835,0.804062176,58,5.254 +7.225,0.807601283,33,1.983,7.585,0.804842832,86,3.083,8.385,0.802480138,93,4.222,9.235,0.800117444,93,5.254 +6.405,0.805134962,5,1.785,6.585,0.802375524,60,2.214,7.535,0.80001283,76,3.167,8.535,0.797748828,76,4.491 +9.005,0.804650382,333,1.408,8.585,0.801495189,335,1.354,8.585,0.79853738,336,1.204,8.535,0.79567925,336,0.932 +12.305,0.8051547,6,1.368,11.885,0.80199852,6,1.344,11.565,0.798843326,6,1.292,11.235,0.795786825,6,1.239 +14.405,0.803288428,17,2.082,13.985,0.800133235,17,2.065,13.665,0.797076733,18,2.042,13.335,0.794019245,18,2.012 +15.845,0.803293363,24,3.183,15.485,0.800236862,25,3.212,15.165,0.797179373,25,3.216,14.835,0.794122872,25,3.232 +17.305,0.802312361,30,3.461,16.885,0.79925586,31,3.488,16.565,0.796198372,31,3.512,16.235,0.793240563,31,3.549 +18.345,0.803203553,44,4.313,17.985,0.800146065,44,4.368,17.665,0.797188256,44,4.41,17.335,0.794130767,44,4.461 +18.885,0.803599309,45,4.442,18.585,0.8006415,46,4.506,18.255,0.797584012,46,4.548,17.935,0.794626203,46,4.61 +19.085,0.805078707,43,4.859,18.785,0.802119911,43,4.941,18.485,0.79906341,43,5.011,18.235,0.796105601,43,5.085 +18.975,0.806950901,27,4.958,18.885,0.803994078,31,5.386,18.635,0.801035283,32,5.692,18.335,0.798077473,32,5.918 +18.865,0.808725389,28,5.057,18.985,0.805964964,35,5.821,18.785,0.803007155,38,6.373,18.535,0.800148038,38,6.741 +18.525,0.810695287,32,4.769,18.585,0.80793585,43,5.445,18.435,0.804978041,47,6.057,18.335,0.802020232,47,6.622 +17.925,0.81167925,52,5.146,17.985,0.80882112,64,5.949,17.915,0.805962003,67,6.698,17.835,0.803102887,67,7.415 +16.825,0.812267456,52,3.352,16.885,0.80940834,74,3.884,16.835,0.80655021,80,4.419,16.835,0.803691093,80,4.957 +14.825,0.812655317,209,2.41,15.185,0.809994572,186,3.054,15.135,0.807135455,185,3.611,15.035,0.804276339,185,4.094 +11.865,0.809490254,210,7.843,13.785,0.807821367,208,8.855,13.705,0.804863558,207,9.372,13.635,0.802004441,207,9.784 +10.385,0.809880089,211,9.499,12.185,0.808111522,206,11.098,12.365,0.805352085,204,11.917,12.535,0.802592647,204,12.352 +9.605,0.809581051,203,8.914,10.685,0.807318036,194,10.495,11.585,0.804955342,190,11.571,12.535,0.80269134,190,12.273 +9.665,0.809483346,199,8.081,11.585,0.807813472,184,9.536,12.435,0.805450777,179,9.825,13.335,0.803088083,179,9.249 +9.725,0.809088576,193,7.357,12.185,0.80771774,175,7.827,12.915,0.805255366,168,7.497,13.635,0.802891685,168,6.781 +9.505,0.810862077,190,6.059,12.085,0.809589933,164,5.742,12.565,0.807028868,151,5.278,13.035,0.804466815,151,4.937 +9.755,0.810173205,189,4.125,11.435,0.808305946,157,3.815,11.695,0.805547496,142,3.749,11.935,0.802886751,142,3.846 +10.005,0.80938564,199,2.181,10.785,0.807022946,139,1.888,10.835,0.804065137,118,2.22,10.935,0.801207007,118,2.756 +10.305,0.809682704,219,0.783,10.485,0.806824574,100,0.712,10.615,0.804065137,87,1.401,10.735,0.801207007,87,2.221 +10.105,0.805640266,267,0.466,9.985,0.802682457,59,0.8,10.215,0.79992302,66,1.529,10.435,0.797163583,66,2.419 +11.305,0.802490007,227,0.853,10.885,0.799333827,220,0.84,10.815,0.796377005,214,0.75,10.735,0.793517888,214,0.585 +14.465,0.804176659,62,0.942,13.985,0.801020479,66,0.968,13.635,0.79796299,68,0.977,13.335,0.794807797,68,0.981 +16.465,0.802802862,57,0.813,15.985,0.799646681,60,0.86,15.635,0.79659018,61,0.868,15.335,0.793532692,61,0.853 +17.605,0.801919566,54,1.398,17.185,0.798763385,56,1.482,16.865,0.795805576,56,1.519,16.535,0.792748088,56,1.546 +18.145,0.800836911,39,2.538,17.785,0.797779423,39,2.53,17.455,0.794722921,39,2.496,17.135,0.791764125,39,2.478 +18.445,0.80123168,45,3.292,18.085,0.798175179,45,3.301,17.785,0.795216383,45,3.285,17.535,0.792159882,45,3.291 +18.845,0.800937577,37,3.659,18.485,0.797978781,37,3.676,18.165,0.79492228,37,3.67,17.835,0.791963484,37,3.688 +18.845,0.802120898,42,4.085,18.485,0.79906341,42,4.131,18.185,0.796105601,42,4.143,17.935,0.793048113,42,4.173 +18.705,0.804091784,48,4.343,18.585,0.801133975,46,4.496,18.295,0.798176166,46,4.488,18.035,0.79521737,46,4.461 +18.565,0.80606267,52,4.601,18.685,0.803303232,48,4.872,18.415,0.800344436,48,4.824,18.135,0.797287935,48,4.748 +18.425,0.808428325,76,3.708,18.485,0.805569208,65,4.042,18.235,0.802611399,63,4.133,18.035,0.79965359,63,4.114 +17.285,0.81039625,186,3.312,17.885,0.807933876,153,2.767,17.735,0.804976067,140,2.624,17.535,0.802115963,140,2.627 +14.645,0.809302739,216,7.754,16.985,0.807930915,213,7.56,16.865,0.804973106,211,6.876,16.735,0.80211399,211,6.325 +13.185,0.809495189,213,8.379,16.485,0.808717493,219,9.21,16.365,0.805759684,218,9.332,16.235,0.802900567,218,9.447 +12.285,0.808604984,206,8.329,15.885,0.807926968,208,9.2,15.885,0.805067851,208,9.411,15.935,0.802209721,208,9.536 +11.385,0.809685665,199,8.746,15.285,0.809304713,194,9.803,15.415,0.806545275,195,9.786,15.535,0.803785838,195,9.457 +10.725,0.808007895,200,9.103,15.285,0.808023686,192,9.813,15.435,0.805264249,194,9.243,15.535,0.802503824,194,8.565 +10.265,0.807710831,201,9.489,15.485,0.808122378,196,9.833,15.615,0.805264249,200,9.007,15.735,0.802504811,200,8.278 +9.945,0.807216383,205,9.291,15.585,0.807925981,202,9.517,15.705,0.805166543,206,8.681,15.835,0.802406119,206,7.95 +9.785,0.808694794,206,9.341,15.485,0.809404392,205,9.517,15.635,0.806644954,209,8.642,15.835,0.803885517,209,7.871 +10.205,0.807710831,212,8.428,15.185,0.808022699,211,8.341,15.285,0.805164569,215,7.468,15.435,0.802405132,215,6.642 +10.625,0.806725882,216,7.506,14.885,0.806543301,219,7.165,14.935,0.803685171,225,6.284,15.035,0.800924747,225,5.423 +10.825,0.808303972,218,6.376,15.085,0.808121392,229,6.048,15.035,0.805163583,234,5.386,15.035,0.802305453,234,4.719 +11.545,0.806236368,214,3.282,15.085,0.805558352,253,2.579,14.915,0.802600543,269,2.318,14.735,0.799642734,269,2.29 +12.845,0.801213916,227,2.578,14.585,0.799445349,271,2.372,14.415,0.79648754,284,2.249,14.235,0.793529731,284,2.24 +15.745,0.80388453,333,1.051,15.385,0.800828029,331,1.067,15.065,0.79777054,329,1.085,14.735,0.794812731,329,1.11 +16.945,0.800832963,320,1.23,16.585,0.797775475,317,1.235,16.255,0.794817666,316,1.243,15.935,0.791760178,316,1.249 +17.645,0.799947693,7,2.092,17.285,0.796891192,7,2.085,16.955,0.793932396,6,2.062,16.635,0.790875894,6,2.052 +18.345,0.799555885,29,2.479,17.985,0.796498396,29,2.471,17.665,0.793540587,29,2.446,17.335,0.790581791,29,2.429 +18.945,0.798769307,43,2.846,18.585,0.795711818,44,2.836,18.255,0.792754009,44,2.811,17.935,0.789696521,44,2.796 +19.245,0.799262768,41,3.361,18.885,0.796206267,41,3.37,18.565,0.793247471,41,3.354,18.235,0.790289662,41,3.361 +19.345,0.800248705,53,2.707,18.985,0.797192203,53,2.718,18.685,0.794233407,53,2.703,18.435,0.791275598,53,2.696 +18.825,0.802218604,31,2.261,18.585,0.799260794,32,2.5,18.325,0.796302985,32,2.644,18.035,0.793344189,32,2.696 +18.305,0.804188502,74,1.824,18.185,0.801329386,61,2.273,17.955,0.798371577,59,2.585,17.735,0.795413768,59,2.686 +17.265,0.807142364,213,2.955,17.385,0.804382926,219,2.53,17.205,0.801425117,220,2.141,17.035,0.798467308,220,1.854 +14.925,0.807036763,241,7.298,16.485,0.805168517,256,7.866,16.415,0.8023094,258,7.981,16.335,0.799352578,258,8.03 +13.545,0.808215149,240,8.151,15.585,0.806643967,258,9.813,15.635,0.803785838,261,10.516,15.735,0.8010264,261,10.528 +12.665,0.808310881,237,9.311,15.185,0.80703775,252,11.019,15.265,0.804178633,256,11.512,15.335,0.801419196,256,11.251 +11.865,0.806632124,238,9.896,14.685,0.805557365,252,11.592,14.815,0.802797927,255,12.084,14.935,0.799938811,255,11.886 +11.385,0.80732001,240,9.598,14.085,0.806146558,252,11.72,14.315,0.803485813,256,12.578,14.535,0.800726376,256,12.411 +11.185,0.807221318,243,8.795,13.285,0.805651123,256,10.89,13.635,0.802990377,260,11.907,14.035,0.800429312,260,11.955 +10.965,0.807220331,230,8.528,13.485,0.8059472,254,10.04,13.735,0.803286454,259,10.674,14.035,0.800528004,259,10.667 +10.585,0.807909203,226,8.299,13.585,0.806933136,254,9.398,13.785,0.804173698,260,9.845,14.035,0.801415248,260,9.903 +10.065,0.807907229,220,7.992,13.485,0.807130521,253,8.706,13.685,0.804371083,259,8.987,13.935,0.801611646,259,9.09 +10.115,0.807118678,220,7.04,13.085,0.806143597,242,7.59,13.245,0.80338416,247,7.744,13.435,0.800623736,247,7.693 +10.165,0.806428818,221,6.088,12.685,0.805155687,255,6.473,12.815,0.802297557,261,6.511,12.935,0.79953812,261,6.295 +10.245,0.805738959,206,4.849,12.885,0.804565507,253,4.319,12.935,0.80170639,265,4.123,13.035,0.798848261,265,3.936 +10.405,0.803472983,210,4.323,12.985,0.802298544,257,3.963,12.965,0.799340735,268,3.758,12.935,0.796482605,268,3.608 +12.425,0.80042339,211,3.411,13.385,0.798159388,242,3.528,13.265,0.795300271,250,3.226,13.135,0.792342462,250,3.043 +15.145,0.801220824,297,1.448,14.785,0.798164323,294,1.463,14.455,0.795107821,293,1.48,14.135,0.792149025,293,1.507 +16.445,0.799352578,244,1.517,16.085,0.79629509,242,1.571,15.785,0.793337281,241,1.628,15.535,0.79028078,241,1.695 +17.845,0.798470269,240,1.815,17.485,0.795412781,237,1.878,17.165,0.792454972,237,1.943,16.835,0.789397483,237,2.012 +18.805,0.799163089,224,3.52,18.385,0.796105601,224,3.627,18.085,0.793049099,223,3.719,17.835,0.79009129,223,3.807 +19.445,0.797291883,231,5.642,19.085,0.794235381,231,5.771,18.755,0.791276585,231,5.84,18.435,0.788318776,231,5.938 +19.345,0.798572909,237,5.454,18.985,0.795516408,237,5.564,18.685,0.792557612,237,5.633,18.435,0.789599803,237,5.72 +19.045,0.799656551,231,5.533,18.685,0.796600049,231,5.643,18.385,0.793641253,231,5.712,18.035,0.790683444,231,5.789 +18.015,0.802019245,201,6.971,17.835,0.799061436,202,7.54,17.615,0.796103627,203,7.971,17.435,0.79324451,203,8.337 +16.985,0.804381939,207,8.409,16.985,0.801522823,208,9.447,16.835,0.798565014,208,10.23,16.735,0.795705897,208,10.885 +15.425,0.805264249,218,8.299,15.785,0.802702196,221,9.803,15.815,0.799843079,222,10.851,15.835,0.797083642,222,11.569 +14.005,0.80654034,236,8.2,14.485,0.803979275,241,9.912,14.665,0.801219837,244,11.295,14.835,0.798559092,244,12.411 +12.885,0.807423637,242,7.655,13.485,0.804961263,251,9.438,13.785,0.802301505,253,10.703,14.035,0.79964076,253,11.489 +12.145,0.804957316,242,8.131,13.285,0.802792006,255,10.208,13.565,0.800033555,258,11.295,13.835,0.79737281,258,11.509 +11.705,0.807617074,248,7.288,12.785,0.805451764,261,9.062,13.135,0.802792006,265,10.161,13.535,0.800131261,265,10.637 +11.225,0.805348137,244,7.338,12.785,0.803480878,264,9.013,13.065,0.800820133,269,9.914,13.335,0.798060696,269,10.131 +10.745,0.807712805,236,6.971,12.485,0.805845547,261,8.173,12.785,0.803184801,268,8.878,13.035,0.800524056,268,9.12 +10.205,0.807118678,226,6.485,12.185,0.80544979,259,7.165,12.485,0.802790032,268,7.626,12.835,0.800030595,268,7.901 +9.525,0.805342216,211,6.396,11.985,0.803970392,249,6.394,12.215,0.801211942,258,6.412,12.435,0.798551197,258,6.553 +8.845,0.807016038,205,6.019,11.785,0.805941278,241,5.643,11.955,0.803181841,252,5.406,12.135,0.800422403,252,5.413 +8.745,0.806325191,214,5.751,11.235,0.804954355,230,5.643,11.315,0.80219393,234,5.524,11.335,0.799335801,234,5.561 +8.645,0.805635332,196,5.483,10.685,0.803966445,216,5.633,10.665,0.801107328,221,5.643,10.635,0.798150506,221,5.71 +7.985,0.804548729,191,6.584,10.685,0.803375278,199,6.957,10.665,0.800516161,202,6.787,10.635,0.797559339,202,6.691 +8.645,0.801495189,198,5.414,9.485,0.799132494,200,7.362,9.765,0.796471749,202,8.365,10.035,0.793712312,202,8.476 +11.445,0.800124352,194,4.75,11.085,0.797067851,196,5.168,10.885,0.79401135,197,5.653,10.735,0.791053541,197,6.235 +14.205,0.802006415,232,4.601,13.785,0.798949914,232,4.694,13.485,0.795892425,232,4.775,13.235,0.792835924,232,4.887 +15.705,0.799350604,242,4.948,15.285,0.796194424,242,5.06,14.955,0.793235628,242,5.14,14.635,0.790179127,242,5.234 +16.705,0.798663706,245,4.998,16.285,0.795606218,245,5.089,15.955,0.792549716,245,5.169,15.635,0.78959092,245,5.254 +17.305,0.797877128,246,5.622,16.885,0.79481964,246,5.742,16.565,0.791860844,246,5.82,16.235,0.788804342,246,5.908 +17.705,0.79738564,237,5.989,17.285,0.794328152,237,6.107,16.955,0.791270664,238,6.175,16.635,0.788312855,238,6.255 +17.745,0.799455218,233,5.751,17.385,0.796398717,233,5.85,17.065,0.793439921,233,5.909,16.735,0.79038342,233,5.988 +17.485,0.799355539,228,6.336,17.185,0.79639773,228,6.453,16.865,0.793341229,228,6.521,16.535,0.790382433,228,6.602 +16.265,0.801520849,217,6.604,16.085,0.79856304,218,7.184,15.825,0.795604244,219,7.616,15.535,0.792547742,219,7.97 +15.045,0.803685171,215,6.872,14.985,0.800728349,216,7.906,14.785,0.797769553,217,8.711,14.535,0.794811744,217,9.348 +13.505,0.803581545,208,6.733,13.685,0.800822107,216,7.985,13.615,0.797864298,218,8.908,13.535,0.795006168,218,9.606 +12.025,0.807026894,215,6.673,12.385,0.804366149,224,8.202,12.415,0.801508019,227,9.372,12.435,0.798648902,227,10.25 +10.865,0.804657291,210,6.346,11.585,0.802194917,226,7.718,11.685,0.79943548,230,8.592,11.835,0.79657735,230,9.1 +9.945,0.804358253,205,6.465,11.385,0.802391315,225,7.57,11.485,0.799631878,229,8.02,11.535,0.796773748,229,8.178 +9.065,0.804651369,212,6.128,10.985,0.802981495,230,6.888,11.115,0.800222058,234,7.063,11.235,0.79746262,234,7.157 +8.045,0.803365408,206,6.257,10.385,0.801993585,227,6.582,10.515,0.799234148,232,6.461,10.635,0.796376018,232,6.493 +7.385,0.804743153,198,6.068,9.485,0.803173945,215,6.601,9.685,0.800414508,220,6.491,9.935,0.79765507,220,6.453 +7.605,0.805040217,191,4.621,9.585,0.80337133,205,4.862,9.735,0.800611892,212,4.538,9.835,0.797753763,212,4.283 +7.505,0.804054281,181,3.917,9.485,0.802484086,190,3.39,9.615,0.799625956,198,2.545,9.735,0.796767826,198,1.993 +7.485,0.805434,190,4.184,9.585,0.803962497,187,3.508,9.605,0.801005675,189,2.476,9.635,0.798147545,189,1.646 +6.885,0.804840859,196,5.473,8.085,0.802676536,193,5.999,8.185,0.799817419,193,5.801,8.335,0.797057982,193,5.313 +6.285,0.804246731,195,6.753,6.585,0.80148828,193,8.499,6.755,0.798630151,193,9.125,6.935,0.795870713,193,8.971 +6.365,0.804050333,195,5.781,6.485,0.801192203,192,7.412,6.865,0.798531458,192,7.773,7.235,0.7958717,192,7.197 +6.545,0.802079447,203,4.373,6.185,0.798825561,202,4.882,6.535,0.796164816,201,5.475,6.835,0.793504071,201,5.968 +7.845,0.800999753,184,2.072,7.485,0.797843573,184,2.273,7.815,0.795183814,183,2.752,8.135,0.792523069,183,3.351 +11.145,0.801405379,90,1.547,10.785,0.798249198,92,1.571,10.485,0.795192697,94,1.598,10.235,0.792136195,94,1.646 +12.085,0.799633851,83,2.628,11.785,0.79657735,84,2.648,11.455,0.793519862,85,2.664,11.135,0.79046336,85,2.686 +12.945,0.799834197,110,3.312,12.585,0.796776709,111,3.35,12.255,0.793720207,111,3.374,11.935,0.790662719,111,3.41 +9.605,0.797062916,183,7.169,9.185,0.793906736,183,7.303,8.885,0.790850234,184,7.408,8.535,0.787792746,184,7.534 +8.545,0.79567925,169,4.075,8.185,0.792621762,169,4.17,7.885,0.789466568,169,4.252,7.535,0.786410067,169,4.352 +8.545,0.796369109,118,1.824,8.185,0.793311621,120,1.838,7.885,0.790156427,120,1.864,7.535,0.787099926,120,1.884 +8.985,0.79765211,97,3.431,8.685,0.794595608,98,3.479,8.365,0.791439428,98,3.512,8.035,0.788382926,98,3.549 +8.375,0.798931162,94,3.867,8.135,0.795874661,95,4.289,7.875,0.792818159,96,4.567,7.635,0.789761658,96,4.788 +7.765,0.800308907,89,4.313,7.585,0.797252406,90,5.099,7.385,0.794294597,91,5.633,7.235,0.791239082,91,6.027 +7.485,0.802772267,98,4.512,7.485,0.799815445,98,5.455,7.415,0.796857636,99,6.264,7.335,0.793900814,99,6.969 +7.325,0.803758204,118,4.958,7.385,0.800899087,115,5.929,7.565,0.79813965,114,6.915,7.735,0.79528152,114,7.861 +7.165,0.80346114,135,5.077,7.585,0.800801382,131,6.443,8.035,0.798240316,130,7.349,8.535,0.795580558,130,7.812 +7.505,0.804645448,161,4.621,8.885,0.802579817,150,5.742,9.365,0.800018752,146,6.244,9.835,0.797457686,146,6.344 +7.485,0.803068344,210,3.818,9.585,0.80149815,180,4.388,10.035,0.798838391,174,4.587,10.535,0.796277325,174,4.719 +6.445,0.802867012,305,1.18,7.885,0.800802369,189,1.729,8.515,0.798339995,182,2.259,9.135,0.795878608,182,2.577 +5.245,0.802863064,339,2.271,5.785,0.800301999,324,1.008,6.435,0.797840612,266,0.612,7.035,0.795378238,266,1.1 +3.745,0.800591167,327,4.244,4.285,0.798030101,331,3.597,5.235,0.795667407,329,2.693,6.235,0.793403405,329,1.884 +2.765,0.799699975,305,5.017,3.785,0.79743696,319,4.674,4.835,0.795172958,323,4.005,5.935,0.793008636,323,3.46 +2.785,0.801080681,273,4.125,4.285,0.799113743,309,3.39,5.185,0.796752036,319,2.782,6.035,0.794389341,319,2.419 +4.075,0.800098692,291,3.837,5.185,0.79793437,319,3.222,5.845,0.795472983,326,2.683,6.535,0.793010609,326,2.31 +5.365,0.799216383,264,3.56,6.085,0.796754996,306,3.044,6.505,0.794095238,316,2.575,6.935,0.791533185,316,2.191 +6.185,0.800402665,244,3.471,7.385,0.798238342,240,3.044,7.565,0.795478905,233,3.068,7.735,0.792719467,233,3.41 +7.365,0.798336047,335,2.578,9.285,0.79666716,353,2.154,9.235,0.793710338,0,1.539,9.235,0.790851221,0,1.15 +9.565,0.79795016,37,0.139,9.085,0.794695287,11,0.198,9.085,0.791836171,13,0.375,9.035,0.788978041,13,0.575 +12.365,0.797269183,66,1.309,11.885,0.794113003,69,1.453,11.515,0.790957809,70,1.529,11.135,0.787900321,70,1.596 +13.705,0.79549963,77,1.606,13.285,0.792343449,79,1.759,12.955,0.789384653,80,1.845,12.635,0.786328152,80,1.903 +14.865,0.794615347,88,1.983,14.385,0.791460153,91,2.164,14.085,0.788501357,91,2.269,13.835,0.785444856,91,2.349 +14.945,0.793827782,100,2.489,14.585,0.790770294,101,2.51,14.285,0.787713792,101,2.535,14.035,0.784755983,101,2.558 +15.745,0.794224525,179,2.221,15.385,0.791167037,179,2.263,15.085,0.788209228,179,2.299,14.835,0.785152726,179,2.34 +15.885,0.795013077,227,3.391,15.585,0.791956575,226,3.449,15.285,0.788998766,226,3.482,15.035,0.78603997,226,3.529 +14.985,0.795108808,260,4.363,14.685,0.792052307,259,4.496,14.415,0.789094498,259,4.656,14.135,0.786135702,259,4.857 +12.175,0.796380952,298,4.779,11.935,0.793423143,298,5.168,11.745,0.790465334,298,5.505,11.535,0.787507525,298,5.829 +9.365,0.797751789,294,5.196,9.185,0.794695287,296,5.85,9.085,0.791737478,297,6.343,9.035,0.788879349,297,6.8 +8.785,0.799425611,229,4.085,8.785,0.796468789,244,4.437,8.615,0.79351098,248,4.676,8.435,0.790454478,248,4.848 +7.465,0.801491241,236,4.581,7.585,0.798731804,241,5.307,7.355,0.795675302,244,5.731,7.135,0.792618801,244,6.037 +6.545,0.800502344,269,6.564,6.485,0.797544535,273,7.53,6.435,0.794587713,275,8.306,6.435,0.791728596,275,9.011 +5.985,0.799119664,279,6.733,6.285,0.796459906,288,8.242,6.635,0.793701456,293,9.036,7.035,0.791040711,293,9.388 +5.945,0.799514434,283,7.387,6.785,0.797151739,299,8.855,6.985,0.794392302,306,9.135,7.235,0.791632865,306,8.763 +5.565,0.800104614,293,7.685,6.285,0.79764224,308,9.171,6.485,0.79488379,314,9.529,6.735,0.792124352,314,9.308 +4.905,0.800496422,293,7.615,5.385,0.797836664,304,9.171,5.705,0.795175919,310,9.529,6.035,0.792417469,310,9.269 +4.965,0.800792499,281,6.277,5.685,0.798330126,301,7.145,5.985,0.795571675,309,7.182,6.335,0.79291093,309,6.87 +4.825,0.800002961,265,6.386,6.085,0.79793733,297,6.868,6.285,0.795177893,305,6.708,6.535,0.792419442,305,6.285 +4.705,0.801481372,258,6.693,6.385,0.799614113,293,7.105,6.515,0.796755983,300,7.113,6.635,0.793996546,300,6.969 +4.755,0.801678756,242,6.961,6.285,0.799712805,268,7.718,6.495,0.796953368,274,7.734,6.735,0.79419393,274,7.435 +4.805,0.801876141,240,7.229,6.185,0.799810511,266,8.331,6.485,0.79705206,274,8.356,6.835,0.794391315,274,7.901 +4.565,0.797735011,245,8.171,5.285,0.795273624,259,9.833,5.835,0.792712559,265,10.25,6.435,0.790250185,265,10.161 +6.145,0.796458919,251,6.108,6.085,0.793502097,260,7.303,6.415,0.79074266,263,8.415,6.735,0.788082902,263,9.497 +9.145,0.797357019,256,5.662,8.785,0.794200839,257,5.969,8.485,0.791144338,257,6.284,8.235,0.788087836,257,6.622 +11.745,0.793816926,266,5.979,11.385,0.790759437,266,6.097,11.065,0.787702936,266,6.215,10.735,0.784646435,266,6.344 +13.805,0.793922527,263,6.663,13.385,0.790865038,263,6.789,13.065,0.787808537,263,6.866,12.735,0.784849741,263,6.989 +15.205,0.793040217,255,7.804,14.785,0.789884037,255,7.945,14.455,0.786925241,255,8.03,14.135,0.783868739,255,8.159 +15.805,0.792056255,249,9.807,15.385,0.788998766,249,9.981,15.065,0.786040957,249,10.092,14.735,0.783082161,249,10.23 +15.545,0.792252652,248,10.471,15.185,0.789195164,248,10.673,14.865,0.786237355,248,10.792,14.535,0.783179867,248,10.964 +14.905,0.792053294,246,11.006,14.485,0.788995806,246,11.236,14.165,0.786037997,246,11.394,13.835,0.782980508,246,11.579 +13.945,0.793430052,243,11.046,13.585,0.790372564,243,11.325,13.255,0.787316062,243,11.522,12.935,0.784357266,243,11.727 +12.255,0.794015297,224,10.659,11.985,0.791057488,224,11.335,11.695,0.788000987,224,11.848,11.435,0.785043178,224,12.342 +10.565,0.794700222,226,10.283,10.385,0.791742413,226,11.335,10.135,0.788685912,227,12.173,9.935,0.785728103,227,12.957 +9.265,0.794301505,220,10.094,9.085,0.791245004,222,11.286,8.885,0.788287195,222,12.292,8.735,0.785329386,222,13.274 +8.305,0.797551443,221,10.084,8.185,0.794593634,223,11.335,8.035,0.791635825,223,12.44,7.835,0.788678016,223,13.522 +7.545,0.793112263,218,11.423,7.485,0.79015544,220,12.896,7.435,0.787296324,221,14.225,7.435,0.784339502,221,15.514 +7.245,0.796069085,227,12.276,7.185,0.793111276,229,13.726,7.085,0.790153467,230,15.024,7.035,0.787196644,230,16.327 +7.045,0.794490994,230,12.484,6.985,0.791533185,232,13.934,6.865,0.788576363,233,15.212,6.735,0.785618554,233,16.486 +6.745,0.793405379,228,11.542,6.685,0.790547249,230,12.886,6.615,0.78758944,231,14.107,6.535,0.784631631,231,15.336 +6.905,0.79389884,225,9.331,6.785,0.790843326,228,10.455,6.705,0.787984209,229,11.414,6.635,0.7850264,229,12.322 +6.165,0.795079201,209,8.736,5.985,0.792121392,212,9.912,5.915,0.789164569,213,10.95,5.835,0.78620676,213,11.955 +5.665,0.793598816,205,7.794,5.485,0.790542314,207,8.874,5.435,0.787684185,209,9.894,5.435,0.784726376,209,10.895 +5.225,0.794090303,201,8.934,4.985,0.791033802,203,10.031,4.985,0.78807698,204,11.137,5.035,0.785217863,204,12.273 +5.595,0.793401431,206,9.38,5.385,0.79034493,206,10.525,5.385,0.787388108,206,11.66,5.435,0.784529978,206,12.848 +5.965,0.792614853,203,9.817,5.785,0.789558352,203,11.009,5.785,0.786699235,204,12.183,5.835,0.783841105,204,13.422 +5.685,0.788867506,207,10.491,5.385,0.785811004,207,12.017,5.335,0.782951887,207,13.436,5.335,0.779995065,207,14.86 +6.885,0.789463607,215,11.106,6.585,0.786406119,216,11.681,6.315,0.783349618,216,12.144,6.035,0.780293116,216,12.649 +8.645,0.789568221,222,10.511,8.285,0.78641204,223,10.91,7.955,0.783355539,223,11.246,7.635,0.780299038,223,11.608 +9.905,0.78631927,233,11.294,9.485,0.783261781,234,11.542,9.165,0.78020528,234,11.71,8.835,0.777147792,234,11.916 +10.945,0.787801628,239,11.81,10.585,0.78474414,239,12.066,10.235,0.781687639,239,12.232,9.835,0.778630151,239,12.441 +11.205,0.787900321,249,12.593,10.785,0.784843819,249,12.896,10.455,0.781786331,249,13.091,10.135,0.77872983,249,13.313 +10.745,0.784547742,245,13.406,10.385,0.781490254,245,13.746,10.065,0.778532445,245,13.959,9.735,0.775475944,245,14.206 +9.745,0.78405132,239,13.852,9.385,0.780994819,239,14.25,9.065,0.77793733,240,14.521,8.735,0.774880829,240,14.81 +8.645,0.784835924,239,13.942,8.285,0.781779423,239,14.468,8.015,0.778722921,239,14.847,7.735,0.775764125,239,15.266 +8.485,0.786413027,245,12.514,8.185,0.783356526,246,12.966,7.935,0.780300025,246,13.387,7.735,0.777342216,246,13.908 +5.485,0.788472736,303,10.183,5.185,0.785416235,302,10.633,4.895,0.782261041,302,11.029,4.635,0.77920454,302,11.479 +2.485,0.790532445,348,7.863,2.185,0.787474957,347,8.301,1.865,0.784319763,347,8.661,1.535,0.781164569,347,9.041 +3.825,0.790339995,321,5.91,3.585,0.787283494,322,6.611,3.355,0.784226992,322,7.221,3.135,0.781170491,322,7.822 +3.925,0.794184061,329,8.726,3.685,0.79112756,330,9.645,3.435,0.787972366,330,10.358,3.235,0.784915865,330,11.014 +3.065,0.795167037,331,8.28,2.885,0.792110535,332,9.161,2.615,0.789054034,332,9.815,2.335,0.78589884,332,10.409 +1.865,0.79220528,327,8.161,1.685,0.789149766,329,9.171,1.435,0.785994572,329,9.944,1.235,0.782938071,329,10.657 +0.905,0.795159141,323,7.119,0.785,0.792103627,325,8.123,0.585,0.789047126,327,8.878,0.435,0.785891932,327,9.546 +0.145,0.795353565,318,6.921,0.085,0.792298051,321,7.975,-0.085,0.789241549,323,8.73,-0.265,0.786185048,323,9.378 +-0.455,0.794069578,321,6.445,-0.515,0.791014064,326,7.491,-0.715,0.787957562,327,8.247,-0.965,0.784802369,327,8.882 +-1.075,0.794166297,312,6.753,-1.015,0.791209474,318,7.896,-1.185,0.788054281,320,8.681,-1.365,0.784998766,320,9.328 +-1.815,0.795247964,309,7.486,-1.815,0.792291142,313,8.726,-1.945,0.789135949,314,9.727,-2.065,0.786080434,314,10.627 +-2.495,0.794752529,318,8.825,-2.615,0.791598322,320,10.119,-2.715,0.788541821,321,11.236,-2.765,0.785486306,321,12.332 +-2.815,0.794455465,330,9.122,-2.965,0.791301258,331,10.347,-3.105,0.788244757,331,11.404,-3.265,0.785188256,331,12.441 +-3.135,0.794158401,320,9.42,-3.315,0.791004194,321,10.574,-3.485,0.787947693,322,11.571,-3.665,0.784792499,322,12.55 +-3.375,0.794650876,323,9.856,-3.615,0.791495682,323,10.851,-3.815,0.788340489,324,11.7,-3.965,0.785186282,324,12.58 +-2.755,0.794850234,340,8.785,-3.115,0.791596348,340,9.23,-3.445,0.788342462,340,9.559,-3.765,0.785088576,340,9.903 +-2.055,0.793571182,344,8.27,-2.415,0.790317296,344,8.469,-2.745,0.787162102,344,8.612,-3.065,0.783908216,344,8.793 +-0.955,0.791604244,344,8.161,-1.315,0.788448063,344,8.301,-1.665,0.785194177,344,8.405,-1.965,0.781940291,344,8.545 +0.305,0.792299038,341,7.1,-0.115,0.789044165,342,7.214,-0.445,0.785790279,342,7.29,-0.765,0.782635085,342,7.405 +1.505,0.790528497,342,6.931,1.085,0.787373304,342,7.036,0.755,0.784119418,342,7.113,0.435,0.780963237,342,7.217 +2.645,0.79260301,349,7.645,2.285,0.78944683,349,7.758,1.935,0.786291636,349,7.843,1.535,0.783136442,349,7.95 +3.645,0.79300074,345,7.169,3.285,0.78984456,345,7.283,2.955,0.786689366,345,7.359,2.635,0.783534172,345,7.465 +4.345,0.793496176,343,7.804,3.985,0.790339995,343,7.935,3.665,0.787184801,343,8.03,3.335,0.7841283,343,8.149 +4.645,0.795862818,347,8.785,4.285,0.792706637,347,8.983,3.985,0.789551443,347,9.115,3.735,0.78639625,347,9.269 +3.975,0.796648409,346,8.865,3.735,0.793591907,346,9.487,3.485,0.790436714,347,9.944,3.235,0.787380212,347,10.389 +3.305,0.797434986,348,8.944,3.185,0.794477177,349,9.981,2.985,0.791321984,350,10.782,2.835,0.788266469,350,11.509 +2.545,0.801079694,360,6.673,2.485,0.798023193,360,7.56,2.315,0.794966691,0,8.237,2.135,0.791911177,0,8.843 +1.765,0.804132248,14,6.961,1.885,0.801175426,13,8.044,1.765,0.798119911,13,8.849,1.635,0.79506341,13,9.536 +1.105,0.802848261,13,6.743,1.285,0.799892425,12,7.886,1.205,0.796934616,13,8.809,1.135,0.793879102,13,9.606 +0.685,0.80629657,4,6.187,0.985,0.80353812,6,7.372,0.935,0.800481619,7,8.257,0.935,0.797524796,7,8.932 +0.665,0.804620775,4,5.86,1.085,0.801862324,10,6.947,0.955,0.79880681,13,7.566,0.835,0.795750308,13,7.96 +0.625,0.804620775,354,5.097,0.985,0.801862324,10,5.84,0.815,0.798707131,15,6.185,0.635,0.795650629,15,6.394 +0.605,0.805705403,346,3.332,0.785,0.802847274,18,3.656,0.585,0.79969208,25,3.887,0.435,0.796636566,25,4.064 +0.005,0.805012583,311,4.244,0.485,0.802352825,339,3.765,0.285,0.799198618,346,3.443,0.035,0.796043425,346,3.232 +-1.255,0.804909943,300,5.821,0.185,0.802943992,325,5.425,-0.015,0.799788798,328,4.834,-0.165,0.796633605,328,4.411 +-1.575,0.805696521,306,6.346,-0.015,0.803830249,330,6.058,-0.215,0.800675056,333,5.722,-0.465,0.797519862,333,5.541 +-2.325,0.804117444,327,6.485,-1.365,0.80175475,335,7.007,-1.315,0.798797927,338,7.191,-1.265,0.795841105,338,7.247 +-3.075,0.80253738,325,6.624,-2.715,0.799680237,332,7.955,-2.415,0.796921786,336,8.661,-2.065,0.794063657,336,8.962 +-3.515,0.802930175,333,5.027,-3.215,0.800170738,342,6.265,-2.835,0.797412287,347,7.261,-2.465,0.794653837,347,7.96 +-3.535,0.799578584,311,3.748,-3.715,0.79642339,323,4.575,-3.265,0.793763632,333,5.455,-2.865,0.791005181,333,6.344 +-1.495,0.799684185,10,2.072,-1.915,0.796430299,11,2.184,-2.195,0.793176413,11,2.348,-2.465,0.790021219,11,2.587 +1.305,0.799695041,2,1.596,0.885,0.796342462,2,1.621,0.535,0.793186282,2,1.647,0.235,0.789932396,2,1.685 +3.665,0.797435973,7,1.418,3.185,0.794182087,7,1.423,2.835,0.791025907,7,1.421,2.535,0.787870713,7,1.437 +5.365,0.798526524,358,1.854,4.885,0.795271651,358,1.868,4.565,0.792116457,358,1.874,4.235,0.788961263,358,1.893 +6.645,0.79705206,23,2.578,6.285,0.793896867,23,2.609,5.955,0.790840365,23,2.624,5.635,0.787684185,23,2.647 +7.645,0.798337034,28,2.757,7.285,0.795181841,28,2.777,6.955,0.792125339,28,2.792,6.635,0.788969159,28,2.825 +8.345,0.798733777,17,2.211,7.985,0.795578584,18,2.224,7.665,0.792522082,17,2.239,7.335,0.789365902,17,2.25 +8.385,0.800015791,6,1.963,8.085,0.796860597,6,1.976,7.785,0.793803109,6,1.983,7.535,0.790746607,6,2.002 +8.195,0.801394523,35,2.479,7.985,0.798339008,36,2.54,7.685,0.795182828,36,2.535,7.435,0.792126326,36,2.558 +8.005,0.802774241,45,2.995,7.885,0.79971774,48,3.103,7.585,0.796661239,49,3.098,7.335,0.793506045,49,3.113 +7.865,0.80701209,56,2.905,7.685,0.803956575,61,3.024,7.385,0.800800395,62,3.048,7.035,0.797645201,62,3.113 +7.545,0.808982976,69,3.639,7.485,0.806025167,70,3.805,7.215,0.802869973,71,3.906,6.935,0.799714779,71,4.045 +7.125,0.808587219,109,2.677,7.185,0.80572909,89,2.935,6.935,0.802573896,89,3.147,6.735,0.799517395,89,3.361 +6.645,0.809275105,108,1.686,6.885,0.806417962,58,2.352,6.705,0.803361461,59,2.693,6.535,0.800304959,59,2.914 +6.085,0.807992105,118,1.854,6.385,0.805133975,60,2.846,6.285,0.802177153,58,3.541,6.235,0.799120651,58,3.886 +5.605,0.807497656,185,1.269,5.785,0.804639526,102,1.038,5.815,0.801682704,80,1.815,5.835,0.798724895,80,2.538 +5.345,0.80966494,182,2.499,5.885,0.807005181,147,1.947,5.885,0.804048359,130,2.21,5.935,0.801091537,130,2.756 +5.465,0.80897508,177,2.32,6.185,0.806513694,139,1.927,6.135,0.803556871,129,2.308,6.035,0.800599062,129,2.815 +5.465,0.807792746,188,3.292,6.485,0.805528744,169,2.797,6.415,0.80247323,163,3.009,6.335,0.799515421,163,3.351 +5.585,0.809863311,194,2.697,7.085,0.80789736,173,2.293,7.005,0.804939551,170,2.802,6.935,0.80188305,170,3.42 +3.885,0.808082902,297,2.618,4.635,0.805522823,336,2.421,4.795,0.802664693,344,2.644,4.935,0.799905255,344,2.905 +2.185,0.8062038,349,2.538,2.185,0.803246978,2,2.54,2.585,0.80048754,4,2.496,3.035,0.797828769,4,2.389 +0.825,0.804621762,68,1.15,1.185,0.801863311,88,1.156,1.735,0.799302245,79,1.233,2.335,0.796741179,79,1.368 +1.245,0.800286208,252,0.585,2.085,0.797923513,268,0.277,2.585,0.795263755,348,0.444,3.035,0.792702689,348,0.813 +3.145,0.798913397,340,0.387,2.785,0.795757217,7,0.464,3.185,0.793097459,26,0.888,3.535,0.7904377,26,1.428 +5.745,0.797542561,47,2.35,5.385,0.79438638,49,2.362,5.065,0.791231187,49,2.338,4.735,0.788075993,49,2.32 +7.305,0.795970392,42,2.856,6.885,0.792814212,43,2.856,6.565,0.78975771,43,2.811,6.235,0.786602517,43,2.776 +9.205,0.794497903,55,2.697,8.785,0.791342709,56,2.678,8.435,0.788187515,56,2.644,8.035,0.785130027,56,2.617 +11.605,0.796378979,66,2.102,11.185,0.793223785,68,2.085,10.865,0.790166297,68,2.062,10.535,0.787109795,68,2.032 +12.205,0.795494695,28,3.669,11.785,0.792338515,29,3.666,11.455,0.789282013,29,3.66,11.135,0.786323217,29,3.638 +12.345,0.795198618,30,3.996,11.985,0.792142117,30,4.022,11.665,0.789084629,30,4.015,11.335,0.786028127,30,4.015 +12.345,0.797367876,63,4.056,11.985,0.794310387,63,4.101,11.685,0.791253886,63,4.123,11.435,0.788197385,63,4.144 +11.815,0.799731557,91,3.609,11.635,0.796773748,90,3.844,11.385,0.793717246,91,3.926,11.135,0.790759437,91,3.926 +11.285,0.802095238,62,3.163,11.285,0.799237108,73,3.587,11.085,0.796180607,75,3.729,10.935,0.793222798,75,3.708 +10.665,0.803276585,326,0.972,10.785,0.800418455,32,0.959,10.615,0.797460646,45,0.977,10.435,0.794502837,45,0.922 +10.145,0.806921293,306,0.942,10.385,0.804162842,17,0.919,10.235,0.801106341,28,0.908,10.035,0.798148532,28,0.813 +9.345,0.805933383,266,2.38,9.885,0.803372317,287,1.561,9.785,0.800315815,292,1.184,9.735,0.797358993,292,1.041 +8.405,0.808,271,3.51,9.485,0.805835677,299,2.48,9.435,0.802877868,306,1.953,9.435,0.799921046,306,1.705 +7.525,0.807208488,268,4.056,9.085,0.805242536,302,3.064,9.065,0.802284727,307,2.496,9.035,0.799426598,307,2.221 +7.425,0.805631384,262,3.054,8.385,0.803367382,307,2.115,8.455,0.800508265,321,1.786,8.535,0.797650136,321,1.586 +7.325,0.807700962,243,1.953,7.985,0.805139896,273,0.8,8.065,0.802281767,316,0.464,8.135,0.799423637,316,0.525 +6.945,0.807797681,231,2.677,7.785,0.805434986,236,1.591,7.835,0.802478164,236,0.908,7.935,0.799620035,236,0.515 +6.685,0.807796694,233,2.885,7.885,0.805632371,249,1.937,7.865,0.802675549,253,1.401,7.835,0.79971774,253,1.09 +6.845,0.807600296,216,2.37,7.985,0.805337281,217,1.384,7.965,0.802478164,208,1.036,7.935,0.799521342,208,0.932 +7.185,0.807502591,225,3.223,8.235,0.805239576,229,2.579,8.195,0.802281767,230,2.328,8.135,0.799324944,230,2.23 +7.525,0.807405872,251,4.075,8.485,0.805043178,268,3.775,8.435,0.802085369,272,3.62,8.435,0.799227239,272,3.529 +6.985,0.807107821,267,3.54,7.585,0.804546755,299,3.32,7.585,0.801688626,305,3.315,7.535,0.798730817,305,3.311 +5.905,0.803850975,275,2.955,6.685,0.80148828,326,2.866,6.735,0.798531458,333,2.92,6.835,0.795673328,333,2.954 +6.325,0.800106588,261,1.438,6.085,0.796952381,295,1.314,6.205,0.794191957,310,1.322,6.335,0.791333827,310,1.348 +8.705,0.797651123,360,1.567,8.285,0.794494942,359,1.571,7.955,0.791339748,359,1.549,7.635,0.788283247,359,1.546 +10.205,0.798641994,356,1.785,9.785,0.795485813,355,1.779,9.455,0.792429312,354,1.766,9.135,0.78937281,354,1.755 +11.505,0.796675056,18,1.487,11.085,0.793518875,17,1.472,10.755,0.790462374,17,1.44,10.435,0.787404885,17,1.418 +12.545,0.796579324,51,1.16,12.185,0.793522823,53,1.127,11.865,0.790466321,53,1.095,11.535,0.787408833,53,1.051 +12.945,0.796777696,36,3.381,12.585,0.793622502,36,3.39,12.285,0.790664693,36,3.374,12.035,0.787608191,36,3.37 +13.145,0.795891438,36,4.442,12.785,0.792834937,36,4.477,12.485,0.789777449,36,4.498,12.235,0.78681964,36,4.52 +12.985,0.797961017,38,4.294,12.685,0.794904515,38,4.378,12.385,0.791848014,38,4.419,12.035,0.788889218,38,4.481 +12.795,0.800129287,52,4.789,12.735,0.79727017,50,5.297,12.485,0.794213669,50,5.534,12.235,0.79125586,50,5.621 +12.605,0.80239625,59,5.285,12.785,0.799636812,58,6.216,12.585,0.796579324,58,6.649,12.435,0.793622502,58,6.751 +12.545,0.804663212,87,4.531,12.785,0.801903775,75,5.228,12.565,0.798847274,73,5.554,12.335,0.795889465,73,5.641 +11.965,0.808801382,160,3.867,12.385,0.806140637,123,3.973,12.235,0.803182828,115,4.242,12.035,0.800126326,115,4.441 +11.005,0.808896126,210,4.095,12.085,0.806633111,171,3.617,11.985,0.803675302,159,3.591,11.835,0.800717493,159,3.737 +9.245,0.807115717,244,5.85,11.885,0.805843573,246,5.238,11.785,0.802885764,241,4.449,11.735,0.799928942,241,3.678 +8.045,0.809871207,267,8.498,10.685,0.808599062,285,9.319,10.705,0.805740933,287,8.977,10.735,0.802882803,287,8.228 +7.785,0.809968912,269,8.27,9.885,0.808399704,290,9.319,10.085,0.805640266,295,9.155,10.335,0.802880829,295,8.317 +7.645,0.808490501,271,7.595,9.685,0.806920306,296,8.578,9.915,0.804160868,302,8.642,10.135,0.801401431,302,8.159 +7.165,0.809277079,273,7.328,9.085,0.807608191,300,8.291,9.355,0.804848754,307,8.671,9.635,0.802090303,307,8.704 +7.325,0.809869233,272,5.692,8.585,0.80770491,309,6.196,8.815,0.804945472,318,6.708,9.035,0.802186035,318,7.138 +7.345,0.81016531,264,4.274,8.185,0.807802615,305,4.071,8.315,0.804943499,316,4.202,8.435,0.802085369,316,4.471 +7.755,0.809476437,292,3.788,8.085,0.806717987,312,3.577,8.065,0.803760178,321,3.601,8.035,0.800803356,321,3.777 +8.165,0.808688872,310,3.292,7.985,0.805632371,326,3.083,7.815,0.802576857,331,3.009,7.635,0.799520355,331,3.083 +7.765,0.80701209,313,2.925,7.585,0.803955588,325,2.569,7.455,0.800899087,330,2.456,7.335,0.797942265,330,2.558 +6.905,0.806220577,300,3.818,7.385,0.803560819,317,3.508,7.265,0.80060301,321,3.433,7.135,0.797547496,321,3.608 +7.105,0.801884037,249,1.785,7.285,0.799025907,298,1.72,7.185,0.796069085,306,1.657,7.035,0.793111276,306,1.854 +9.545,0.799428571,355,1.577,9.185,0.796272391,354,1.601,8.865,0.793215889,354,1.628,8.535,0.790060696,354,1.656 +11.305,0.801207994,20,0.278,10.885,0.7980528,16,0.257,10.565,0.794996299,14,0.237,10.235,0.791938811,14,0.208 +12.545,0.799241056,359,0.823,12.185,0.796184555,356,0.81,11.835,0.793127066,354,0.799,11.535,0.789971873,354,0.793 +13.445,0.79796299,323,1.507,13.085,0.794905502,321,1.522,12.785,0.791849001,319,1.529,12.535,0.788891192,319,1.537 +14.045,0.797866272,355,2.995,13.685,0.794808784,354,3.004,13.385,0.791850975,353,2.999,13.035,0.788793486,353,2.994 +14.385,0.799740439,17,3.599,14.085,0.796781643,17,3.617,13.755,0.793725142,17,3.601,13.435,0.790667654,17,3.598 +14.245,0.79964076,28,4.571,13.885,0.796485566,28,4.615,13.585,0.793527757,28,4.636,13.335,0.790470269,28,4.669 +13.665,0.802103133,24,4.75,13.485,0.799145324,25,5.139,13.205,0.796088823,25,5.337,12.935,0.793131014,25,5.462 +13.085,0.804664199,20,4.928,13.085,0.80180607,22,5.653,12.835,0.798749568,23,6.047,12.535,0.79569208,23,6.255 +12.845,0.807029854,349,1.438,12.785,0.804072045,17,2.085,12.565,0.801114236,21,2.594,12.335,0.798057735,21,2.924 +12.485,0.809788305,286,1.745,12.485,0.806930175,336,1.69,12.285,0.803873674,350,1.914,12.035,0.800816186,350,2.161 +11.405,0.808700716,265,4.462,12.185,0.806337034,280,3.716,12.015,0.803280533,283,3.137,11.835,0.800322724,283,2.677 +9.525,0.808693807,264,8.26,11.685,0.807124599,281,8.983,11.585,0.80416679,282,8.691,11.535,0.801208981,282,8.079 +8.485,0.808098692,276,9.777,9.985,0.806132741,289,11.582,10.335,0.803471996,294,12.055,10.735,0.800812238,294,11.717 +8.145,0.808787565,287,9.598,9.585,0.806821614,301,11.552,9.985,0.804160868,306,12.37,10.335,0.80150111,306,12.51 +7.245,0.80977054,298,9.38,8.385,0.807507525,310,11.305,9.015,0.805045152,315,12.252,9.635,0.802484086,315,12.6 +6.765,0.807895386,295,8.488,7.785,0.805632371,310,9.932,8.415,0.803169998,316,10.664,9.035,0.800608932,316,11.043 +6.525,0.808781643,284,7.724,7.785,0.806618307,306,8.598,8.315,0.804057242,314,8.859,8.835,0.801496176,314,8.952 +6.525,0.808585245,281,7.387,8.085,0.806619294,308,7.718,8.435,0.803958549,315,7.556,8.835,0.801200099,315,7.425 +6.195,0.807006168,301,7.387,7.485,0.804941525,314,8.311,7.725,0.802182087,319,8.474,7.935,0.79942265,319,8.377 +5.865,0.80552677,303,7.397,6.885,0.803263755,319,8.894,7.015,0.800405625,324,9.391,7.135,0.797547496,324,9.328 +5.265,0.807200592,297,7.824,6.285,0.804837898,317,8.894,6.515,0.802079447,321,9.174,6.735,0.79932001,321,9.199 +5.225,0.802863064,301,6.029,5.885,0.800401678,318,7.787,6.285,0.797740933,324,8.671,6.735,0.795081174,324,8.991 +6.745,0.803361461,303,3.56,6.385,0.800206267,309,4.141,6.735,0.79744683,314,5.041,7.035,0.794787071,314,6.116 +8.905,0.799919072,321,3.213,8.485,0.796664199,322,3.36,8.185,0.793607698,322,3.502,7.935,0.790551197,322,3.668 +10.845,0.799432519,324,4.026,10.485,0.796376018,324,4.111,10.135,0.793219837,324,4.183,9.835,0.790163336,324,4.273 +12.345,0.800127313,324,4.789,11.985,0.796972119,324,4.872,11.635,0.793915618,323,4.932,11.335,0.79085813,323,5.016 +13.505,0.80052603,320,5.424,13.085,0.797468542,320,5.495,12.755,0.79441204,320,5.554,12.435,0.791354552,320,5.641 +14.145,0.799542068,318,4.988,13.785,0.796485566,318,5.04,13.455,0.793428078,318,5.09,13.135,0.790371577,318,5.145 +14.285,0.802007402,318,5.107,13.985,0.798949914,318,5.178,13.665,0.795893412,318,5.228,13.335,0.792836911,318,5.284 +14.185,0.801217863,322,5.146,13.885,0.798161362,323,5.247,13.565,0.795104861,322,5.307,13.235,0.792047372,322,5.403 +14.245,0.804175672,311,4.601,14.035,0.801119171,313,4.773,13.735,0.79806267,313,4.863,13.435,0.795103874,313,4.957 +14.305,0.807034789,285,4.056,14.185,0.80407698,291,4.299,13.915,0.801118184,292,4.41,13.635,0.798061683,292,4.52 +14.045,0.807624969,267,3.411,13.985,0.80466716,279,3.548,13.835,0.801710338,282,3.739,13.735,0.798752529,282,4.045 +14.005,0.808610906,275,3.53,14.185,0.805851468,296,3.815,14.135,0.802992351,302,4.281,14.035,0.800034542,302,4.887 +13.665,0.811862818,266,4.363,14.385,0.809400444,298,4.388,14.315,0.806541327,306,4.725,14.235,0.803584505,306,5.214 +12.765,0.808902048,254,5.394,14.685,0.807233161,287,5,14.565,0.804275352,292,4.863,14.435,0.801317543,292,4.887 +12.145,0.810970639,255,6.634,15.085,0.809994572,280,6.473,14.885,0.806938071,282,6.037,14.735,0.803980262,282,5.581 +12.185,0.810477177,258,7.07,15.485,0.809699482,291,6.997,15.215,0.806642981,292,6.748,14.935,0.803586479,292,6.612 +12.205,0.811956575,268,6.584,14.485,0.810583765,304,6.957,14.385,0.807625956,310,7.063,14.335,0.804669134,310,6.969 +10.845,0.810669627,281,6.931,11.985,0.808505305,308,7.481,12.215,0.805745867,312,7.517,12.435,0.80298643,312,7.256 +9.725,0.810271897,266,6.525,10.985,0.808107575,290,6.176,11.385,0.805447816,294,5.524,11.835,0.802885764,294,4.907 +9.545,0.811256847,261,6.277,11.585,0.80958796,284,5.574,11.835,0.806828522,285,4.765,12.035,0.804167777,285,4.213 +9.655,0.810863064,274,6.505,11.635,0.809194177,288,6.127,11.705,0.806336047,290,5.475,11.835,0.803477918,290,4.976 +9.765,0.810469282,279,6.743,11.685,0.808800395,301,6.68,11.585,0.805842586,302,6.185,11.535,0.802884777,302,5.74 +9.385,0.805046139,277,7.129,11.485,0.803475944,302,7.204,11.385,0.800519122,303,6.767,11.335,0.797561313,303,6.394 +9.605,0.806722921,291,6.951,11.285,0.804855662,312,7.669,11.315,0.801997533,315,7.665,11.335,0.799138416,315,7.623 +10.205,0.802189983,310,4.413,10.085,0.799233161,317,5.475,10.255,0.796473723,321,6.501,10.435,0.793714286,321,7.385 +11.905,0.799633851,317,4.512,11.485,0.796477671,317,4.763,11.185,0.79342117,317,5.031,10.935,0.790363681,317,5.343 +14.405,0.799937824,298,5.751,13.985,0.796781643,298,5.939,13.665,0.793822847,298,6.126,13.335,0.790766346,298,6.364 +16.505,0.798564027,312,7.526,16.085,0.795408833,311,7.718,15.755,0.792450037,311,7.882,15.435,0.789393536,311,8.089 +17.405,0.798566987,321,7.952,16.985,0.795509499,321,8.113,16.665,0.79255169,321,8.247,16.335,0.789495189,321,8.406 +17.705,0.798271897,332,8.438,17.285,0.795116704,332,8.627,16.955,0.792157908,332,8.78,16.635,0.789200099,332,8.962 +17.645,0.799750308,339,8.29,17.285,0.796693807,339,8.499,16.985,0.793735998,339,8.661,16.735,0.790777202,339,8.852 +10.785,0.800713546,9,2.558,10.485,0.797558352,9,2.589,10.165,0.79450185,9,2.614,9.835,0.791444362,9,2.637 +11.235,0.802292623,57,3.52,11.085,0.799334814,59,3.735,10.775,0.796278312,60,3.788,10.435,0.793220824,60,3.797 +11.685,0.803969405,41,4.492,11.685,0.801111276,48,4.882,11.385,0.798054774,49,4.962,11.035,0.794997286,49,4.947 +11.605,0.805743893,36,4.145,11.485,0.802786084,42,4.289,11.185,0.799729583,42,4.271,10.935,0.796673082,42,4.302 +11.625,0.808701702,44,3.877,11.385,0.805644214,48,3.963,11.085,0.802587713,47,3.985,10.835,0.799432519,47,4.064 +11.425,0.808700716,20,2.449,11.185,0.805545522,25,2.53,10.915,0.80248902,26,2.614,10.635,0.799431532,26,2.746 +10.885,0.811064397,14,4.631,10.885,0.808107575,17,4.793,10.635,0.805051073,17,4.923,10.435,0.801994572,17,5.095 +9.905,0.809976807,360,4.165,10.085,0.807118678,10,4.467,9.985,0.804160868,12,4.656,9.835,0.801203059,12,4.778 +9.025,0.809086603,23,2.707,9.385,0.806425857,41,3.508,9.415,0.803469035,42,3.985,9.435,0.800610906,42,4.104 +8.365,0.809084629,28,2.33,8.785,0.806423884,55,3.548,8.885,0.803565754,54,4.311,9.035,0.800707624,54,4.55 +7.505,0.809672835,357,2.459,7.985,0.807013077,48,3.192,8.185,0.804253639,50,4.133,8.435,0.801395509,50,4.907 +6.805,0.808191463,338,1.636,7.285,0.805531705,57,2.065,7.585,0.802773254,61,3.157,7.935,0.800112509,61,4.173 +6.145,0.8096679,303,1.349,6.985,0.807206514,49,0.85,7.315,0.804545769,65,2.012,7.635,0.801787318,65,3.083 +5.115,0.808185542,286,2.32,6.285,0.806021219,324,1.591,6.565,0.803164076,347,2.012,6.835,0.800503331,347,2.667 +4.085,0.806703183,280,3.292,5.585,0.804737232,329,2.332,5.815,0.801879102,347,2.003,6.035,0.799120651,347,2.25 +4.125,0.807689119,289,2.687,4.785,0.805227733,336,1.858,5.115,0.802468295,358,1.628,5.435,0.799709845,358,1.844 +3.605,0.805124106,305,2.628,4.385,0.802662719,353,2.164,4.815,0.800002961,11,2.062,5.235,0.797343203,11,2.24 +5.125,0.801778436,316,1.17,4.585,0.798523563,318,1.176,5.035,0.795863805,332,1.154,5.535,0.793204046,332,1.398 +8.125,0.80100074,7,1.428,7.585,0.797745867,7,1.433,7.255,0.794590674,7,1.43,6.935,0.791533185,7,1.428 +10.505,0.801599803,30,1.844,10.085,0.798444609,30,1.838,9.755,0.795387121,30,1.815,9.435,0.792231927,30,1.794 +12.105,0.801704416,43,2.211,11.685,0.798646928,43,2.214,11.335,0.795491735,43,2.2,11.035,0.792434246,43,2.191 +13.245,0.799440415,42,2.578,12.885,0.796383913,42,2.56,12.565,0.793326425,42,2.545,12.235,0.790269924,42,2.538 +13.645,0.799442388,31,3.57,13.285,0.7963849,31,3.587,12.955,0.793328399,31,3.591,12.635,0.79027091,31,3.598 +13.885,0.801216876,34,3.738,13.585,0.798160375,34,3.765,13.255,0.795102887,34,3.788,12.935,0.792046385,34,3.807 +13.885,0.802400197,47,3.48,13.585,0.799343696,47,3.538,13.255,0.796286208,47,3.561,12.935,0.793229706,47,3.608 +13.975,0.804273378,49,3.114,13.735,0.801216876,51,3.143,13.435,0.798159388,52,3.117,13.135,0.795102887,52,3.103 +14.065,0.806146558,42,2.737,13.885,0.803188749,46,2.737,13.615,0.800132248,46,2.664,13.335,0.797074759,46,2.597 +13.865,0.809202073,74,2.112,13.685,0.806244264,78,2.085,13.435,0.803186775,78,2.022,13.235,0.800228966,78,1.953 +13.585,0.810778189,39,2.429,13.285,0.807720701,39,2.362,13.115,0.804762892,40,2.318,12.935,0.80170639,40,2.28 +13.225,0.812748088,39,1.973,12.985,0.809691586,40,1.907,12.835,0.806635085,39,1.904,12.735,0.803677276,39,1.943 +12.765,0.81412682,44,1.517,12.585,0.811169011,43,1.561,12.485,0.808112509,43,1.667,12.335,0.8051547,43,1.804 +12.285,0.81244905,84,1.567,12.285,0.809492228,68,1.799,12.205,0.806534419,66,2.022,12.135,0.803675302,66,2.24 +11.825,0.81303923,102,2.211,11.885,0.8101811,81,2.609,11.865,0.807321984,77,2.95,11.835,0.804364175,77,3.192 +11.425,0.813037256,97,1.735,11.485,0.810080434,76,2.372,11.515,0.807222304,72,2.871,11.535,0.804363188,72,3.083 +10.945,0.813036269,55,1.943,10.885,0.81007846,59,2.787,10.985,0.807220331,59,3.403,11.035,0.804362201,59,3.608 +10.385,0.813330373,48,2.013,10.385,0.810372564,63,2.846,10.565,0.807613126,62,3.433,10.735,0.804853689,62,3.648 +9.585,0.813327412,54,3.153,9.885,0.810567974,69,4.042,10.115,0.807808537,68,4.597,10.335,0.805049099,68,4.828 +9.195,0.812241796,335,1.993,9.585,0.809581051,21,2.797,9.835,0.806723908,33,3.374,10.035,0.804063163,33,3.638 +8.805,0.811156181,360,0.843,9.285,0.808496422,79,1.561,9.565,0.805736985,78,2.151,9.835,0.80307624,78,2.458 +8.305,0.812928695,240,0.645,9.085,0.810466321,106,1.275,9.385,0.807806563,96,1.845,9.735,0.805047126,96,2.072 +8.385,0.810365655,273,0.942,9.285,0.808002961,38,0.702,9.515,0.805243523,54,1.371,9.735,0.802484086,54,1.695 +7.985,0.805928448,291,1.527,8.885,0.803664446,15,1.729,9.115,0.800905009,29,2.131,9.335,0.798145571,29,2.33 +10.505,0.805247471,43,1.477,10.085,0.80209129,44,1.522,9.885,0.799034789,44,1.598,9.735,0.795979275,44,1.725 +13.265,0.80338416,54,1.517,12.785,0.800129287,55,1.522,12.455,0.797071799,55,1.499,12.135,0.794015297,55,1.487 +14.705,0.804374044,57,2.31,14.285,0.801317543,57,2.342,13.955,0.798260054,57,2.348,13.635,0.795203553,57,2.369 +15.945,0.802603504,50,2.429,15.585,0.799547002,50,2.431,15.255,0.796490501,50,2.427,14.935,0.793531705,50,2.419 +16.445,0.801619541,49,2.717,16.085,0.79856304,49,2.728,15.755,0.795505551,49,2.733,15.435,0.79244905,49,2.736 +16.845,0.803099926,44,2.519,16.485,0.800042438,44,2.54,16.185,0.796985936,44,2.535,15.935,0.794028127,44,2.548 +16.785,0.804380952,46,3.123,16.485,0.801423143,46,3.152,16.185,0.798365655,46,3.167,15.935,0.795309154,46,3.192 +16.555,0.806844313,42,3.956,16.435,0.803985196,44,4.121,16.145,0.800928695,45,4.114,15.835,0.797872193,45,4.094 +16.325,0.80930866,56,4.789,16.385,0.806548236,56,5.099,16.115,0.803491735,56,5.071,15.835,0.800533925,56,5.006 +16.205,0.812757957,51,3.57,16.085,0.809800148,53,3.617,15.835,0.806743647,53,3.532,15.535,0.803785838,53,3.489 +16.125,0.814137676,77,3.183,15.885,0.811081174,78,3.172,15.685,0.808123365,78,3.176,15.535,0.805066864,78,3.242 +15.965,0.815221318,107,2.697,15.785,0.812263509,106,2.737,15.635,0.809207994,106,2.91,15.535,0.806250185,106,3.182 +15.345,0.815121638,140,3.242,15.585,0.812362201,126,3.241,15.505,0.809404392,125,3.541,15.435,0.806446583,125,3.906 +14.225,0.812948433,163,2.935,15.485,0.810882803,123,2.747,15.515,0.808024673,121,3.098,15.535,0.805165556,121,3.509 +14.105,0.815806563,155,2.558,15.185,0.813543548,91,2.826,15.265,0.810783124,87,3.324,15.335,0.807924994,87,3.737 +14.005,0.814032075,151,1.527,14.485,0.811471009,68,2.629,14.585,0.808612879,63,3.522,14.735,0.805853442,63,3.737 +13.605,0.81481964,98,1.398,13.485,0.811763138,67,2.332,13.665,0.809003701,65,3.186,13.835,0.806244264,65,3.797 +13.065,0.815212435,49,1.349,12.885,0.812155934,66,2.115,13.115,0.809396496,68,2.989,13.335,0.806735751,68,3.727 +12.065,0.816095732,47,2.35,12.185,0.813237602,71,3.291,12.435,0.810478164,73,4.301,12.735,0.807719714,73,5.036 +11.565,0.815206514,2,1.686,11.835,0.812448063,69,2.52,11.995,0.809688626,74,3.334,12.135,0.806830496,74,3.836 +11.065,0.814318283,12,1.021,11.485,0.811657538,83,1.749,11.565,0.808799408,86,2.368,11.635,0.805941278,86,2.647 +10.405,0.813625463,16,0.902,11.185,0.811164076,81,1.67,11.315,0.808305946,82,2.249,11.435,0.805546509,82,2.518 +10.165,0.811160128,54,1.031,11.185,0.808897113,74,1.957,11.335,0.806038983,74,2.555,11.535,0.803279546,74,2.756 +9.505,0.806722921,84,1.904,10.885,0.804657291,72,3.024,11.085,0.801897853,70,3.601,11.335,0.799138416,70,3.836 +11.405,0.804560572,76,1.924,10.985,0.801404392,78,2.056,11.135,0.798546262,78,2.634,11.335,0.795786825,78,3.519 +13.845,0.80279398,76,2.3,13.485,0.799737478,77,2.332,13.165,0.79667999,78,2.358,12.835,0.793623489,78,2.389 +15.705,0.803194671,91,2.38,15.285,0.80003849,93,2.392,14.955,0.797080681,94,2.407,14.635,0.794023193,94,2.439 +17.905,0.802906489,84,1.577,17.485,0.799849001,87,1.571,17.165,0.796890205,87,1.569,16.835,0.793833703,87,1.556 +18.645,0.802908463,68,2.072,18.285,0.799851962,69,2.075,17.985,0.796893166,70,2.052,17.735,0.793935357,70,2.042 +18.985,0.803796694,67,2.082,18.685,0.800740192,69,2.085,18.365,0.797781396,69,2.072,18.035,0.794724895,69,2.062 +18.985,0.804486553,63,2.529,18.685,0.801430052,64,2.55,18.385,0.798471256,64,2.555,18.035,0.795513447,64,2.548 +18.725,0.807344683,61,3.798,18.635,0.804485566,65,3.913,18.385,0.801527757,67,3.798,18.135,0.798569948,67,3.658 +18.465,0.810301505,56,5.067,18.585,0.807541081,60,5.277,18.385,0.804583272,61,5.041,18.235,0.801625463,61,4.768 +17.805,0.808918826,115,3.5,17.985,0.806159388,97,3.903,17.865,0.803300271,97,3.966,17.735,0.800342462,97,3.876 +17.445,0.815226252,187,3.123,17.685,0.812565507,161,2.886,17.565,0.809608685,154,2.9,17.435,0.806650876,154,3.004 +16.265,0.815124599,217,5.83,17.585,0.813057982,210,5.722,17.435,0.810100173,208,5.672,17.335,0.807143351,208,5.769 +15.645,0.815417715,215,5.146,17.385,0.813649149,207,5.327,17.285,0.81069134,205,5.672,17.235,0.807734518,205,6.047 +14.425,0.814230447,197,6.059,16.885,0.812957316,191,6.384,16.915,0.810099186,190,6.817,16.935,0.807240069,190,7.157 +12.625,0.813534666,188,7.774,16.585,0.813153713,182,8.183,16.735,0.810394276,183,8.069,16.835,0.807536146,183,7.732 +11.605,0.812249692,191,8.329,17.185,0.81285961,182,8.469,17.315,0.810100173,183,7.852,17.435,0.807340735,183,7.118 +11.985,0.812250679,191,7.219,17.685,0.812960276,177,6.918,17.705,0.81010116,177,6.294,17.735,0.80724303,177,5.71 +13.265,0.814917345,190,5.711,17.885,0.814932149,168,5,17.815,0.812073032,164,4.548,17.735,0.80911621,164,4.203 +14.285,0.815413768,186,4.809,17.585,0.814636072,152,4.21,17.435,0.811678263,146,4.104,17.335,0.808720454,146,4.035 +14.395,0.815117691,199,3.659,16.435,0.813448803,177,3.291,16.335,0.810490994,168,3.344,16.235,0.807631878,168,3.489 +14.505,0.814723908,180,2.509,15.285,0.812262522,149,2.372,15.235,0.809403405,141,2.585,15.235,0.806446583,141,2.944 +14.225,0.812357266,181,2.965,14.885,0.809894893,158,2.777,14.955,0.807135455,154,2.989,15.035,0.804276339,154,3.351 +14.365,0.813836664,191,2.806,15.085,0.811374291,168,2.609,15.185,0.808614853,165,2.811,15.335,0.805756723,165,3.133 +14.265,0.807527264,195,3.252,15.585,0.805461633,178,3.479,15.605,0.802602517,178,3.778,15.635,0.799843079,178,4.094 +15.745,0.806053787,150,2.786,15.985,0.803393042,162,3.617,16.015,0.800533925,165,4.212,16.035,0.797675796,165,4.679 +18.245,0.803991118,131,2.221,17.885,0.800934616,135,2.332,17.665,0.797976807,136,2.456,17.435,0.795116704,136,2.607 +19.545,0.80389736,111,2.568,19.185,0.800938564,114,2.619,18.885,0.797882063,115,2.664,18.535,0.794923267,115,2.716 +20.685,0.803407846,134,2.281,20.385,0.800450037,137,2.332,20.085,0.797491241,138,2.387,19.835,0.794533432,138,2.458 +21.845,0.803510486,174,3.54,21.485,0.80055169,175,3.637,21.185,0.797592894,175,3.719,20.935,0.794635085,175,3.817 +22.325,0.805779423,201,6.911,22.085,0.802919319,202,7.293,21.785,0.79996151,202,7.556,21.535,0.797002714,202,7.792 +21.365,0.805776462,208,9.003,21.185,0.802817666,209,9.754,20.915,0.799859857,209,10.279,20.635,0.796902048,209,10.696 +19.775,0.80803849,206,8.914,20.135,0.805476437,207,10.139,19.925,0.802518628,208,10.822,19.735,0.799560819,208,11.162 +18.185,0.810300518,207,8.835,19.085,0.808035529,209,10.535,18.935,0.80507772,209,11.374,18.835,0.802218604,209,11.618 +16.385,0.807928942,211,9.063,18.185,0.806160375,208,10.386,18.085,0.803202566,207,10.743,18.035,0.800343449,207,10.766 +15.285,0.812361214,216,8.151,17.985,0.811186775,206,9.072,17.835,0.808228966,202,9.401,17.735,0.805271157,202,9.834 +14.265,0.810484086,201,7.774,17.085,0.809409326,192,9.102,17.035,0.80655021,190,9.894,17.035,0.80369208,190,10.637 +12.425,0.80998569,187,9.826,14.285,0.808315815,182,11.967,14.815,0.80575475,180,13.436,15.335,0.803193684,180,14.553 +11.365,0.80958796,191,10.213,12.085,0.807125586,187,12.185,12.955,0.804762892,186,13.88,13.835,0.80249889,186,15.455 +11.125,0.811164076,184,10.005,11.785,0.80860301,181,11.908,12.515,0.806240316,179,13.673,13.235,0.803777942,179,15.385 +11.345,0.80919319,185,9.965,11.885,0.806632124,182,11.809,12.315,0.803972366,180,13.554,12.735,0.801410313,180,15.296 +11.805,0.809490254,179,9.579,12.285,0.806830496,177,11.236,12.515,0.804071058,177,12.805,12.735,0.801410313,177,14.334 +12.425,0.811562793,177,10.402,12.785,0.808902048,177,11.948,12.915,0.806043918,177,13.308,13.035,0.803284481,177,14.622 +12.945,0.811564767,180,9.549,13.185,0.808805329,180,10.95,13.265,0.806044905,180,12.183,13.335,0.803186775,180,13.363 +12.655,0.811070318,204,8.25,12.985,0.80841056,198,9.566,13.265,0.805651123,195,10.703,13.535,0.802990377,195,11.777 +12.365,0.810576857,198,6.951,12.785,0.807916112,194,8.192,13.265,0.805355046,192,9.224,13.735,0.80279398,192,10.181 +11.725,0.809687639,186,8.944,12.685,0.807423637,182,11.088,13.415,0.804961263,180,12.765,14.135,0.802597582,180,13.958 +12.165,0.808603997,189,8.835,12.285,0.805745867,187,10.465,13.185,0.803481865,185,12.321,14.035,0.801119171,185,14.245 +14.565,0.80634493,203,7.843,14.385,0.803387121,201,9.072,14.685,0.800727362,200,10.358,15.035,0.798066617,200,11.688 +16.125,0.806547249,200,7.219,15.885,0.803490748,200,7.955,15.685,0.800532939,199,8.73,15.535,0.79757513,199,9.536 +17.345,0.805171478,205,7.179,16.985,0.80211399,205,7.412,16.715,0.799156181,205,7.576,16.435,0.796198372,205,7.762 +18.045,0.804977054,205,6.088,17.685,0.801919566,205,6.315,17.385,0.798961757,205,6.521,17.035,0.795904268,205,6.731 +18.585,0.803400938,208,6.772,18.285,0.800344436,208,7.007,17.985,0.79738564,208,7.191,17.735,0.794329139,208,7.395 +18.945,0.803205527,200,6.425,18.585,0.800148038,200,6.71,18.285,0.797190229,201,6.925,18.035,0.794132741,201,7.147 +18.985,0.803796694,199,6.624,18.685,0.800838885,200,6.908,18.385,0.797880089,200,7.132,18.035,0.794823587,200,7.346 +18.685,0.804584259,200,6.921,18.385,0.80162645,201,7.52,18.115,0.798568961,201,7.921,17.835,0.795611152,201,8.248 +18.555,0.8056679,191,7.467,18.735,0.803007155,194,8.311,18.485,0.800049346,196,8.622,18.235,0.797091537,196,8.714 +18.425,0.806851221,197,8.012,19.085,0.804388848,199,9.102,18.855,0.801430052,200,9.312,18.635,0.798472243,200,9.18 +16.785,0.80871848,213,9.727,18.285,0.806751542,212,10.999,18.185,0.803892425,211,11.108,18.035,0.800934616,211,10.855 +15.585,0.808517148,211,9.321,17.685,0.806946953,209,10.554,17.705,0.804088823,207,10.733,17.735,0.801328399,207,10.677 +14.765,0.810880829,213,9.003,17.585,0.809805083,211,9.991,17.585,0.806946953,210,10.082,17.535,0.804087836,210,10.24 +13.625,0.809792253,206,8.835,17.585,0.8094113,205,9.793,17.485,0.806453491,204,9.904,17.335,0.803594375,204,10.131 +12.485,0.807225265,194,9.152,16.985,0.807141377,194,10.268,16.935,0.804283247,195,10.348,16.935,0.80142413,195,10.518 +11.805,0.807519368,201,9.688,16.185,0.807336788,198,10.871,16.285,0.804576363,200,10.94,16.335,0.801816926,200,11.053 +11.745,0.808110535,197,10.045,15.285,0.807431532,199,11.463,15.615,0.804771774,201,11.788,15.935,0.802111029,201,11.995 +11.385,0.807912164,194,9.46,14.385,0.806936097,196,11.019,14.865,0.804375031,199,11.502,15.335,0.801812978,199,11.688 +10.845,0.806431779,193,9.45,13.485,0.805158648,194,11.503,14.015,0.802597582,196,12.292,14.535,0.800135208,196,12.362 +10.085,0.807118678,192,9.142,12.485,0.805845547,189,11.987,13.135,0.803284481,190,13.239,13.835,0.800920799,190,13.274 +9.945,0.806231434,193,9.182,12.435,0.80485961,193,11.483,13.065,0.802397237,194,12.292,13.735,0.799934863,194,12.154 +9.805,0.80524451,189,9.232,12.385,0.803972366,190,10.979,12.985,0.801509993,192,11.335,13.535,0.799047619,192,11.043 +9.885,0.804555638,196,9.222,12.585,0.803381199,195,10.959,13.135,0.800918826,197,11.404,13.735,0.79835776,197,11.311 +10.565,0.804656304,189,7.784,12.185,0.802789045,194,10.604,12.835,0.800326672,197,12.094,13.535,0.797864298,197,12.253 +13.465,0.804271404,199,5.741,13.285,0.801313595,201,6.69,13.455,0.798554157,202,7.803,13.635,0.79579472,202,8.991 +16.645,0.803395016,216,3.778,16.285,0.800337528,217,3.933,15.985,0.797281026,217,4.094,15.735,0.794323217,217,4.292 +18.545,0.801331359,230,3.451,18.185,0.798273871,230,3.538,17.865,0.795316062,230,3.611,17.535,0.792357266,230,3.708 +19.845,0.799856896,212,3.54,19.485,0.796799408,213,3.627,19.165,0.793840612,213,3.689,18.835,0.790882803,213,3.767 +20.845,0.800352332,223,5.384,20.485,0.79729583,223,5.485,20.165,0.794337034,223,5.564,19.835,0.791379225,223,5.651 +21.405,0.799072292,222,6.753,20.985,0.796015791,222,6.868,20.685,0.793056995,222,6.945,20.435,0.790197878,222,7.048 +21.345,0.799072292,221,7.248,20.985,0.796114483,221,7.382,20.685,0.793155687,221,7.458,20.435,0.790197878,221,7.554 +20.885,0.800944486,217,6.753,20.585,0.79798569,217,6.908,20.285,0.795027881,217,6.994,20.035,0.792069085,217,7.108 +19.525,0.802320257,212,7.1,19.735,0.799658525,213,7.728,19.515,0.796700716,214,8.01,19.335,0.793742906,214,8.139 +18.165,0.803695041,214,7.447,18.885,0.801233654,218,8.548,18.735,0.798374537,220,9.016,18.535,0.795514434,220,9.16 +16.565,0.804379965,216,8.171,18.185,0.802512707,228,9.121,18.065,0.79965359,230,9.233,17.935,0.796695781,230,9.12 +15.005,0.8047698,219,9.36,17.285,0.80339699,231,10.337,17.235,0.800537873,232,10.18,17.235,0.797679743,232,9.794 +13.985,0.805259314,230,9.232,16.385,0.803886504,237,10.357,16.535,0.801127066,237,10.289,16.735,0.798466321,237,9.864 +13.165,0.805058969,217,8.101,16.585,0.80428226,227,8.785,16.665,0.801521836,225,8.671,16.735,0.798663706,225,8.634 +12.205,0.802690353,209,7.09,16.585,0.802507772,221,7.54,16.605,0.799747348,220,7.517,16.635,0.796889218,220,7.643 +11.245,0.802686405,210,7.159,15.685,0.802603504,218,7.599,15.885,0.799941772,218,7.616,16.035,0.797182334,218,7.772 +10.645,0.804360227,210,7.655,15.085,0.804277325,219,8.331,15.335,0.80161658,220,8.405,15.535,0.798955835,220,8.585 +10.465,0.80593733,210,7.229,14.785,0.80575475,222,7.856,15.015,0.802995312,225,8,15.235,0.800334567,225,8.208 +10.465,0.805247471,196,6.693,14.485,0.804965211,212,6.839,14.715,0.802205774,216,6.787,14.935,0.799545028,216,6.87 +10.245,0.805738959,198,6.733,14.085,0.805358006,207,6.562,14.335,0.802598569,213,6.294,14.535,0.799937824,213,6.225 +10.725,0.805740933,199,5.226,13.185,0.804369109,215,4.684,13.365,0.801609672,222,4.281,13.535,0.798850234,222,4.114 +11.205,0.805644214,178,3.728,12.285,0.803478905,197,2.807,12.385,0.800620775,207,2.279,12.535,0.797861337,207,2.002 +11.085,0.806334074,202,3.768,12.585,0.804367135,227,2.935,12.605,0.801509006,237,2.703,12.635,0.798649889,237,2.696 +11.105,0.804559585,206,2.895,12.785,0.802791019,236,2.036,12.735,0.79983321,247,1.864,12.735,0.796974093,247,1.953 +11.405,0.79973057,213,2.112,12.785,0.797763632,250,1.581,12.665,0.794805823,258,1.46,12.535,0.791848014,258,1.576 +14.245,0.798557118,268,0.288,13.885,0.79549963,258,0.336,13.565,0.792443129,253,0.395,13.235,0.78938564,253,0.466 +16.145,0.797183321,88,1.319,15.785,0.794125833,92,1.295,15.485,0.791168024,94,1.282,15.235,0.788209228,94,1.259 +17.445,0.79610264,103,2.062,17.085,0.793046139,106,2.075,16.785,0.790087343,107,2.072,16.535,0.787129534,107,2.092 +18.945,0.796304959,165,1.924,18.585,0.793247471,167,1.976,18.285,0.790289662,168,2.032,18.035,0.787331853,168,2.092 +20.145,0.795815445,200,4.026,19.785,0.792758944,200,4.141,19.485,0.78989884,200,4.232,19.235,0.786941031,200,4.332 +20.445,0.796802369,224,5.771,20.085,0.793745867,224,5.9,19.785,0.790787071,224,5.978,19.535,0.787829262,224,6.077 +19.925,0.798082408,223,7.387,19.685,0.795223291,224,7.847,19.385,0.792264495,224,8.119,19.035,0.789305699,224,8.357 +18.315,0.800048359,228,7.724,18.285,0.797190229,229,8.657,18.095,0.794330126,229,9.391,17.935,0.791372317,229,10.022 +16.705,0.802015297,233,8.061,16.885,0.79925586,236,9.477,16.815,0.796396743,238,10.664,16.735,0.793537626,238,11.678 +14.745,0.800233901,240,7.705,14.985,0.797573156,244,9.191,15.015,0.794715026,246,10.486,15.035,0.791954602,246,11.648 +13.045,0.803481865,242,8.042,13.285,0.80082112,247,9.606,13.485,0.798061683,249,11.039,13.735,0.795302245,249,12.352 +11.485,0.801800148,245,8.23,11.785,0.79914039,249,9.922,12.265,0.796578337,251,11.621,12.735,0.794017271,251,13.234 +10.345,0.802092277,234,7.169,10.585,0.79933284,241,8.627,11.335,0.796871453,244,10.319,12.035,0.794507772,244,12.094 +9.625,0.802681471,234,7.03,9.985,0.800020725,242,8.568,10.765,0.797658031,244,10.151,11.535,0.79529435,244,11.698 +9.185,0.801792253,218,6.098,9.785,0.799232174,234,7.402,10.435,0.7967698,238,8.701,11.035,0.794307427,238,9.983 +8.505,0.804155934,205,5.89,9.885,0.802188996,229,7.254,10.455,0.799628917,234,8.356,11.035,0.797166543,234,9.199 +7.705,0.802970639,191,5.583,9.685,0.801301752,218,6.196,10.205,0.798740686,226,6.767,10.735,0.796278312,226,7.326 +7.365,0.802377498,208,6.207,9.885,0.801105354,226,6.601,10.265,0.798444609,232,6.817,10.635,0.795883543,232,7.177 +7.305,0.80316605,204,5.682,10.185,0.802092277,225,5.623,10.415,0.79933284,231,5.574,10.635,0.796672095,231,5.769 +8.865,0.804156921,207,4.7,10.785,0.802488034,225,4.645,10.875,0.799629904,231,4.715,10.935,0.796870466,231,4.976 +10.425,0.805148779,214,3.718,11.385,0.802884777,242,3.656,11.335,0.799926968,251,3.867,11.335,0.797068838,251,4.173 +10.505,0.804754009,211,3.58,11.585,0.802589687,244,3.143,11.455,0.799533185,253,3.186,11.335,0.796674069,253,3.38 +9.965,0.80238638,201,4.65,11.585,0.800519122,217,4.091,11.455,0.797561313,222,3.689,11.335,0.794604491,222,3.46 +11.265,0.799434493,216,4.7,11.685,0.79687244,220,5.386,11.535,0.793914631,223,5.426,11.335,0.790956822,223,5.254 +13.645,0.798948927,249,4.254,13.285,0.795892425,249,4.358,12.955,0.792834937,249,4.449,12.635,0.789778436,249,4.56 +15.205,0.796588206,257,4.274,14.785,0.793531705,256,4.368,14.455,0.790474217,256,4.429,14.135,0.787516408,256,4.52 +16.345,0.79570491,250,3.639,15.985,0.792648409,250,3.716,15.665,0.78959092,250,3.778,15.335,0.786633111,250,3.856 +17.205,0.797087589,230,3.639,16.785,0.794031088,230,3.716,16.455,0.791072292,230,3.758,16.135,0.788113496,230,3.826 +17.645,0.79580755,220,4.631,17.285,0.792751049,220,4.714,16.955,0.789792253,220,4.765,16.635,0.786834444,220,4.828 +17.645,0.797384653,219,5.989,17.285,0.794328152,219,6.078,16.985,0.791369356,220,6.136,16.735,0.788411547,220,6.206 +17.185,0.797876141,212,7.308,16.885,0.794918332,213,7.451,16.565,0.791959536,213,7.556,16.235,0.788903035,213,7.653 +16.185,0.800534912,212,7.467,16.035,0.797577103,214,8.103,15.795,0.794619294,214,8.563,15.535,0.791660498,214,8.922 +15.185,0.803094004,211,7.625,15.185,0.800235875,213,8.756,15.035,0.797278066,214,9.569,14.835,0.794418949,214,10.181 +13.505,0.802201826,210,7.695,13.985,0.799639773,216,9.438,13.965,0.796781643,218,10.378,13.935,0.793922527,218,10.706 +12.185,0.8051547,229,8.359,13.085,0.802792006,235,10.614,13.135,0.799932889,236,11.522,13.235,0.797173452,236,11.37 +10.925,0.803868739,235,8.022,12.185,0.801803109,242,10.495,12.365,0.799043671,243,11.601,12.535,0.796284234,243,11.539 +9.965,0.806427831,228,7.476,11.585,0.804560572,239,9.497,11.835,0.801899827,241,10.437,12.035,0.799141377,241,10.498 +9.285,0.805735998,235,7.655,11.085,0.804066124,247,9.507,11.385,0.801307673,249,10.279,11.735,0.798646928,249,10.339 +8.845,0.804749075,224,6.624,10.885,0.80317888,245,7.609,11.185,0.800518135,249,7.991,11.535,0.79785739,249,8.109 +8.165,0.806323217,212,5.85,10.685,0.805051073,245,6.255,10.935,0.802291636,251,6.353,11.235,0.799532198,251,6.453 +7.445,0.805926474,200,4.69,10.385,0.804951394,241,4.18,10.615,0.802191957,251,3.985,10.835,0.799432519,251,3.985 +7.205,0.806122872,203,4.522,10.385,0.805246484,234,3.488,10.515,0.802388354,245,2.979,10.635,0.799628917,245,2.835 +7.505,0.808194424,205,3.143,10.385,0.807119664,241,1.897,10.415,0.804261535,261,1.44,10.435,0.801303726,261,1.338 +8.085,0.807111769,229,2.826,9.735,0.80524451,261,1.769,9.755,0.80238638,279,1.253,9.835,0.799527264,279,1.11 +8.665,0.806127807,236,2.509,9.085,0.803468048,264,1.631,9.105,0.800511226,281,1.065,9.135,0.79765211,281,0.882 +8.705,0.810366642,256,1.408,8.885,0.807508512,321,0.82,8.915,0.804650382,8,1.036,8.935,0.80169356,8,1.735 +8.745,0.808000987,237,0.535,8.685,0.805044165,86,1.275,8.705,0.802185048,88,2.269,8.735,0.799228226,88,3.182 +8.445,0.803761165,229,0.754,8.685,0.801002714,113,1.235,8.705,0.798044905,109,2.091,8.735,0.795186775,109,2.914 +10.445,0.802585739,108,3.034,10.085,0.799429558,109,3.133,9.785,0.796373057,109,3.216,9.535,0.793316556,109,3.321 +13.325,0.802398224,92,0.615,12.785,0.799242043,99,0.672,12.435,0.796184555,102,0.7,12.035,0.793029361,102,0.724 +14.905,0.802995312,64,0.694,14.485,0.799937824,69,0.731,14.115,0.796781643,72,0.75,13.735,0.793725142,72,0.743 +16.105,0.801323464,57,0.426,15.685,0.798167283,64,0.405,15.335,0.795109795,67,0.375,15.035,0.792151986,67,0.347 +16.385,0.801323464,61,2.4,16.085,0.798266963,62,2.382,15.755,0.795309154,62,2.358,15.435,0.792251665,62,2.349 +16.585,0.802211695,59,3.312,16.285,0.799253886,60,3.33,15.955,0.796196398,60,3.315,15.635,0.793139896,60,3.321 +16.485,0.803887491,65,4.016,16.185,0.800830002,66,4.071,15.885,0.797872193,66,4.094,15.535,0.794814705,66,4.124 +15.755,0.805461633,52,4.472,15.635,0.802504811,56,4.951,15.395,0.799546015,57,5.169,15.135,0.796588206,57,5.165 +15.025,0.807036763,52,4.938,15.085,0.804178633,55,5.84,14.915,0.801220824,56,6.244,14.735,0.798263015,56,6.206 +14.365,0.8085132,80,4.333,14.485,0.805753763,74,5.415,14.335,0.802795954,73,6.067,14.235,0.799838145,73,6.245 +14.045,0.80979324,119,4.551,14.285,0.807034789,101,5.336,14.165,0.80407698,97,5.939,14.035,0.801119171,97,6.275 +13.565,0.813045152,144,3.877,13.985,0.810483099,113,4.506,13.915,0.807526277,107,5.1,13.835,0.804568468,107,5.522 +12.885,0.812155934,150,4.442,13.785,0.809890945,118,5.06,13.735,0.806934123,113,5.643,13.735,0.803976314,113,6.136 +12.525,0.810774241,167,3.937,13.785,0.808708611,127,4.457,13.705,0.805750802,121,5.041,13.635,0.80279398,121,5.492 +12.405,0.811661485,160,3.946,13.785,0.809595855,118,4.704,13.705,0.806638046,114,5.426,13.635,0.803778929,114,5.908 +11.945,0.810969652,179,4.908,13.985,0.809399457,139,5.178,13.885,0.806441648,134,5.672,13.835,0.803483839,134,5.988 +11.305,0.811657538,175,5.93,14.485,0.81078115,139,6.167,14.335,0.807823341,137,6.511,14.235,0.804865532,137,6.771 +10.785,0.81076832,181,6.495,14.985,0.810585739,153,6.503,14.765,0.807529238,151,6.698,14.535,0.804471749,151,6.949 +9.545,0.810863064,190,7.853,14.885,0.811373304,169,8.677,14.735,0.808317789,169,8.977,14.535,0.805358993,169,9.13 +8.935,0.809973847,193,7.516,12.835,0.809592894,186,8.716,13.045,0.806833457,185,9.135,13.235,0.804074019,185,9.199 +8.325,0.809083642,192,7.179,10.785,0.807811498,181,8.756,11.365,0.805250432,180,9.303,11.935,0.802689366,180,9.269 +8.425,0.808493462,207,7.824,11.485,0.807517395,192,9.507,11.985,0.804956329,191,10.151,12.535,0.802395263,191,10.23 +9.785,0.80968073,196,8.101,12.185,0.808308907,193,10.436,12.585,0.805746854,192,11.571,13.035,0.803087096,192,11.727 +11.645,0.807419689,197,6.435,11.885,0.804660252,198,8.064,12.335,0.802000493,198,9.746,12.835,0.799439428,198,11.341 +15.145,0.805657044,187,4.393,14.785,0.80250185,188,4.714,14.535,0.799543055,189,5.12,14.335,0.79648754,189,5.611 +16.945,0.805170491,184,3.867,16.585,0.802113003,184,3.983,16.285,0.799155194,184,4.084,16.035,0.796098692,184,4.203 +17.945,0.804286208,171,2.826,17.585,0.801229706,173,2.905,17.255,0.79827091,173,2.989,16.935,0.795214409,173,3.073 +18.905,0.803106834,178,3.857,18.485,0.800049346,179,3.973,18.185,0.79709055,180,4.064,17.935,0.794132741,180,4.164 +19.745,0.803700962,184,5.107,19.385,0.800643474,184,5.238,19.065,0.797586973,185,5.327,18.735,0.794628177,185,5.432 +19.845,0.804785591,192,5.196,19.485,0.801826795,192,5.307,19.185,0.798770294,192,5.386,18.935,0.795811498,192,5.482 +19.585,0.804981989,189,7.209,19.285,0.802023193,189,7.431,18.985,0.798966691,189,7.596,18.735,0.796008882,189,7.762 +18.365,0.80596299,187,7.566,18.335,0.803104861,190,8.38,18.125,0.800147052,190,9.016,17.935,0.797189243,190,9.536 +17.145,0.806944979,206,7.923,17.385,0.804185542,206,9.319,17.265,0.801326425,205,10.427,17.135,0.798369603,205,11.311 +15.185,0.808812238,209,9.083,16.085,0.806448557,208,11.335,16.135,0.803689119,207,12.824,16.235,0.800830989,207,13.641 +13.785,0.811469035,200,9.39,15.285,0.809502097,196,11.898,15.485,0.806841352,194,13.396,15.735,0.804081915,194,14.017 +12.385,0.809689613,197,9.995,14.185,0.808019738,191,12.817,14.515,0.805358993,189,14.422,14.835,0.802699235,189,14.949 +11.025,0.811557858,190,11.145,12.285,0.809492228,185,14.033,12.935,0.806931162,184,16.001,13.535,0.804468789,184,17.13 +9.885,0.808399704,192,10.997,10.485,0.805838638,188,13.311,11.485,0.803574636,185,15.665,12.535,0.801409326,185,17.893 +9.525,0.809284974,187,10.56,10.185,0.806724895,182,12.837,11.285,0.804559585,179,15.083,12.335,0.802395263,179,17.13 +9.225,0.808495435,187,10.778,9.885,0.806034049,182,12.975,11.135,0.803869726,179,15.133,12.435,0.801804096,179,17.15 +9.525,0.808891192,185,11.066,10.185,0.806428818,181,13.242,11.415,0.804363188,178,15.409,12.635,0.802198865,178,17.398 +9.825,0.808399704,190,11.75,10.485,0.80593733,185,13.914,11.615,0.803772021,183,15.981,12.735,0.801607698,183,17.834 +9.745,0.808990871,190,11.482,10.285,0.806429805,185,13.647,11.415,0.804264495,183,15.705,12.535,0.802100173,183,17.517 +9.605,0.808693807,186,9.489,10.085,0.806034049,183,11.295,11.235,0.803869726,181,12.913,12.435,0.801804096,181,14.325 +9.465,0.808299038,190,7.506,9.885,0.805737972,187,8.934,11.065,0.803573649,184,10.131,12.235,0.80140834,184,11.123 +9.645,0.811552924,199,6.237,10.485,0.809190229,193,7.827,11.485,0.806926227,191,8.711,12.535,0.804663212,191,8.991 +10.525,0.807317049,194,6.445,11.485,0.804955342,192,8.43,12.335,0.802690353,191,9.618,13.235,0.800327659,191,9.983 +12.905,0.804664199,189,6.445,12.785,0.80170639,190,7.441,13.185,0.799144338,190,8.563,13.535,0.796484579,190,9.725 +16.185,0.806645941,197,6.207,15.885,0.80358944,197,6.572,15.565,0.800532939,197,6.905,15.235,0.79747545,197,7.247 +17.585,0.80280681,200,5.454,17.285,0.799848014,200,5.554,16.955,0.796791512,200,5.623,16.635,0.793832717,200,5.72 +18.445,0.801823834,199,5.523,18.085,0.798766346,199,5.623,17.785,0.795808537,199,5.682,17.535,0.792849741,199,5.76 +19.245,0.800150012,205,7.754,18.885,0.797093511,205,7.906,18.585,0.794134715,205,8,18.335,0.791176906,205,8.129 +19.445,0.800249692,207,8.359,19.085,0.797192203,207,8.528,18.785,0.794234394,208,8.642,18.535,0.791275598,208,8.773 +19.185,0.800643474,203,8.637,18.885,0.797684678,203,8.884,18.585,0.794628177,203,9.036,18.335,0.791669381,203,9.209 +18.585,0.800837898,198,8.21,18.285,0.797781396,199,8.696,18.015,0.794823587,199,8.997,17.735,0.791864792,199,9.259 +17.055,0.802312361,182,8.18,17.085,0.799453245,184,9.299,16.895,0.796594128,185,10.033,16.735,0.793636319,185,10.478 +15.525,0.803785838,191,8.151,15.885,0.801125093,191,9.912,15.785,0.798265976,192,11.058,15.735,0.795406859,192,11.688 +14.265,0.804274365,202,8.835,14.985,0.801910683,200,10.989,15.015,0.799052554,199,12.262,15.035,0.796193437,199,12.729 +13.125,0.804566494,197,9.132,14.085,0.802302492,196,11.612,14.255,0.799542068,196,13.11,14.435,0.796881322,196,13.73 +11.745,0.805054034,189,9.281,12.585,0.80269134,188,11.72,13.065,0.800130274,188,13.505,13.535,0.797568221,188,14.642 +10.385,0.803078214,182,9.142,10.685,0.800417469,182,11.038,11.565,0.798054774,182,13.199,12.435,0.795790772,182,15.415 +10.405,0.801107328,196,10.55,10.585,0.798249198,194,12.402,11.235,0.795786825,194,14.274,11.835,0.793423143,194,16.188 +10.305,0.8017962,193,10.203,10.485,0.799036763,192,11.987,10.785,0.796278312,192,13.722,11.035,0.793617567,192,15.484 +9.505,0.800512213,195,9.142,9.685,0.797752776,196,10.841,10.085,0.795093018,197,12.4,10.535,0.792433259,197,13.829 +9.025,0.800412534,189,7.734,9.385,0.797751789,192,9.191,9.705,0.795091044,195,10.358,10.035,0.792431285,195,11.341 +8.665,0.800706637,185,7.467,9.085,0.798046879,190,8.815,9.355,0.795287441,193,9.766,9.635,0.792626696,193,10.508 +8.105,0.801197138,182,7.476,8.585,0.798636072,187,8.924,8.885,0.795976314,189,10.003,9.235,0.793216876,189,10.855 +8.455,0.80041056,173,7.665,8.785,0.797651123,177,9.2,9.035,0.794990377,179,10.506,9.335,0.792231927,179,11.648 +8.805,0.799524303,190,7.853,8.985,0.796666173,191,9.467,9.185,0.793906736,191,11.009,9.435,0.791245991,191,12.441 +7.905,0.796958302,199,8.101,8.085,0.794198865,200,9.813,8.455,0.791539107,200,11.571,8.835,0.788878362,200,13.224 +8.425,0.797058969,191,8.359,8.185,0.794002467,192,9.764,8.455,0.79124303,193,11.137,8.735,0.788582285,193,12.471 +11.045,0.794603504,202,8.656,10.685,0.791546015,202,9.003,10.415,0.788489514,202,9.312,10.135,0.785433013,202,9.665 +13.445,0.793625463,199,11.135,13.085,0.790567974,199,11.533,12.755,0.787610165,199,11.858,12.435,0.784553664,199,12.213 +14.545,0.792643474,206,13,14.185,0.789585986,206,13.381,13.865,0.786628177,206,13.683,13.535,0.783570688,206,14.017 +15.205,0.792054281,209,14.457,14.785,0.788996792,209,14.873,14.455,0.785940291,209,15.192,14.135,0.782981495,209,15.534 +15.505,0.790970639,217,14.298,15.085,0.787913151,217,14.715,14.755,0.784856649,217,15.014,14.435,0.781897853,217,15.356 +15.645,0.792351345,217,13.475,15.285,0.789293856,217,13.865,14.955,0.786237355,217,14.156,14.635,0.783278559,217,14.473 +15.245,0.791463114,217,12.95,14.885,0.788405625,217,13.351,14.565,0.78544683,217,13.653,14.235,0.782390328,217,13.978 +14.885,0.792644461,217,12.464,14.585,0.789685665,217,13.015,14.285,0.786629164,217,13.426,14.035,0.783671355,217,13.839 +13.795,0.794612386,209,10.421,13.585,0.791654577,210,11.206,13.325,0.788598075,210,11.838,13.035,0.78563928,210,12.461 +12.705,0.796481619,218,8.389,12.585,0.793622502,219,9.398,12.355,0.790566,219,10.25,12.135,0.787608191,219,11.073 +11.945,0.794113003,219,8.964,11.885,0.791254873,220,10.08,11.685,0.788297064,220,11.049,11.535,0.785339255,220,11.975 +11.505,0.798153467,216,9.053,11.385,0.795195658,217,10.189,11.185,0.792237849,218,11.157,11.035,0.789181347,218,12.094 +11.265,0.7930264,216,11.542,11.085,0.790068591,217,12.699,10.835,0.78701209,217,13.613,10.535,0.784054281,217,14.483 +9.925,0.794106094,215,13.208,9.685,0.791148285,215,14.26,9.385,0.788091784,215,15.064,9.035,0.785035283,215,15.812 +8.885,0.791737478,220,14.517,8.585,0.78867999,220,15.742,8.315,0.785623489,220,16.711,8.035,0.782566987,220,17.645 +8.085,0.790058722,217,15.449,7.785,0.787002221,218,16.721,7.515,0.783945719,218,17.678,7.235,0.780888231,218,18.597 +7.625,0.790352825,217,15.667,7.385,0.787296324,217,16.978,7.115,0.784239822,217,17.984,6.835,0.781183321,217,18.924 +7.225,0.79045053,211,14.427,6.985,0.787394029,211,15.693,6.715,0.784336541,211,16.691,6.435,0.781280039,211,17.636 +6.925,0.791238095,206,13.158,6.685,0.788180607,207,14.319,6.415,0.785124106,207,15.241,6.135,0.782067604,207,16.129 +6.625,0.791630891,209,12.117,6.385,0.788574389,210,13.163,6.085,0.785517888,210,13.969,5.835,0.782461387,210,14.761 +7.405,0.79045053,205,11.562,7.135,0.787394029,206,12.541,6.835,0.784337528,206,13.278,6.535,0.781281026,206,13.988 +8.185,0.789368863,199,11.006,7.885,0.786312361,199,11.918,7.585,0.78325586,199,12.597,7.335,0.780199358,199,13.224 +7.885,0.788480632,206,10.798,7.585,0.78542413,205,11.691,7.285,0.782268937,205,12.41,7.035,0.779311128,205,13.115 +8.145,0.79400148,205,11.651,7.785,0.790944979,205,12.116,7.485,0.787789785,205,12.449,7.235,0.784733284,205,12.778 +8.285,0.790158401,205,11.899,7.985,0.787100913,205,12.412,7.665,0.784044412,205,12.795,7.335,0.78098791,205,13.204 +8.045,0.790551197,211,11.81,7.685,0.787396003,211,12.214,7.365,0.784339502,211,12.518,7.035,0.781282013,211,12.848 +7.645,0.79015544,221,12.147,7.285,0.787098939,221,12.541,6.955,0.783943745,221,12.824,6.635,0.780886257,221,13.145 +7.345,0.789169504,219,11.254,6.985,0.786112016,219,11.592,6.665,0.782956822,219,11.838,6.335,0.779900321,219,12.114 +7.445,0.79114039,220,10.927,7.085,0.787985196,220,11.226,6.755,0.784928695,220,11.453,6.435,0.781871207,220,11.717 +7.605,0.789071799,223,10.084,7.185,0.785915618,223,10.337,6.885,0.782859117,223,10.526,6.535,0.779801628,223,10.746 +7.445,0.79045053,224,9.341,7.085,0.787295337,224,9.586,6.755,0.784238835,224,9.746,6.435,0.781181347,224,9.943 +7.045,0.791139403,223,8.934,6.685,0.787984209,223,9.181,6.365,0.784926721,223,9.362,6.035,0.781771527,223,9.566 +6.705,0.794095238,215,7.705,6.435,0.791038737,216,8.341,6.175,0.787982235,216,8.878,5.935,0.784925734,216,9.378 +6.365,0.797051073,213,6.485,6.185,0.793995559,213,7.501,5.985,0.790939057,213,8.385,5.835,0.787981248,213,9.19 +5.225,0.79438638,208,6.743,5.285,0.791527264,210,8.123,5.185,0.788570442,211,9.135,5.035,0.785612633,211,9.923 +4.245,0.795170984,215,6.594,4.485,0.792411547,220,8.133,4.535,0.789553417,222,9.243,4.535,0.786695287,222,10.062 +3.625,0.796253639,210,6.941,4.285,0.793791266,220,8.4,4.335,0.790834444,223,9.135,4.435,0.787976314,223,9.507 +3.225,0.79457587,211,6.802,4.185,0.792312855,225,8.301,4.265,0.789453738,229,8.928,4.335,0.786595608,229,9.15 +2.865,0.795757217,207,7.794,4.185,0.793692573,221,9.131,4.205,0.790833457,225,9.559,4.235,0.787876635,225,9.705 +2.665,0.795362448,206,7.03,3.985,0.793297804,219,8.232,4.015,0.790339995,224,8.642,4.035,0.787481865,224,8.793 +2.405,0.79575623,208,7.1,3.785,0.793789292,221,8.123,3.815,0.79083247,226,8.454,3.835,0.78797434,226,8.634 +2.225,0.795164076,199,6.842,3.485,0.793098446,213,7.619,3.535,0.790141623,219,7.803,3.535,0.787283494,219,7.911 +1.865,0.796148038,194,7.308,3.185,0.794083395,200,7.935,3.235,0.791126573,205,7.793,3.335,0.788268443,205,7.643 +1.605,0.795260794,197,7.695,2.985,0.793195164,196,8.706,3.015,0.790337034,198,8.632,3.035,0.787380212,198,8.367 +2.255,0.796642487,187,7.09,3.335,0.794379472,188,8.242,3.325,0.79142265,189,8.277,3.335,0.788464841,189,7.96 +2.905,0.798025167,204,6.485,3.685,0.79556378,196,7.777,3.635,0.792605971,196,7.912,3.535,0.789649149,196,7.564 +2.665,0.795953615,212,6.961,3.985,0.793888971,212,7.461,3.865,0.79083247,215,7.369,3.735,0.787874661,215,7.316 +3.045,0.795167037,210,4.829,3.885,0.792804342,224,5.564,3.785,0.789846533,229,5.751,3.735,0.786791019,229,5.799 +4.485,0.793102393,227,4.145,4.185,0.7899472,230,4.477,4.015,0.786890698,233,4.992,3.835,0.783932889,233,5.621 +6.385,0.790151493,247,3.5,6.085,0.787094991,247,3.568,5.755,0.783939798,247,3.63,5.435,0.780882309,247,3.708 +7.845,0.791930915,261,4.284,7.485,0.788873427,261,4.368,7.165,0.785718233,260,4.419,6.835,0.782661732,260,4.501 +9.105,0.79006267,263,4.928,8.685,0.786906489,262,5.02,8.365,0.783849988,262,5.08,8.035,0.780792499,262,5.165 +9.845,0.792627683,262,6.306,9.485,0.789570195,261,6.423,9.165,0.786513694,261,6.501,8.835,0.783457192,261,6.592 +9.945,0.791642734,257,7.417,9.585,0.788585245,256,7.56,9.285,0.785528744,256,7.645,9.035,0.782472243,256,7.752 +9.785,0.79361362,253,7.992,9.485,0.790556131,253,8.163,9.165,0.787400938,253,8.267,8.835,0.784344436,253,8.396 +9.385,0.793907723,251,8.528,9.085,0.790851221,251,8.805,8.785,0.787793733,251,8.967,8.535,0.784737232,251,9.16 +8.025,0.796071058,249,8.418,7.785,0.793014557,250,9.102,7.525,0.789958056,250,9.628,7.235,0.786901554,250,10.151 +6.665,0.798235381,233,8.319,6.485,0.79517888,235,9.398,6.265,0.792122378,236,10.289,6.035,0.789065877,236,11.142 +5.205,0.796752036,241,9.053,5.085,0.793794226,242,10.297,4.915,0.790737725,242,11.394,4.735,0.787681224,242,12.471 +4.305,0.79753664,240,9.539,4.185,0.794579817,241,10.851,4.065,0.791523316,242,12.025,3.935,0.788565507,242,13.185 +3.885,0.799703923,245,8.309,3.885,0.796747101,248,9.606,3.785,0.793789292,249,10.743,3.735,0.790733777,249,11.836 +3.425,0.797928448,260,8.161,3.485,0.795070318,263,9.527,3.435,0.792013817,264,10.674,3.435,0.789056995,264,11.727 +2.645,0.798714039,293,8.25,2.585,0.79575623,295,9.576,2.435,0.792700716,296,10.624,2.335,0.789644214,296,11.549 +1.505,0.80196299,311,8.161,1.385,0.798906489,313,9.25,1.135,0.795751295,314,10.042,0.935,0.792596102,314,10.716 +-0.035,0.799394029,320,8.25,-0.215,0.796338515,322,9.339,-0.445,0.793183321,322,10.131,-0.665,0.790028127,322,10.835 +-1.295,0.798797927,319,7.645,-1.415,0.795742413,322,8.756,-1.585,0.792587219,323,9.579,-1.765,0.789530718,323,10.33 +-2.255,0.798794967,317,7.05,-2.315,0.795738465,321,8.222,-2.465,0.792584259,323,9.125,-2.665,0.789527757,323,9.893 +-3.215,0.799382186,322,6.842,-3.215,0.796425364,326,8.094,-3.315,0.793271157,328,9.007,-3.465,0.790214656,328,9.755 +-3.705,0.799676289,332,6.108,-3.765,0.796522082,333,7.175,-3.915,0.793465581,333,7.951,-4.065,0.790311374,333,8.615 +-4.195,0.7998717,334,5.374,-4.315,0.796717493,337,6.255,-4.515,0.7935623,338,6.905,-4.665,0.790407106,338,7.484 +-4.575,0.800363188,328,6.088,-4.515,0.797307673,334,7.155,-4.685,0.79415248,337,7.645,-4.865,0.790998273,337,7.95 +-4.575,0.799673328,328,5.573,-4.815,0.796419442,330,6.275,-4.915,0.793363928,333,7.024,-4.965,0.790209721,333,7.663 +-3.555,0.798987417,350,4.66,-3.915,0.795732544,350,4.793,-4.215,0.792478658,351,4.903,-4.465,0.789324451,351,5.046 +-2.295,0.798696274,2,5.166,-2.715,0.795342709,2,5.257,-3.045,0.792088823,3,5.337,-3.365,0.788834937,3,5.423 +-1.055,0.796235875,358,4.641,-1.415,0.792981989,358,4.704,-1.745,0.789826795,358,4.755,-2.065,0.786572909,358,4.818 +0.105,0.796438194,7,5.315,-0.315,0.793183321,7,5.396,-0.635,0.789929435,7,5.445,-0.965,0.786774241,7,5.522 +1.045,0.79624377,10,5.711,0.685,0.793088576,10,5.791,0.335,0.78983469,10,5.85,0.035,0.786679497,10,5.928 +1.945,0.796740192,16,6.445,1.585,0.793486306,17,6.552,1.255,0.790330126,17,6.609,0.935,0.787174932,17,6.711 +2.645,0.799108808,23,6.366,2.285,0.795952628,23,6.473,1.955,0.792797434,23,6.55,1.635,0.789543548,23,6.662 +3.045,0.801376758,23,6.584,2.685,0.798122872,23,6.759,2.385,0.794967678,23,6.886,2.035,0.791811498,23,7.038 +2.315,0.802950901,31,5.583,2.135,0.799895386,31,6.087,1.865,0.796740192,32,6.402,1.535,0.793584012,32,6.652 +1.585,0.804624722,25,4.591,1.585,0.801569208,30,5.415,1.335,0.798414014,31,5.919,1.035,0.795257834,31,6.275 +1.305,0.805608685,7,4.978,1.485,0.802751542,20,5.663,1.235,0.799596348,22,6.077,1.035,0.796441155,22,6.404 +0.885,0.80856452,10,5.265,1.185,0.80580607,21,5.979,0.915,0.802552184,24,6.304,0.635,0.79939699,24,6.543 +0.465,0.807676289,24,4.631,0.585,0.804719467,35,5.366,0.335,0.801564273,38,5.771,0.035,0.79840908,38,6.037 +-0.015,0.808757957,45,4.512,-0.015,0.805702443,55,5.188,-0.285,0.802547249,57,5.564,-0.565,0.799293363,57,5.859 +-0.495,0.809249445,64,5.126,-0.615,0.806095238,70,5.692,-0.865,0.802841352,70,5.988,-1.065,0.799686158,70,6.225 +-1.195,0.810823587,77,6.148,-1.015,0.807867752,77,6.858,-1.265,0.804712559,76,7.142,-1.465,0.801458673,76,7.366 +-1.415,0.808851715,80,4.918,-1.115,0.805994572,76,5.682,-1.335,0.802839378,75,5.968,-1.565,0.799684185,75,6.176 +-1.455,0.809936343,76,4.819,-1.215,0.807078214,74,5.445,-1.385,0.80392302,75,5.692,-1.565,0.800768813,75,5.938 +-1.675,0.80963928,66,4.938,-1.315,0.806880829,68,5.455,-1.385,0.803726622,70,5.791,-1.465,0.800670121,70,6.225 +-2.315,0.809143844,41,5.305,-1.715,0.806583765,51,5.742,-1.695,0.803528251,55,6.077,-1.665,0.800472736,55,6.553 +-3.585,0.807266716,320,4.095,-3.015,0.804606958,4,4.388,-2.805,0.801749815,16,4.686,-2.565,0.798891685,16,5.066 +-4.855,0.805388601,318,2.895,-4.315,0.802631137,4,3.034,-3.915,0.799872687,14,3.285,-3.465,0.797212929,14,3.589 +-4.835,0.806374537,313,1.23,-4.415,0.803616087,42,1.542,-4.015,0.800857636,51,2.18,-3.665,0.798099186,51,2.746 +-4.775,0.807261781,314,1.15,-4.115,0.804701702,74,2.125,-3.735,0.801943252,76,2.93,-3.365,0.799086109,76,3.539 +-3.755,0.803619048,37,1.081,-4.115,0.800365162,60,1.542,-3.735,0.797606711,69,2.713,-3.365,0.794848261,69,3.975 +-1.595,0.802542314,67,2.251,-2.015,0.799288428,69,2.342,-2.285,0.796034542,70,2.466,-2.565,0.792879349,70,2.637 +0.245,0.801859363,62,3.183,-0.115,0.798605477,63,3.261,-0.445,0.795351591,63,3.334,-0.765,0.792097705,63,3.43 +1.945,0.800584259,67,3.461,1.585,0.797429065,67,3.518,1.235,0.794175179,68,3.581,0.835,0.791018998,68,3.648 +3.345,0.80118036,53,3.53,2.985,0.797926474,53,3.587,2.635,0.794771281,54,3.63,2.335,0.791616087,54,3.678 +4.245,0.799803602,43,3.887,3.885,0.796648409,44,3.933,3.565,0.793493215,44,3.966,3.235,0.790338021,44,4.015 +4.585,0.80069282,32,4.194,4.285,0.79753664,32,4.249,3.955,0.794381446,33,4.291,3.635,0.791226252,33,4.352 +4.545,0.803353565,30,4.204,4.185,0.800099679,30,4.319,3.885,0.796944486,30,4.4,3.535,0.793788305,30,4.481 +4.485,0.804930669,14,4.442,4.335,0.801874167,16,4.674,4.045,0.798718974,17,4.706,3.735,0.79556378,17,4.729 +4.425,0.806606464,3,4.67,4.485,0.803648655,9,5.03,4.215,0.800493462,11,5.011,3.935,0.797338268,11,4.967 +4.185,0.809463607,343,4.581,4.185,0.806506785,353,4.437,3.935,0.803351591,356,4.232,3.735,0.800196398,356,4.134 +3.525,0.809362941,343,4.769,3.585,0.806406119,352,4.585,3.435,0.803349618,355,4.252,3.335,0.800294103,355,4.025 +2.705,0.809458673,331,4.551,2.885,0.806600543,343,4.23,2.885,0.803545028,348,3.788,2.935,0.800588206,348,3.549 +1.985,0.810736738,336,4.373,2.285,0.807978288,352,4.16,2.365,0.805021466,359,3.995,2.435,0.802064643,359,4.104 +1.325,0.808467802,334,4.7,1.685,0.805709351,351,4.773,1.785,0.802851221,358,4.775,1.835,0.799894399,358,4.917 +0.825,0.809056995,318,3.798,1.185,0.806298544,347,3.617,1.415,0.803441401,359,3.591,1.635,0.800583272,359,3.727 +0.645,0.809746854,309,3.342,1.185,0.807087096,346,2.688,1.515,0.804328645,6,2.673,1.835,0.801569208,6,2.994 +0.585,0.807972366,304,2.667,1.185,0.8054113,351,1.808,1.635,0.802751542,22,2.091,2.035,0.799993092,22,2.825 +0.325,0.806788058,301,2.985,0.985,0.804227979,339,1.897,1.565,0.801666913,11,1.904,2.135,0.799106834,11,2.577 +-0.515,0.810235381,319,4.462,0.085,0.807575623,347,3.735,0.785,0.805015544,0,3.472,1.535,0.802554157,0,3.589 +-1.455,0.808456945,318,4.284,-0.915,0.805798174,338,3.923,-0.335,0.803237108,344,3.768,0.235,0.800578337,344,3.866 +-2.395,0.806778189,335,4.095,-1.915,0.804118431,359,4.111,-1.465,0.80135998,4,4.074,-0.965,0.798701209,4,4.144 +-2.735,0.804214162,315,2.578,-2.315,0.801455712,336,2.332,-1.795,0.798795954,342,2.466,-1.265,0.796137182,342,2.706 +-2.995,0.804311868,322,2.172,-2.215,0.801850481,357,2.204,-1.665,0.799190723,7,2.673,-1.065,0.796630644,7,3.291 +-2.975,0.799186775,279,1.596,-2.615,0.796427338,335,1.522,-1.985,0.793867259,359,1.815,-1.365,0.79130718,359,2.399 +0.505,0.799297311,16,0.962,0.085,0.796043425,21,0.968,-0.145,0.792888231,24,1.026,-0.365,0.78983173,24,1.17 +2.845,0.797926474,35,1.834,2.485,0.794770294,37,1.838,2.165,0.7916151,38,1.855,1.835,0.788361214,38,1.874 +4.105,0.796156921,27,2.578,3.685,0.79300074,27,2.599,3.365,0.789845547,28,2.604,3.035,0.786689366,28,2.607 +6.205,0.797149766,25,1.854,5.785,0.793993585,26,1.828,5.455,0.790838391,26,1.795,5.135,0.78778189,26,1.774 +6.845,0.797250432,35,2.34,6.485,0.794094251,35,2.332,6.165,0.79103775,35,2.318,5.835,0.787882556,35,2.3 +7.245,0.797448803,50,2.766,6.885,0.79429361,50,2.787,6.585,0.791236121,51,2.782,6.335,0.788080928,51,2.776 +7.185,0.79803997,47,2.737,6.885,0.794983469,48,2.767,6.585,0.791828275,48,2.782,6.335,0.788771774,48,2.786 +6.945,0.80119319,27,3.282,6.735,0.798136689,34,3.271,6.495,0.794981495,36,3.196,6.235,0.791924994,36,3.103 +6.705,0.804248705,357,3.837,6.585,0.801290896,7,3.775,6.415,0.798234394,9,3.601,6.235,0.795177893,9,3.42 +6.225,0.805527757,348,2.876,6.285,0.802570935,7,2.816,6.165,0.799613126,12,2.713,6.035,0.796557612,12,2.637 +5.925,0.807006168,348,1.13,5.985,0.804147052,50,1.393,5.985,0.801190229,60,1.716,6.035,0.798233407,60,2.062 +5.805,0.807301258,206,0.992,5.985,0.804443129,136,1.265,6.115,0.801584999,130,1.726,6.235,0.798726869,130,2.112 +5.825,0.807596348,166,2.231,6.185,0.80493659,133,2.54,6.415,0.80207846,131,2.91,6.635,0.79932001,131,3.182 +5.825,0.805132001,172,2.776,6.485,0.802571922,147,2.708,6.685,0.799812485,144,2.959,6.935,0.796955342,144,3.281 +5.445,0.806609425,162,4.036,6.585,0.80434641,141,3.805,6.835,0.801586973,141,4.035,7.035,0.798828522,141,4.401 +4.265,0.806506785,177,6.138,7.085,0.805433013,163,6.137,7.435,0.802673575,164,6.461,7.835,0.800013817,164,6.969 +3.105,0.804235875,192,8.736,7.485,0.804152973,180,9.783,8.015,0.801591907,181,10.23,8.535,0.799030841,181,10.587 +2.805,0.803446336,197,11.235,7.485,0.803560819,190,13.628,8.265,0.801198125,191,14.284,9.035,0.798736738,191,14.334 +3.365,0.805221811,198,12.851,7.685,0.805138909,194,15.851,8.385,0.802677523,195,16.81,9.035,0.800313842,195,16.843 +2.575,0.804825068,195,8.795,5.485,0.803751295,193,11.009,6.325,0.801388601,194,11.976,7.135,0.799025907,194,12.342 +1.785,0.804329632,177,4.75,3.285,0.802363681,185,6.157,4.265,0.800099679,188,7.132,5.235,0.797835677,188,7.841 +2.145,0.803148285,185,5.493,4.485,0.80167777,187,6.651,5.165,0.799215396,190,7.211,5.835,0.796754009,190,7.574 +3.385,0.802758451,201,7.734,6.685,0.801980755,199,9.102,7.165,0.799419689,201,9.48,7.635,0.796759931,201,9.546 +5.065,0.798525537,210,7.982,6.385,0.796459906,210,10.287,7.065,0.79399852,211,11.64,7.735,0.791634838,211,12.263 +8.805,0.799030841,213,5.295,8.685,0.796074019,213,6.236,8.685,0.79311621,214,7.201,8.735,0.79025808,214,8.149 +11.745,0.798548236,232,3.946,11.385,0.795393042,232,4.091,11.085,0.792336541,231,4.242,10.835,0.789279053,231,4.421 +12.845,0.797172465,245,2.082,12.485,0.794114977,243,2.154,12.185,0.791058475,242,2.229,11.935,0.788001974,242,2.31 +13.645,0.795498643,242,2.072,13.285,0.792442142,240,2.144,12.955,0.789384653,239,2.21,12.635,0.786426844,239,2.3 +14.145,0.795303232,243,1.785,13.785,0.792246731,241,1.848,13.485,0.789189243,240,1.914,13.235,0.786231434,240,1.983 +13.985,0.796485566,302,1.388,13.685,0.793429065,299,1.393,13.385,0.790372564,298,1.411,13.035,0.787413768,298,1.418 +13.485,0.797273131,301,0.942,13.185,0.794314335,295,0.959,12.885,0.791257834,293,0.967,12.535,0.788200345,293,0.991 +12.935,0.799735505,334,0.972,12.785,0.796777696,325,0.988,12.535,0.793819887,321,0.878,12.335,0.790763385,321,0.743 +12.385,0.802197878,32,1.001,12.385,0.799241056,37,1.018,12.185,0.79628226,36,0.799,12.035,0.793325438,36,0.496 +11.405,0.803179867,244,2.36,11.585,0.800420429,248,2.243,11.455,0.797463607,250,2.308,11.335,0.794505798,250,2.498 +9.885,0.803963484,232,4.898,11.085,0.801799161,245,4.763,10.985,0.798841352,248,4.696,10.835,0.795982235,248,4.729 +7.945,0.802576857,243,7.457,10.585,0.801304713,266,7.817,10.505,0.798445596,268,7.695,10.435,0.795488774,268,7.584 +7.005,0.804742166,245,7.764,9.585,0.803568715,274,8.39,9.605,0.800610906,278,8.267,9.635,0.797752776,278,7.921 +6.525,0.803557858,256,8.785,9.285,0.802483099,278,9.418,9.315,0.799624969,282,9.372,9.335,0.79666716,282,9.209 +6.425,0.805134962,243,6.763,9.485,0.804159882,279,6.918,9.435,0.801202073,285,6.777,9.435,0.798343943,285,6.592 +5.905,0.804048359,233,6.039,9.385,0.803370343,277,5.712,9.315,0.800413521,283,5.485,9.235,0.797455712,283,5.323 +5.405,0.804539847,224,4.769,8.585,0.803762151,276,4.19,8.605,0.800805329,287,4.084,8.635,0.797946213,287,3.965 +4.965,0.80483395,230,4.859,7.785,0.803759191,274,4.319,7.835,0.800901061,287,4.193,7.935,0.797944239,287,4.074 +4.725,0.806607451,241,4.75,6.885,0.805037256,287,4.566,6.955,0.802179127,298,4.429,7.035,0.799320997,298,4.183 +4.755,0.804438194,279,5.721,6.135,0.802472243,296,5.85,6.205,0.799515421,299,5.613,6.335,0.796657291,299,5.224 +4.785,0.802368616,310,6.693,5.385,0.799808537,325,7.135,5.455,0.79694942,328,6.797,5.535,0.79409129,328,6.255 +3.125,0.804433259,294,6.882,4.385,0.802367629,319,7.046,4.615,0.799509499,324,6.521,4.835,0.796750062,324,5.908 +2.585,0.802459413,281,5.87,4.085,0.800493462,314,5.84,4.335,0.797734024,321,5.436,4.535,0.794974587,321,5.095 +3.105,0.797335307,284,5.275,3.285,0.794478164,299,6.532,3.665,0.791817419,304,6.767,4.035,0.789157661,304,6.414 +4.645,0.799017024,306,3.203,4.285,0.795860844,306,3.39,4.135,0.792805329,307,3.571,4.035,0.78984752,307,3.807 +6.305,0.796558599,322,3.55,5.885,0.793303726,321,3.637,5.565,0.790247224,321,3.689,5.235,0.787092031,321,3.757 +7.805,0.796366149,330,2.846,7.385,0.793210955,330,2.866,7.035,0.790153467,330,2.881,6.735,0.786998273,330,2.895 +8.945,0.795482852,327,3.272,8.585,0.792327659,326,3.301,8.255,0.789271157,326,3.315,7.935,0.786213669,326,3.341 +9.545,0.795879595,348,4.145,9.185,0.792724402,348,4.18,8.865,0.789666913,348,4.193,8.535,0.786610412,348,4.233 +9.745,0.797260301,349,4.581,9.385,0.794105107,349,4.635,9.065,0.791047619,349,4.666,8.735,0.787991118,349,4.719 +9.585,0.800414508,357,4.7,9.285,0.797258327,357,4.783,8.985,0.794201826,357,4.824,8.735,0.791145324,357,4.897 +9.455,0.801202073,347,4.67,9.335,0.798145571,351,5.02,9.075,0.79508907,352,5.11,8.835,0.792032568,352,5.085 +9.325,0.801990624,324,4.65,9.385,0.799131508,332,5.257,9.165,0.796075006,335,5.396,8.935,0.793018505,335,5.264 +8.065,0.80573205,300,6.624,8.485,0.803071305,313,7.422,8.335,0.800015791,316,7.32,8.235,0.797057982,316,6.8 +6.885,0.804840859,307,6.296,7.485,0.802279793,319,7.214,7.485,0.799420676,323,7.073,7.535,0.796463854,323,6.394 +6.125,0.805330373,295,6.435,7.085,0.802968665,315,6.977,7.185,0.800110535,319,6.669,7.335,0.797252406,319,6.047 +5.545,0.807891438,304,6.296,6.385,0.805528744,325,7.145,6.515,0.802670614,330,7.418,6.635,0.799812485,330,7.415 +5.045,0.807495682,303,6.366,5.885,0.805132988,326,7.086,6.015,0.802176166,332,7.359,6.135,0.799318036,332,7.435 +4.945,0.808086849,302,5.354,5.485,0.805427091,330,5.346,5.715,0.802667654,340,5.159,5.935,0.799809524,340,4.957 +4.225,0.80699926,292,4.829,5.185,0.804736245,323,4.151,5.455,0.801878115,333,3.413,5.735,0.799119664,333,2.954 +4.085,0.808773748,304,3.768,4.685,0.80611399,343,3.054,4.955,0.803355539,357,2.644,5.235,0.800596102,357,2.637 +3.445,0.806997286,302,3.827,3.985,0.80443622,330,2.826,4.335,0.801676783,343,2.081,4.735,0.799017024,343,1.814 +2.745,0.807980262,308,4.085,3.285,0.805320503,332,3.083,3.835,0.802759437,344,2.249,4.435,0.800199358,344,1.963 +2.595,0.807388108,292,3.669,3.135,0.804827042,308,2.787,3.675,0.802167283,311,1.943,4.235,0.799607205,311,1.626 +2.445,0.806795954,291,3.242,2.985,0.804234888,320,2.48,3.515,0.801576116,331,1.638,4.035,0.799015051,331,1.289 +2.525,0.806993338,292,2.36,2.885,0.804234888,319,1.443,3.485,0.801673822,341,0.908,4.035,0.799113743,341,1.15 +2.645,0.80561362,265,0.793,2.885,0.802855169,160,0.731,3.535,0.800294103,138,1.499,4.235,0.797832717,138,2.181 +2.645,0.801277079,269,0.605,3.185,0.798617321,149,0.652,3.865,0.796155934,131,1.332,4.535,0.79369356,131,1.824 +5.605,0.801484333,128,1.349,5.185,0.798329139,132,1.472,5.385,0.795471009,134,1.667,5.535,0.792711572,134,1.963 +9.005,0.800412534,133,1.319,8.585,0.797256353,137,1.374,8.255,0.79410116,139,1.43,7.935,0.791043671,139,1.507 +11.345,0.800715519,134,1.448,10.985,0.797560326,138,1.482,10.665,0.794502837,140,1.519,10.335,0.791446336,140,1.576 +13.145,0.799045645,175,1.715,12.785,0.795989144,177,1.779,12.485,0.792932642,178,1.864,12.235,0.789875154,178,1.943 +14.445,0.800232914,193,1.596,14.085,0.797176413,194,1.67,13.785,0.794217617,194,1.746,13.535,0.791161115,194,1.824 +14.985,0.800432272,216,3.708,14.685,0.797375771,216,3.815,14.385,0.794416975,216,3.897,14.035,0.791360474,216,3.995 +14.985,0.802305453,227,4.561,14.685,0.799247964,227,4.852,14.385,0.796191463,227,5.061,14.035,0.793133975,227,5.264 +13.995,0.804174685,207,4.204,13.935,0.801216876,213,4.724,13.725,0.798259067,215,5.14,13.535,0.795301258,215,5.502 +13.005,0.806043918,195,3.847,13.185,0.803284481,210,4.595,13.065,0.800327659,213,5.218,12.935,0.797369849,213,5.74 +10.405,0.805542561,208,7.695,11.485,0.803377251,216,9.615,11.585,0.800519122,219,10.703,11.735,0.797759684,219,11.192 +8.345,0.805535653,206,9.896,10.085,0.803767086,211,12.521,10.385,0.801106341,213,13.752,10.735,0.79834789,213,14.067 +7.065,0.804249692,212,10.223,9.285,0.802779176,210,13.39,9.635,0.800118431,209,14.876,10.035,0.797458673,209,15.217 +5.925,0.802767333,201,9.876,8.085,0.80129583,201,12.985,8.635,0.798734764,202,14.432,9.235,0.796174685,202,14.781 +5.125,0.803454231,197,9.896,7.285,0.801982729,196,12.847,8.015,0.799521342,198,14.146,8.735,0.797059956,198,14.414 +4.705,0.805030348,195,9.836,6.685,0.803361461,193,12.758,7.535,0.800998766,194,14.146,8.335,0.798635085,194,14.513 +4.585,0.802367629,194,10.005,6.085,0.800401678,193,12.718,7.035,0.79803997,194,14.265,8.035,0.795775968,194,15.068 +4.485,0.80355095,187,9.648,5.385,0.801188256,187,11.809,6.415,0.799022946,188,13.623,7.435,0.796759931,188,15.147 +4.245,0.803253886,194,9.807,5.085,0.800891192,192,12.037,6.105,0.798628177,192,14.205,7.135,0.796364175,192,16.139 +3.965,0.80256304,194,10.521,4.685,0.800101653,193,12.798,5.765,0.797837651,194,15.103,6.835,0.795673328,194,17.189 +4.005,0.80226795,198,8.756,4.785,0.799805576,198,10.693,5.735,0.797542561,200,12.42,6.735,0.795278559,200,13.918 +4.045,0.802070565,207,6.991,4.885,0.799609178,203,8.588,5.705,0.797246484,205,9.737,6.535,0.79488379,205,10.637 +4.065,0.800985936,214,7.774,6.285,0.799515421,209,9.714,6.765,0.796954355,210,10.378,7.235,0.794393289,210,10.488 +4.465,0.800395756,211,7.933,6.685,0.798925241,211,9.507,7.065,0.79616679,213,9.875,7.435,0.793604737,213,9.844 +6.025,0.796754996,208,5.662,6.385,0.794094251,215,7.352,6.815,0.791434493,219,8.582,7.235,0.788873427,219,9.388 +9.585,0.798442635,216,4.72,9.285,0.795287441,217,5.05,9.015,0.79223094,217,5.376,8.735,0.789173452,217,5.76 +12.445,0.795298298,236,8.478,12.085,0.792240809,236,8.687,11.755,0.789184308,236,8.849,11.435,0.78612682,236,9.041 +13.545,0.795696028,237,9.727,13.185,0.792638539,237,9.942,12.865,0.789582038,237,10.082,12.535,0.786525537,237,10.25 +13.905,0.795007155,239,10.064,13.485,0.791949667,239,10.287,13.185,0.788893166,239,10.427,12.935,0.785935357,239,10.597 +13.945,0.794415988,235,9.37,13.585,0.7913585,235,9.576,13.285,0.788400691,235,9.717,13.035,0.785344189,235,9.864 +13.745,0.795697015,236,9.301,13.385,0.792639526,236,9.536,13.085,0.789681717,236,9.677,12.835,0.786624229,236,9.864 +12.985,0.797271157,235,9.43,12.685,0.794313348,236,9.843,12.385,0.79125586,236,10.141,12.035,0.788199358,236,10.419 +11.305,0.798053787,238,9.291,11.185,0.795096965,238,10.149,10.955,0.792138169,239,10.812,10.735,0.789081668,239,11.42 +9.625,0.798837404,240,9.152,9.685,0.795978288,242,10.455,9.535,0.793020479,243,11.483,9.335,0.79006267,243,12.431 +7.605,0.798928201,242,9.023,7.785,0.796169751,244,10.554,7.705,0.793211942,245,11.848,7.635,0.790254133,245,13.026 +5.965,0.799908216,221,8.855,6.385,0.797248458,227,10.614,6.535,0.79448902,230,12.015,6.735,0.791630891,230,13.224 +4.785,0.802171231,237,7.843,5.385,0.799709845,242,9.714,5.735,0.796950407,244,11.266,6.035,0.794290649,244,12.59 +4.085,0.800690846,233,7.308,5.285,0.798526524,246,8.983,5.585,0.795767086,251,10.013,5.935,0.793008636,251,10.667 +3.765,0.801182334,242,7.516,5.685,0.799513447,266,8.983,5.815,0.796655317,272,9.569,5.935,0.793797187,272,9.735 +3.645,0.802364668,252,7.308,5.685,0.800794473,283,8.311,5.685,0.797837651,290,8.592,5.735,0.794979521,290,8.575 +3.685,0.80285813,262,6.406,5.185,0.800891192,296,7.007,5.135,0.797835677,303,7.162,5.035,0.794877868,303,7.118 +3.425,0.803842092,283,6.217,4.385,0.801480385,311,6.809,4.365,0.798522576,317,6.886,4.335,0.795565754,317,6.741 +2.805,0.804234888,281,5.682,3.585,0.801773501,309,5.84,3.685,0.798816679,316,5.475,3.835,0.795958549,316,4.967 +2.305,0.804626696,280,5.473,3.085,0.80216531,308,5.257,3.235,0.79930718,314,4.567,3.335,0.79644905,314,3.787 +2.475,0.803247964,301,6.604,3.285,0.800786578,312,6.572,3.425,0.797928448,315,5.82,3.535,0.795070318,315,4.986 +2.645,0.801868246,303,7.744,3.485,0.799505551,313,7.886,3.615,0.796647422,315,7.073,3.735,0.793789292,315,6.176 +1.165,0.801961017,301,7.447,2.185,0.799698001,316,8.637,2.485,0.796939551,319,8.237,2.835,0.794180113,319,7.266 +0.645,0.799988157,297,3.946,1.185,0.797328399,325,4.892,1.585,0.794668641,334,4.696,2.035,0.792008882,334,4.094 +1.345,0.795850975,258,1.14,0.985,0.792597089,283,1.107,1.335,0.789936343,315,0.908,1.735,0.787177893,315,1.665 +3.485,0.796647422,139,2.201,3.185,0.793491241,140,2.312,2.915,0.790336047,140,2.446,2.635,0.787279546,140,2.637 +6.605,0.796460893,153,1.884,6.185,0.793304713,154,1.967,5.865,0.790149519,154,2.042,5.535,0.787093018,154,2.151 +9.245,0.793906736,158,1.319,8.885,0.790751542,161,1.374,8.565,0.787695041,162,1.43,8.235,0.784637552,162,1.507 +10.945,0.796673082,339,0.664,10.585,0.793615593,331,0.642,10.285,0.790559092,327,0.621,10.035,0.787502591,327,0.605 +11.845,0.797267209,46,1.517,11.485,0.794112016,48,1.472,11.185,0.791054528,49,1.411,10.935,0.788096718,49,1.338 +12.185,0.796085862,23,1.715,11.885,0.793028374,23,1.69,11.585,0.790070565,24,1.638,11.335,0.787014064,24,1.586 +12.485,0.798649889,49,0.059,12.185,0.795592401,149,0.059,11.915,0.792634592,174,0.138,11.635,0.78957809,174,0.238 +11.705,0.801012583,211,3.401,11.585,0.798054774,213,3.923,11.375,0.795096965,214,4.39,11.135,0.792139156,214,4.857 +10.925,0.80347397,235,6.743,10.985,0.80061584,236,7.787,10.835,0.797658031,237,8.651,10.735,0.794602517,237,9.487 +8.645,0.801002714,236,7.07,8.885,0.798243277,240,8.499,8.955,0.795482852,242,9.796,9.035,0.792624722,242,10.994 +7.245,0.80247619,234,6.852,7.785,0.799915125,241,8.291,8.065,0.797155687,244,9.332,8.335,0.794494942,244,10.131 +6.105,0.800796447,240,8.171,7.485,0.798829509,251,10.347,7.915,0.796169751,253,11.315,8.335,0.793509993,253,11.539 +5.365,0.801976807,229,7.645,7.285,0.80030792,245,9.497,7.765,0.797648162,249,10.398,8.235,0.795086109,249,10.696 +5.005,0.804045398,243,8.498,7.285,0.802673575,261,10.228,7.665,0.80001283,265,10.999,8.035,0.797353072,265,11.222 +4.785,0.803847027,265,8.428,6.585,0.802079447,285,10.406,6.885,0.799418702,290,11.522,7.235,0.796660252,290,12.064 +4.805,0.80512904,289,8.141,5.585,0.802667654,304,9.566,5.605,0.799808537,308,10.526,5.635,0.796851715,308,11.251 +3.785,0.804533925,307,8.587,4.385,0.80197286,317,10.07,4.265,0.798917345,319,10.841,4.135,0.795860844,319,11.321 +2.765,0.804037503,303,7.655,3.185,0.801279053,314,8.845,3.065,0.798222551,317,9.569,2.935,0.795167037,317,10.151 +1.865,0.804822107,309,7.764,2.285,0.802063657,319,9.013,2.205,0.799106834,322,9.628,2.135,0.796050333,322,10.052 +1.095,0.802946953,311,7.724,1.485,0.800287195,318,9.092,1.455,0.797230693,321,9.628,1.435,0.794273871,321,9.854 +0.325,0.801169504,313,7.695,0.685,0.798411054,322,9.171,0.705,0.795454231,326,9.618,0.735,0.792497409,326,9.655 +-0.555,0.802349864,305,6.961,-0.015,0.799690106,319,8.054,0.015,0.796733284,325,7.941,0.035,0.793776462,325,7.445 +-1.175,0.802051813,319,6.832,-1.115,0.798996299,325,8.38,-1.015,0.796138169,330,8.651,-0.965,0.793181347,330,8.456 +-0.455,0.799885517,329,5.374,-0.815,0.796631631,330,5.771,-1.015,0.793476437,331,6.087,-1.165,0.79032223,331,6.404 +0.645,0.798213669,347,3.302,0.285,0.794959783,348,3.37,-0.045,0.791804589,348,3.423,-0.365,0.788550703,348,3.499 +1.745,0.797331359,5,3.937,1.385,0.794076487,5,3.983,1.065,0.790921293,5,4.035,0.735,0.787667407,5,4.094 +2.745,0.797826795,9,4.888,2.385,0.794572909,9,4.951,2.035,0.791417715,9,4.992,1.735,0.788262522,9,5.056 +3.605,0.796647422,4,4.799,3.185,0.793393536,4,4.862,2.865,0.790237355,4,4.913,2.535,0.787082161,4,4.976 +4.345,0.797734024,4,4.958,3.985,0.794480138,4,5.03,3.665,0.791324944,4,5.08,3.335,0.788169751,4,5.155 +4.745,0.798031088,3,4.74,4.385,0.794875894,3,4.813,4.065,0.791720701,3,4.863,3.735,0.788565507,3,4.947 +4.845,0.800496422,10,5.275,4.485,0.797241549,10,5.406,4.185,0.794086356,10,5.495,3.935,0.791029854,10,5.601 +5.425,0.802567974,11,5.662,5.335,0.79951246,13,6.236,5.065,0.796455959,14,6.511,4.835,0.793300765,14,6.662 +6.005,0.804640513,22,6.049,6.185,0.801881076,25,7.076,5.935,0.798725882,26,7.527,5.735,0.795669381,26,7.712 +4.985,0.806608438,29,7.238,5.285,0.803849001,35,8.667,5.085,0.800693807,37,9.441,4.935,0.797638293,37,9.893 +3.405,0.80630644,45,7.843,3.585,0.80344831,48,9.171,3.415,0.800392795,48,10.111,3.235,0.797237602,48,10.905 +2.165,0.806794967,44,6.653,2.285,0.803936837,46,7.807,2.285,0.800881322,46,8.839,2.335,0.7979245,46,9.893 +1.485,0.805905749,48,5.9,1.485,0.802850234,50,6.809,1.635,0.799992105,50,7.714,1.835,0.797133975,50,8.743 +1.105,0.806298544,49,5.543,0.985,0.803242043,53,6.236,1.115,0.800285221,54,7.053,1.235,0.797427091,54,8.04 +0.525,0.80659166,50,5.553,0.585,0.803536146,59,6.295,0.665,0.800579324,61,7.132,0.735,0.797721194,61,8.119 +0.065,0.806195904,53,5.255,0.185,0.803239082,68,6.097,0.235,0.80028226,71,6.984,0.335,0.797325438,71,7.96 +-0.255,0.805208981,60,5.404,-0.015,0.802351838,77,6.384,-0.065,0.799295337,80,7.3,-0.065,0.796338515,80,8.208 +-0.815,0.806882803,49,4.66,-0.515,0.80402566,72,5.633,-0.565,0.800969159,77,6.659,-0.565,0.797913644,77,7.633 +-1.475,0.807865778,25,4.809,-1.115,0.805008636,56,5.455,-1.165,0.801953121,63,6.353,-1.165,0.798897607,63,7.286 +-2.515,0.806778189,326,4.819,-1.915,0.804217123,358,5.07,-1.885,0.801161609,10,5.465,-1.865,0.798204787,10,6.017 +-3.555,0.805788305,332,4.829,-2.715,0.803326918,7,4.694,-2.615,0.800370096,17,4.577,-2.465,0.797414261,17,4.739 +-3.855,0.803618061,339,5.017,-3.015,0.801157661,13,5.099,-2.915,0.798200839,22,5.051,-2.765,0.795244017,22,5.165 +-4.355,0.805884037,336,5.156,-3.515,0.80342265,6,5.504,-3.335,0.800466815,14,5.366,-3.165,0.797608685,14,5.264 +-4.115,0.800857636,350,3.917,-4.415,0.79760375,354,4.467,-4.165,0.794746607,1,5.031,-3.965,0.79198717,1,5.551 +-2.555,0.801553417,11,4.075,-2.915,0.798200839,11,4.23,-3.215,0.795045645,12,4.35,-3.465,0.791791759,12,4.501 +-0.395,0.797815939,8,2.628,-0.815,0.79446336,8,2.668,-1.165,0.79130718,9,2.693,-1.465,0.788053294,9,2.736 +1.445,0.799005181,21,2.895,1.085,0.795751295,21,2.935,0.735,0.792497409,21,2.95,0.335,0.789341229,21,2.994 +3.005,0.798813718,11,2.995,2.585,0.795559832,11,3.034,2.255,0.792404639,11,3.058,1.935,0.789248458,11,3.093 +4.145,0.798127807,20,2.677,3.785,0.794873921,21,2.698,3.455,0.791718727,21,2.723,3.135,0.788563533,21,2.746 +4.785,0.799706884,26,2.836,4.485,0.79655169,26,2.876,4.165,0.793396496,26,2.9,3.835,0.790241303,26,2.934 +4.985,0.800792499,24,3.014,4.685,0.797637306,25,3.064,4.385,0.794482112,25,3.107,4.035,0.791325931,25,3.162 +4.925,0.803551937,357,4.284,4.835,0.800595115,359,4.516,4.545,0.797438934,360,4.548,4.235,0.79428374,360,4.57 +4.865,0.806312361,343,5.553,4.985,0.803453245,349,5.959,4.715,0.800299038,350,5.988,4.435,0.797142857,350,5.988 +4.285,0.804830989,321,5.721,4.585,0.802072539,333,6.058,4.385,0.799016038,335,5.958,4.235,0.795959536,335,5.779 +3.245,0.809065877,317,6.683,3.785,0.806406119,330,7.352,3.735,0.803350604,334,7.152,3.735,0.800393782,334,6.672 +1.645,0.807976314,308,7.288,2.485,0.80561362,323,8.281,2.665,0.80275549,328,8.257,2.835,0.79989736,328,7.901 +0.665,0.808464841,305,7.595,1.685,0.806103133,324,8.499,1.915,0.803245991,330,8.563,2.135,0.800486553,330,8.377 +-0.135,0.807279546,299,7.933,1.185,0.80511621,321,8.39,1.455,0.802356773,328,7.912,1.735,0.799598322,328,7.266 +-0.655,0.808459906,300,7.338,0.785,0.806395263,325,7.422,1.085,0.803636812,332,6.698,1.435,0.800878362,332,5.908 +-1.335,0.808161855,309,6.931,-0.015,0.80599852,336,6.839,0.265,0.803240069,344,6.205,0.535,0.800381939,344,5.641 +-2.155,0.806581791,306,6.356,-0.715,0.804517148,337,5.929,-0.335,0.801758697,345,5.159,0.035,0.799000247,345,4.57 +-3.255,0.806085369,300,7.149,-1.515,0.804316802,328,6.69,-1.015,0.801658031,334,5.554,-0.465,0.798998273,334,4.53 +-4.235,0.806869973,314,7.576,-2.615,0.805002714,338,7.955,-2.135,0.80224525,344,7.182,-1.665,0.799585492,344,6.186 +-4.935,0.805684678,305,7.139,-3.465,0.803718727,320,7.688,-2.935,0.800960276,327,6.826,-2.465,0.79839921,327,5.7 +-5.635,0.804499383,287,6.693,-4.315,0.80233506,311,7.422,-3.735,0.799774981,320,6.481,-3.165,0.797115223,320,5.204 +-5.275,0.805288922,280,6.068,-3.415,0.803521342,313,5.732,-3.045,0.800762892,321,4.706,-2.665,0.798004441,321,3.658 +-4.915,0.804107575,283,4.799,-3.415,0.802042931,321,4.832,-2.965,0.799383173,329,4.163,-2.465,0.796625709,329,3.39 +-4.615,0.800264495,305,3.242,-4.315,0.797407353,329,4.398,-3.735,0.794747594,336,4.4,-3.165,0.792187515,336,3.876 +-3.295,0.798692327,351,2.37,-3.715,0.795339748,351,2.441,-3.635,0.792382926,351,2.506,-3.565,0.789426104,351,2.587 +-1.395,0.798699235,353,3.56,-1.815,0.795346657,353,3.647,-2.115,0.792191463,353,3.699,-2.465,0.788937577,353,3.757 +0.605,0.797721194,357,2.935,0.185,0.794466321,357,2.984,-0.135,0.791212435,357,3.028,-0.465,0.788057242,357,3.073 +2.545,0.797234641,3,2.846,2.185,0.794079447,3,2.886,1.865,0.790924254,3,2.92,1.535,0.78776906,3,2.964 +3.545,0.798914384,18,3.798,3.185,0.795759191,18,3.854,2.865,0.79260301,18,3.887,2.535,0.789447816,18,3.936 +4.045,0.799113743,21,4.204,3.685,0.795957562,22,4.279,3.365,0.792802369,22,4.331,3.035,0.789647175,22,4.411 +4.085,0.801084629,24,4.363,3.785,0.797929435,24,4.496,3.455,0.794774241,24,4.587,3.135,0.791619048,24,4.689 +4.315,0.803253886,20,4.175,4.135,0.800197385,21,4.279,3.865,0.797042191,21,4.311,3.535,0.793886997,21,4.382 +4.545,0.805325438,353,3.976,4.485,0.802367629,358,4.062,4.265,0.799212435,358,4.045,4.035,0.796155934,358,4.074 +4.345,0.807690106,344,3.788,4.285,0.804732297,351,3.765,4.085,0.80157809,352,3.719,3.935,0.798521589,352,3.727 +4.045,0.808970146,333,3.272,3.985,0.806013323,343,3.162,3.865,0.802956822,346,3.048,3.735,0.799900321,346,3.014 +3.685,0.809265236,317,2.925,3.685,0.806208734,328,2.708,3.685,0.803251912,335,2.437,3.735,0.80029509,335,2.31 +3.425,0.810643967,314,2.846,3.485,0.807687145,332,2.392,3.585,0.804730323,344,2.101,3.735,0.801872193,344,2.181 +2.905,0.810247224,331,4.839,3.085,0.807390081,345,4.566,3.205,0.804531952,352,4.311,3.335,0.80157513,352,4.253 +2.345,0.809161609,331,5.057,2.585,0.806402171,346,4.961,2.665,0.803445349,352,4.765,2.735,0.800488527,352,4.679 +1.825,0.809948187,313,4.254,2.185,0.807189736,332,3.815,2.365,0.804331606,341,3.364,2.535,0.801473476,341,3.103 +1.345,0.810340982,302,3.877,1.885,0.807681224,323,2.965,2.265,0.804922773,336,2.308,2.635,0.802164323,336,2.221 +0.565,0.810041944,299,4.522,1.585,0.807680237,320,3.429,2.165,0.805119171,332,2.525,2.735,0.8024604,332,2.26 +0.065,0.810138663,312,4.175,0.785,0.807578584,346,3.409,1.415,0.805018505,0,2.989,2.035,0.802457439,0,3.182 +-1.745,0.808850728,301,3.52,-1.265,0.80619097,332,3.241,-0.625,0.803630891,341,3.196,0.035,0.801069825,341,3.46 +-3.555,0.807562793,323,2.866,-3.315,0.804704663,6,3.064,-2.665,0.802144584,18,3.403,-1.965,0.799584505,18,3.737 +-3.695,0.806871947,321,2.32,-3.515,0.803915125,0,1.888,-2.785,0.801453738,18,2.377,-2.065,0.798893659,18,3.004 +-3.375,0.807365408,305,2.053,-2.715,0.804706637,7,1.552,-1.945,0.802246237,30,2.358,-1.165,0.799784851,30,3.212 +-3.395,0.802141623,270,2.657,-2.315,0.799878608,316,1.67,-1.445,0.797515914,351,1.647,-0.565,0.795154207,351,2.25 +-0.895,0.799687145,357,1.368,-1.315,0.796432272,0,1.393,-0.735,0.793872193,8,1.638,-0.165,0.791212435,8,2.131 +1.705,0.800978041,11,1.646,1.285,0.797624476,11,1.64,0.985,0.794469282,11,1.618,0.735,0.791314088,11,1.596 +3.405,0.797731063,16,2.053,2.985,0.794574883,16,2.046,2.685,0.791419689,16,2.022,2.435,0.788264495,16,1.983 +5.605,0.799513447,349,1.606,5.185,0.796357266,347,1.601,4.865,0.793202073,346,1.569,4.535,0.790046879,346,1.537 +6.445,0.798431779,352,2.3,6.085,0.795276585,351,2.293,5.785,0.792120405,350,2.269,5.535,0.789063903,350,2.25 +6.545,0.7996151,14,2.846,6.185,0.796459906,14,2.866,5.885,0.793303726,14,2.861,5.535,0.790247224,14,2.845 +6.285,0.801388601,23,1.864,5.985,0.798233407,23,1.878,5.685,0.795175919,23,1.855,5.435,0.792020725,23,1.824 +5.785,0.802667654,1,2.241,5.635,0.799612139,360,2.263,5.635,0.79665433,359,2.229,5.635,0.793697508,359,2.201 +5.285,0.803849001,334,2.628,5.285,0.800892179,339,2.648,5.585,0.798231434,341,2.604,5.935,0.795472983,341,2.577 +5.105,0.805721194,283,1.587,5.285,0.802863064,305,1.374,5.685,0.800203306,314,1.233,6.035,0.797543548,314,1.18 +5.105,0.810058722,261,2.112,5.585,0.807398964,279,1.571,6.005,0.804739206,287,1.213,6.435,0.80207846,287,0.971 +5.385,0.809369849,199,0.892,5.985,0.806808784,112,0.919,6.435,0.804149025,100,1.223,6.935,0.801489267,100,1.437 +5.845,0.809370836,206,1.259,6.385,0.806712065,154,0.84,6.835,0.80405132,137,0.888,7.335,0.801490254,137,1.001 +6.365,0.809471503,179,1.021,6.785,0.806811744,110,1.117,7.185,0.804151986,104,1.312,7.535,0.801392549,104,1.398 +6.245,0.81035776,134,1.676,6.485,0.807500617,99,1.976,6.885,0.804840859,97,2.17,7.335,0.8021811,97,2.26 +6.045,0.809569208,141,3.064,6.285,0.806711078,122,3.133,6.685,0.80405132,122,3.315,7.035,0.801390575,122,3.489 +6.345,0.80937281,152,3.54,6.585,0.806613373,137,3.498,6.885,0.803854922,137,3.749,7.235,0.801095485,137,4.104 +6.485,0.810457439,158,3.48,6.785,0.807698988,138,3.479,7.015,0.804939551,137,3.857,7.235,0.802180113,137,4.382 +6.345,0.809570195,174,4.214,6.885,0.806910437,154,4.091,7.165,0.804150999,151,4.498,7.435,0.801392549,151,5.135 +5.515,0.807990131,181,3.014,6.085,0.805429065,160,3.222,6.425,0.802769307,156,3.798,6.735,0.800009869,156,4.55 +4.685,0.806508759,171,1.824,5.285,0.803947693,149,2.362,5.685,0.801287935,147,3.098,6.035,0.79862719,147,3.955 +3.605,0.80601135,208,1.914,4.385,0.803648655,181,2.105,4.935,0.800988897,175,2.811,5.535,0.798428818,175,3.777 +3.645,0.804237849,177,3.788,4.785,0.802073526,166,4.111,5.315,0.79951246,166,4.893,5.835,0.796951394,166,5.928 +4.225,0.803451271,169,5.136,5.485,0.80138564,164,5.979,6.035,0.798824574,165,6.896,6.535,0.796263509,165,7.931 +7.225,0.797646188,179,2.608,7.285,0.794689366,183,3.706,7.535,0.792028621,185,4.794,7.835,0.789269183,185,5.779 +10.705,0.799924994,170,1.537,10.285,0.796768813,175,1.66,10.035,0.793712312,176,1.835,9.835,0.790655811,176,2.072 +12.945,0.795694054,184,1.577,12.585,0.792636566,186,1.66,12.285,0.789580064,188,1.756,12.035,0.786622255,188,1.854 +14.245,0.797669874,198,2.102,13.885,0.794612386,199,2.184,13.585,0.791654577,200,2.269,13.335,0.788598075,200,2.359 +15.045,0.798362694,243,2.062,14.685,0.795305206,242,2.135,14.365,0.792248705,241,2.2,14.035,0.789191216,241,2.27 +14.845,0.796981989,244,2.499,14.485,0.7939245,243,2.579,14.185,0.790867999,243,2.634,13.935,0.787909203,243,2.696 +14.785,0.798854182,237,4.809,14.485,0.795797681,236,4.961,14.185,0.792741179,236,5.071,13.935,0.789782383,236,5.185 +13.505,0.799934863,225,6.068,13.385,0.797075746,226,6.809,13.175,0.794019245,226,7.359,12.935,0.791060449,226,7.822 +12.225,0.801113249,224,7.338,12.285,0.798254133,226,8.657,12.165,0.795297311,227,9.658,12.035,0.792438194,227,10.449 +10.405,0.798346904,219,7.655,10.885,0.795687145,224,9.349,10.935,0.792926721,226,10.526,11.035,0.790068591,226,11.38 +8.625,0.802086356,212,9.579,9.585,0.799822354,219,11.948,9.815,0.797062916,222,13.337,10.035,0.794402171,222,13.988 +7.505,0.801293856,211,8.488,8.585,0.799030841,220,10.446,8.955,0.796370096,223,11.591,9.335,0.793710338,223,12.233 +6.785,0.800108562,204,9.489,8.585,0.798438687,214,11.997,8.885,0.795680237,217,13.219,9.235,0.793019492,217,13.591 +5.665,0.799019985,205,9.499,7.285,0.797153713,213,12.066,7.815,0.794592647,215,13.584,8.335,0.792031582,215,14.394 +4.765,0.798623242,205,9.638,5.785,0.79635924,209,11.977,6.565,0.793897853,211,13.86,7.335,0.791535159,211,15.365 +4.205,0.798029114,200,9.033,4.985,0.79566642,204,11.098,5.785,0.793303726,206,12.874,6.535,0.790842339,206,14.463 +3.625,0.79802714,197,9.113,4.285,0.795467061,200,11.078,5.085,0.793104367,202,12.814,5.935,0.790741673,202,14.384 +2.945,0.797434,194,9.023,3.485,0.794774241,196,10.959,4.335,0.792411547,198,12.775,5.235,0.790048853,198,14.444 +2.305,0.797727116,196,8.984,2.785,0.795067358,197,10.94,3.685,0.792803356,199,12.834,4.535,0.790440661,199,14.553 +2.505,0.796939551,181,8.528,3.135,0.794477177,185,10.574,3.865,0.792015791,187,11.996,4.535,0.789553417,187,12.976 +2.705,0.796249692,183,8.061,3.485,0.793788305,181,10.208,4.035,0.791227239,182,11.147,4.535,0.788666173,182,11.4 +2.685,0.793095485,178,9.995,4.485,0.791327905,176,12.847,4.735,0.788568468,177,13.239,5.035,0.785810017,177,12.431 +3.245,0.794871947,171,9.549,3.785,0.792310881,170,11.888,4.135,0.78955243,170,13.022,4.535,0.786892672,170,13.373 +5.485,0.79478115,156,7.893,5.185,0.791724648,157,8.766,5.115,0.788766839,158,9.668,5.035,0.785810017,158,10.587 +7.445,0.790943992,179,9.936,7.085,0.787787811,179,10.258,6.755,0.78473131,179,10.516,6.435,0.781576116,179,10.795 +7.505,0.791141377,179,10.58,7.085,0.787985196,179,10.88,6.755,0.784928695,179,11.108,6.435,0.781871207,179,11.37 +7.405,0.790056748,183,10.868,6.985,0.786900567,183,11.167,6.665,0.783745374,183,11.394,6.335,0.780688872,183,11.668 +7.545,0.790254133,183,10.917,7.185,0.787196644,183,11.236,6.835,0.784041451,183,11.473,6.535,0.780984949,183,11.757 +7.805,0.789367876,186,10.332,7.385,0.786310387,186,10.623,7.065,0.783155194,186,10.851,6.735,0.780098692,186,11.113 +7.545,0.788775722,188,8.924,7.185,0.785619541,188,9.161,6.865,0.78256304,188,9.342,6.535,0.779506538,188,9.556 +6.885,0.790153467,191,7.546,6.585,0.787096965,191,7.748,6.255,0.783940785,191,7.902,5.935,0.780884283,191,8.069 +5.285,0.791034789,193,5.126,4.985,0.787978288,193,5.317,4.685,0.784823094,193,5.485,4.335,0.781765606,193,5.69 +3.685,0.791916112,180,2.717,3.385,0.788760918,182,2.876,3.115,0.785704416,182,3.078,2.835,0.782549223,182,3.311 +3.185,0.789943252,169,5.136,2.885,0.786788058,170,5.415,2.665,0.783731557,170,5.712,2.435,0.780675056,170,6.077 +2.285,0.791812485,137,4.313,1.985,0.788755983,141,4.655,1.885,0.785700469,143,4.982,1.835,0.78274266,143,5.333 +2.025,0.791516408,124,5.503,1.785,0.788459906,128,6.364,1.955,0.785601776,132,6.915,2.135,0.782842339,132,7.326 +2.185,0.791911177,142,4.75,2.185,0.788954355,146,5.821,2.335,0.786096225,150,6.659,2.535,0.783238095,150,7.366 +2.145,0.791812485,136,5.394,2.085,0.78875697,143,6.404,2.065,0.785799161,147,7.339,2.035,0.782941031,147,8.268 +2.565,0.791222304,152,8.012,2.385,0.788165803,157,8.983,2.135,0.785010609,158,9.766,1.935,0.781954108,158,10.508 +2.625,0.791814458,170,9.668,2.385,0.788659265,172,10.584,2.115,0.785602763,172,11.226,1.835,0.78244757,172,11.836 +2.385,0.791418702,177,8.676,2.085,0.788362201,178,9.467,1.815,0.785207007,178,10.072,1.535,0.782051813,178,10.657 +2.125,0.790432766,175,8.032,1.885,0.787277572,176,8.795,1.585,0.784220084,176,9.421,1.335,0.78106489,176,10.042 +1.625,0.792106588,172,7.06,1.385,0.789050086,173,7.767,1.115,0.785894893,173,8.356,0.835,0.782739699,173,8.952 +1.595,0.793584999,194,4.621,1.385,0.790528497,194,5.159,1.145,0.787373304,193,5.662,0.935,0.784316802,193,6.196 +1.565,0.795162102,209,2.191,1.385,0.792006908,204,2.56,1.185,0.788950407,202,2.969,1.035,0.785893906,202,3.43 +1.205,0.794766346,215,0.972,1.085,0.791808537,202,1.255,0.915,0.788653343,197,1.657,0.735,0.785597829,197,2.121 +0.945,0.795060449,95,1.368,0.885,0.792004935,103,1.423,0.765,0.788948433,110,1.608,0.635,0.785991611,110,1.864 +1.125,0.792498396,96,2.667,0.885,0.789343203,98,3.33,0.735,0.786287688,97,3.798,0.535,0.783231187,97,4.104 +2.805,0.792504318,83,4.69,2.385,0.789250432,83,4.803,2.065,0.786095238,83,4.923,1.735,0.78303775,83,5.066 +4.545,0.79152529,74,5.236,4.185,0.788369109,74,5.327,3.865,0.785312608,75,5.396,3.535,0.782157414,75,5.482 +6.145,0.791136442,75,4.68,5.785,0.787981248,76,4.743,5.455,0.784825068,76,4.804,5.135,0.781768566,76,4.867 +7.345,0.791436467,59,3.778,6.985,0.788378979,60,3.834,6.685,0.785322477,60,3.857,6.435,0.782167283,60,3.906 +8.145,0.791143351,52,3.262,7.785,0.787988157,53,3.311,7.485,0.784930669,53,3.334,7.235,0.781874167,53,3.38 +8.385,0.791932889,38,2.429,8.085,0.788875401,40,2.48,7.785,0.785720207,40,2.506,7.535,0.782663706,40,2.538 +8.485,0.794003454,32,2.786,8.185,0.790945966,34,2.846,7.885,0.787889465,34,2.89,7.535,0.784832963,34,2.944 +7.025,0.797546509,350,3.927,6.785,0.794490007,352,4.319,6.525,0.791433506,353,4.597,6.235,0.788377005,353,4.848 +5.565,0.80109055,1,5.067,5.385,0.798034049,6,5.791,5.165,0.794977547,9,6.313,4.935,0.791822354,9,6.751 +5.005,0.80010264,30,5.077,4.885,0.797046139,41,5.692,4.665,0.793989637,44,6.166,4.435,0.790933136,44,6.602 +3.925,0.80285813,50,6.515,3.985,0.799901308,61,7.461,3.815,0.796845793,65,8.03,3.635,0.793789292,65,8.476 +2.925,0.800292129,75,6.574,2.985,0.797335307,84,7.679,3.035,0.794477177,87,8.464,3.035,0.791519368,87,9.12 +1.965,0.79920454,88,6.197,2.085,0.796247718,98,7.303,2.335,0.79348828,101,8.247,2.535,0.79072983,101,9.12 +1.145,0.80067999,64,6.049,1.385,0.797920553,76,7.066,1.585,0.79506341,81,7.754,1.835,0.79220528,81,8.377 +0.845,0.799200592,68,6.465,1.085,0.796342462,74,7.926,1.165,0.793484333,76,9.105,1.235,0.79052751,76,10.111 +1.005,0.80067999,62,6.782,1.185,0.79782186,69,8.133,1.185,0.794865038,72,9.174,1.235,0.791907229,72,10.102 +0.705,0.801663953,65,6.782,0.885,0.798805823,71,8.143,0.915,0.795849001,74,9.204,0.935,0.792892179,74,10.111 +0.325,0.800578337,74,6.544,0.385,0.797621515,79,7.688,0.435,0.794664693,81,8.671,0.535,0.791707871,81,9.596 +-0.335,0.801068838,54,6.753,-0.215,0.798210708,63,7.886,-0.235,0.795155194,67,8.612,-0.265,0.792198372,67,9.199 +-0.895,0.799982235,48,7.209,-0.865,0.797025413,54,8.36,-0.955,0.793969899,57,9.145,-1.065,0.790913397,57,9.794 +-1.455,0.79889662,44,7.665,-1.515,0.795840118,49,8.835,-1.665,0.792784604,51,9.677,-1.765,0.789728103,51,10.399 +-1.955,0.799880582,49,6.197,-2.015,0.796824081,57,7.115,-2.185,0.793669874,60,7.773,-2.365,0.79051468,60,8.357 +-2.175,0.801259314,43,6.713,-2.415,0.798005428,49,7.659,-2.565,0.794948927,52,8.454,-2.665,0.79179472,52,9.17 +-1.055,0.800080928,67,7.02,-1.415,0.796826055,68,7.412,-1.745,0.793572169,69,7.754,-2.065,0.790318283,69,8.109 +0.605,0.796636566,78,7.982,0.185,0.793283987,79,8.252,-0.135,0.790128793,79,8.484,-0.465,0.786972613,79,8.753 +2.645,0.79546114,69,7.427,2.285,0.792305946,70,7.619,1.955,0.789150753,70,7.773,1.635,0.78589588,70,7.97 +4.445,0.794777202,62,7.605,4.085,0.791622008,63,7.767,3.735,0.788466815,63,7.882,3.335,0.785310634,63,8.03 +5.945,0.795176906,53,7.298,5.585,0.792021712,54,7.441,5.255,0.788866519,54,7.547,4.935,0.785710338,54,7.673 +7.145,0.795083148,47,7.238,6.785,0.791926968,48,7.362,6.455,0.788771774,48,7.438,6.135,0.785715273,48,7.554 +7.945,0.795282507,44,7.447,7.585,0.792127313,45,7.599,7.285,0.789070812,45,7.714,7.035,0.785915618,45,7.851 +8.285,0.796170738,34,7.437,7.985,0.793114236,35,7.708,7.685,0.789959043,35,7.892,7.435,0.786902541,35,8.089 +6.945,0.798236368,46,5.999,6.735,0.795179867,49,6.453,6.485,0.792123365,51,6.817,6.235,0.788968172,51,7.177 +5.605,0.800203306,38,4.571,5.485,0.797245497,49,5.198,5.285,0.794188996,53,5.731,5.035,0.791132494,53,6.265 +5.125,0.799511473,45,5.583,5.185,0.796653343,57,6.206,4.955,0.793596842,60,6.639,4.735,0.790441648,60,7.058 +4.525,0.802367629,51,5.563,4.585,0.799410807,65,6.157,4.385,0.796354305,68,6.59,4.235,0.793298791,68,7.038 +3.685,0.802167283,58,5.781,3.985,0.799408833,70,6.601,3.815,0.796352332,74,7.083,3.635,0.79329583,74,7.475 +3.245,0.801081668,53,4.938,3.485,0.798224525,69,5.613,3.415,0.795266716,75,5.968,3.335,0.792211202,75,6.196 +2.705,0.800093758,57,5.672,3.185,0.797434986,70,6.275,3.135,0.794477177,74,6.363,3.035,0.791519368,74,6.335 +1.905,0.800978041,74,6.118,2.685,0.798516654,78,6.947,2.635,0.795559832,80,7.024,2.535,0.792602023,80,6.969 +1.505,0.800977054,89,5.295,2.285,0.798515667,84,6.167,2.235,0.795557858,84,6.333,2.235,0.792502344,84,6.285 +1.465,0.800582285,83,3.669,2.185,0.798120898,74,4.773,2.115,0.795065384,73,4.962,2.035,0.792107575,73,4.828 +1.345,0.801173452,100,2.132,1.885,0.798513694,78,3.37,1.885,0.795556871,74,3.611,1.935,0.792600049,74,3.41 +1.185,0.800778682,276,0.654,1.485,0.798020232,78,1.769,1.565,0.795162102,71,2.229,1.635,0.792204293,71,2.28 +0.555,0.80156526,292,2.578,1.185,0.799004194,311,2.905,1.185,0.79594868,326,2.93,1.235,0.792991858,326,2.855 +-0.075,0.802253146,296,4.502,0.885,0.799890452,321,4.052,0.815,0.796834937,326,3.64,0.735,0.793778436,326,3.42 +-0.575,0.798407106,283,4.7,0.385,0.796143104,316,4.556,0.335,0.793087589,320,4.094,0.335,0.79012978,320,3.608 +-0.575,0.800969159,275,4.046,0.385,0.798607451,315,3.666,0.385,0.795650629,319,3.354,0.435,0.792693807,319,3.172 +-0.255,0.795943745,237,2.737,0.585,0.793482359,289,2.303,0.665,0.790624229,293,2.131,0.735,0.787667407,293,2.27 +1.745,0.792106588,249,2.578,1.385,0.788951394,251,2.737,1.415,0.785994572,255,2.979,1.435,0.783135455,255,3.281 +4.445,0.793101406,292,3.282,4.085,0.789946213,292,3.4,3.755,0.786791019,292,3.522,3.435,0.783734518,292,3.658 +6.245,0.79103775,317,4.769,5.885,0.787882556,316,4.872,5.565,0.784727362,316,4.972,5.235,0.781670861,316,5.095 +7.345,0.789958056,329,5.622,6.985,0.786900567,329,5.742,6.665,0.783745374,328,5.84,6.335,0.780688872,328,5.968 +7.945,0.790944979,333,6.306,7.585,0.787888478,333,6.433,7.255,0.784831976,333,6.531,6.935,0.781675796,333,6.652 +8.045,0.792029608,336,5.236,7.685,0.788874414,336,5.346,7.385,0.785817913,336,5.436,7.035,0.782760424,336,5.541 +7.885,0.793114236,339,4.571,7.585,0.790056748,339,4.734,7.285,0.787000247,339,4.863,7.035,0.783943745,339,5.006 +7.775,0.795282507,319,4.234,7.535,0.792225019,322,4.477,7.275,0.789168517,322,4.706,7.035,0.786112016,322,4.967 +7.665,0.797352085,306,3.897,7.485,0.794295584,311,4.21,7.265,0.791239082,312,4.538,7.035,0.788281273,312,4.927 +7.685,0.797943252,246,3.619,7.685,0.795084135,259,3.617,7.485,0.792028621,263,3.758,7.335,0.789070812,263,4.005 +6.225,0.800993832,231,6.495,7.185,0.798631137,243,6.937,7.015,0.795673328,246,7.083,6.835,0.792617814,246,7.237 +3.865,0.798422897,239,7.516,4.285,0.795763138,250,8.736,4.415,0.792905009,253,9.707,4.535,0.790046879,253,10.597 +2.965,0.799404885,263,8.924,3.385,0.796745127,269,10.525,3.985,0.794185048,272,11.848,4.535,0.791722675,272,12.966 +2.825,0.798122872,270,9.628,3.485,0.795661485,277,11.622,4.085,0.793100419,281,12.874,4.735,0.790639033,281,13.72 +2.665,0.79762941,269,8.875,3.385,0.795168024,278,10.712,3.885,0.792606958,283,11.769,4.435,0.790045892,283,12.421 +2.425,0.799698988,263,8.359,3.385,0.797336294,275,10.11,3.815,0.794676536,281,10.832,4.235,0.79211547,281,11.103 +2.265,0.799402911,272,7.814,3.285,0.797040217,286,9.368,3.635,0.794380459,292,10.131,4.035,0.791720701,292,10.558 +2.065,0.798317296,274,7.377,3.085,0.796054281,292,8.716,3.335,0.793294843,299,9.293,3.535,0.790535406,299,9.546 +1.885,0.800485566,277,7.506,3.085,0.798321244,299,8.538,3.135,0.795463114,306,8.73,3.235,0.792506292,306,8.624 +1.805,0.800386874,266,7.417,3.185,0.798321244,288,8.064,3.165,0.795364421,293,7.961,3.135,0.792407599,293,7.653 +1.725,0.800288182,271,7.328,3.285,0.79832223,297,7.58,3.185,0.795265729,303,7.191,3.035,0.79230792,303,6.691 +1.125,0.796244757,264,7.348,2.985,0.794574883,294,7.382,2.865,0.791519368,298,7.063,2.735,0.788462867,298,6.751 +0.465,0.799198618,278,7.179,1.785,0.797133975,303,8.558,1.815,0.794177153,308,8.75,1.835,0.791318036,308,8.426 +0.725,0.794468295,284,5.86,0.485,0.791313101,289,6.631,0.585,0.788454972,292,7.418,0.735,0.785597829,292,8.119 +2.305,0.791122625,286,5.077,1.885,0.787868739,285,5.356,1.585,0.784713546,285,5.603,1.335,0.781558352,285,5.869 +4.345,0.793002714,291,5.007,3.985,0.78984752,290,5.149,3.635,0.786692327,290,5.268,3.335,0.783537133,290,5.413 +5.645,0.791824328,309,4.67,5.285,0.788669134,308,4.743,4.955,0.78551394,307,4.814,4.635,0.782456452,307,4.897 +6.445,0.791137429,329,4.075,6.085,0.787982235,328,4.121,5.755,0.784924747,328,4.133,5.435,0.781769553,328,4.173 +6.845,0.790547249,346,3.917,6.485,0.787392055,345,3.953,6.185,0.784335554,345,3.956,5.935,0.781278066,345,3.975 +7.045,0.79173057,4,3.609,6.685,0.788674069,3,3.637,6.385,0.785518875,3,3.63,6.035,0.782461387,3,3.648 +6.945,0.793307673,6,3.847,6.585,0.790251172,6,3.903,6.285,0.787094991,5,3.926,6.035,0.78403849,5,3.945 +6.435,0.79448902,8,3.699,6.135,0.791432519,9,3.844,5.835,0.788276339,9,3.926,5.535,0.785219837,9,3.995 +5.925,0.795670368,345,3.55,5.685,0.792612879,350,3.795,5.385,0.789457686,351,3.936,5.035,0.786401184,351,4.035 +5.725,0.794979521,293,1.596,5.485,0.79192302,310,1.561,5.215,0.788865532,313,1.549,4.935,0.785710338,313,1.517 +4.925,0.798032075,238,4.502,5.285,0.795273624,247,4.417,5.035,0.792217123,248,4.36,4.835,0.789160622,248,4.352 +2.625,0.797728103,240,7.238,3.285,0.795168024,251,8.291,3.285,0.792308907,254,9.026,3.335,0.789352085,254,9.725 +1.145,0.796343449,249,8.131,1.385,0.79348532,255,9.734,1.865,0.790924254,257,11.236,2.335,0.788264495,257,12.659 +0.925,0.798018258,251,9.4,1.285,0.795357513,256,11.206,1.785,0.792697755,258,12.824,2.335,0.790137676,258,14.334 +1.065,0.796342462,259,9.37,1.485,0.793682704,264,11.256,2.015,0.791121638,267,12.923,2.535,0.78846188,267,14.404 +1.085,0.799300271,260,9.291,1.685,0.796739206,268,11.226,2.185,0.79417814,272,12.696,2.735,0.791518381,272,13.859 +0.905,0.797820873,258,9.162,1.685,0.795359487,269,11.127,2.085,0.792699729,274,12.252,2.535,0.790038983,274,13.006 +0.725,0.79752381,258,8.547,1.685,0.795260794,271,10.416,1.985,0.792501357,276,11.394,2.335,0.789742906,276,11.995 +0.245,0.799099926,264,8.081,1.085,0.796638539,276,9.833,1.315,0.793879102,281,10.92,1.535,0.791021959,281,11.727 +-1.285,0.796827042,276,8.061,-0.865,0.794068591,287,9.606,-0.865,0.791111769,290,10.585,-0.865,0.78815396,290,11.321 +-2.815,0.794554157,311,8.042,-2.815,0.791597335,315,9.378,-3.045,0.788442142,316,10.25,-3.265,0.785286948,316,10.914 +-5.195,0.795432519,310,7.526,-5.315,0.792376018,313,8.598,-5.385,0.789221811,316,9.303,-5.465,0.786166297,316,9.824 +-5.315,0.795628917,304,5.464,-5.615,0.792375031,309,5.959,-5.735,0.789220824,312,6.353,-5.865,0.786164323,312,6.652 +-4.455,0.791985196,309,4.75,-4.815,0.78873131,309,4.921,-5.135,0.785477424,309,5.041,-5.465,0.78232223,309,5.175 +-3.455,0.792876388,335,4.72,-3.815,0.789622502,335,4.832,-4.165,0.786367629,335,4.903,-4.465,0.78311473,335,5.006 +-2.555,0.791893412,340,4.353,-2.915,0.788639526,340,4.417,-3.265,0.78538564,340,4.459,-3.665,0.782131754,340,4.52 +-1.755,0.78962941,324,4.422,-2.115,0.786375524,324,4.477,-2.465,0.783121638,324,4.508,-2.765,0.779966445,324,4.57 +-1.055,0.790913397,327,5.553,-1.415,0.787758204,326,5.633,-1.765,0.784504318,326,5.672,-2.165,0.781348137,326,5.75 +-0.755,0.792196398,329,6.247,-1.115,0.788942512,329,6.344,-1.445,0.785786331,328,6.412,-1.765,0.782532445,328,6.493 +-0.715,0.791605231,330,6.515,-1.015,0.788351345,330,6.631,-1.335,0.785195164,330,6.708,-1.665,0.78203997,330,6.81 +-1.015,0.793969899,332,6.911,-1.315,0.790716013,332,7.105,-1.615,0.787559832,332,7.241,-1.965,0.784404639,332,7.395 +-2.085,0.795542068,335,6.862,-2.265,0.792486553,335,7.54,-2.525,0.789232667,335,8.02,-2.765,0.786077473,335,8.436 +-3.155,0.797213916,324,6.822,-3.215,0.794158401,326,7.975,-3.445,0.791003208,327,8.809,-3.665,0.787848014,327,9.487 +-4.075,0.796914878,317,7.814,-4.015,0.793859363,320,9.2,-4.115,0.790803849,321,10.269,-4.165,0.787748335,321,11.162 +-4.915,0.800953368,332,8.468,-4.915,0.797897853,333,9.922,-4.945,0.794842339,334,11.147,-4.965,0.791785838,334,12.273 +-5.775,0.798879842,354,7.744,-5.715,0.795824328,358,9.082,-5.765,0.792768813,1,10.151,-5.865,0.789713299,1,11.103 +-6.395,0.800553664,15,6.644,-6.215,0.797596842,22,7.856,-6.335,0.794442635,25,8.74,-6.465,0.791287441,25,9.527 +-6.955,0.802325191,17,6.792,-6.715,0.799369356,25,8.074,-6.885,0.796214162,28,8.76,-7.065,0.792961263,28,9.299 +-7.535,0.800746114,6,6.554,-7.115,0.797987663,17,7.777,-7.315,0.79483247,20,8.346,-7.465,0.791579571,20,8.743 +-8.055,0.801532692,360,6.931,-7.515,0.798775228,12,8.123,-7.715,0.795620035,15,8.474,-7.965,0.792366149,15,8.694 +-8.415,0.802516654,0,6.296,-7.815,0.799857883,15,7.372,-8.045,0.796603997,19,7.655,-8.265,0.793351098,19,7.822 +-8.535,0.802516654,1,5.692,-8.115,0.799758204,19,6.394,-8.335,0.796504318,23,6.58,-8.565,0.793251419,23,6.731 +-8.555,0.802811744,347,4.403,-8.315,0.799954602,15,4.556,-8.545,0.796700716,20,4.627,-8.765,0.793447816,20,4.748 +-8.865,0.801824821,326,5.374,-8.415,0.799067358,339,5.475,-8.545,0.795813472,345,5.189,-8.665,0.792659265,345,4.976 +-9.175,0.800739206,311,6.346,-8.515,0.798179127,324,6.404,-8.535,0.79502492,329,5.761,-8.565,0.791969405,329,5.214 +-9.815,0.802708117,309,6.029,-8.915,0.800247718,326,6.661,-8.895,0.797192203,331,6.067,-8.865,0.794136689,331,5.343 +-10.295,0.802804836,310,4.948,-9.515,0.800245744,329,6.117,-9.385,0.797288922,335,5.702,-9.265,0.794234394,335,5.036 +-9.915,0.798371577,312,2.429,-10.215,0.795018998,322,3.014,-9.965,0.792161855,334,3.344,-9.665,0.78920602,334,3.529 +-6.835,0.799565754,348,1.061,-7.315,0.796213175,350,1.077,-7.635,0.792860597,351,1.065,-7.965,0.789606711,351,1.051 +-4.995,0.798685418,40,1.596,-5.415,0.79533284,40,1.611,-5.745,0.792078954,40,1.628,-6.065,0.788825068,40,1.646 +-3.295,0.797607698,41,1.656,-3.715,0.79425512,41,1.67,-4.045,0.791001234,41,1.677,-4.365,0.787747348,41,1.695 +-1.595,0.797909697,34,1.001,-2.015,0.794557118,34,1.008,-2.365,0.791401925,34,0.996,-2.665,0.788148038,34,0.991 +-0.855,0.796730323,18,1.259,-1.215,0.79347545,17,1.255,-1.545,0.790221564,17,1.233,-1.865,0.787066371,17,1.219 +-0.415,0.798112016,53,0.972,-0.715,0.79485813,53,0.949,-1.045,0.791701949,53,0.917,-1.365,0.788448063,53,0.882 +-0.415,0.79929435,75,1.319,-0.715,0.796139156,76,1.304,-1.015,0.79288527,78,1.273,-1.365,0.789730076,78,1.249 +-0.545,0.800279299,88,1.477,-0.815,0.797124106,93,1.393,-1.025,0.793969899,97,1.322,-1.265,0.790814705,97,1.269 +-0.675,0.801265236,105,1.636,-0.915,0.798110042,120,1.492,-1.045,0.795053541,129,1.361,-1.165,0.791899334,129,1.299 +-0.755,0.801855416,151,2.776,-0.815,0.798799901,167,2.787,-0.715,0.795843079,176,2.9,-0.665,0.792984949,176,3.113 +-0.875,0.803826302,180,4.958,-0.215,0.801266223,187,5.06,-0.065,0.798310387,193,5.209,0.035,0.795452258,193,5.452 +-1.975,0.80293511,205,8.617,0.485,0.801662966,212,9.141,0.615,0.798707131,215,9.125,0.735,0.795849001,215,9.15 +-2.875,0.803129534,220,10.421,0.185,0.802253146,231,12.195,0.705,0.799693067,234,12.301,1.235,0.797033309,234,11.995 +-2.975,0.803424624,215,10.62,0.685,0.802944979,226,12.165,1.315,0.8003849,228,12.173,1.935,0.797824821,228,11.916 +-3.075,0.801946213,211,11.175,0.885,0.80166494,220,12.738,1.535,0.799103874,222,12.617,2.235,0.796642487,222,12.213 +-3.115,0.803029854,210,11.324,0.485,0.802451517,216,13.42,1.285,0.800088823,218,13.485,2.035,0.797627436,218,13.046 +-3.035,0.80214261,208,11.572,0.685,0.801663953,213,13.934,1.485,0.799301258,215,14.136,2.335,0.796839872,215,13.75 +-2.835,0.803129534,205,11.948,0.585,0.802452504,208,14.665,1.385,0.80008981,210,15.162,2.235,0.797628423,210,14.959 +-2.875,0.803228226,204,12.196,0.785,0.802748581,205,15.238,1.435,0.800188502,207,15.813,2.035,0.797726129,207,15.643 +-3.545,0.801746854,200,11.562,-0.065,0.801168517,203,14.487,0.665,0.798707131,205,15.064,1.335,0.796244757,205,14.929 +-4.215,0.800265482,207,10.917,-0.915,0.799588453,207,13.736,-0.115,0.797127066,209,14.324,0.735,0.79466568,209,14.206 +-4.075,0.797604737,204,11.016,-1.315,0.796530965,204,14.675,-0.515,0.794069578,205,15.803,0.335,0.791608191,205,15.931 +-3.275,0.800170738,203,11.155,-2.315,0.79780903,203,14.043,-1.445,0.795446336,205,15.636,-0.565,0.793083642,205,16.505 +-0.895,0.796039477,206,9.926,-1.015,0.792983962,207,11.444,-0.565,0.790324204,207,12.992,-0.065,0.787664446,207,14.463 +2.745,0.793194177,212,10.402,2.385,0.790038983,213,11.118,2.135,0.78688379,213,11.749,1.935,0.783827288,213,12.431 +5.605,0.793204046,218,10.729,5.185,0.78995016,219,11.295,4.865,0.786893659,219,11.729,4.535,0.783737478,219,12.173 +7.045,0.791829262,224,10.54,6.685,0.788674069,224,10.94,6.335,0.78561658,224,11.256,6.035,0.782461387,224,11.608 +7.845,0.789269183,230,9.856,7.485,0.786212682,231,10.149,7.165,0.783056501,231,10.358,6.835,0.78,231,10.607 +7.945,0.79025512,231,9.341,7.585,0.787198618,232,9.586,7.255,0.78414113,232,9.737,6.935,0.780985936,232,9.933 +7.545,0.790451517,227,8.617,7.185,0.787295337,227,8.845,6.885,0.784238835,228,9.007,6.535,0.781182334,228,9.19 +6.685,0.790349864,219,9.311,6.385,0.787293363,219,9.685,6.085,0.784137182,220,9.934,5.835,0.781080681,220,10.181 +4.395,0.790539354,207,10.045,4.185,0.787482852,208,10.93,3.975,0.784426351,208,11.69,3.735,0.781369849,208,12.441 +2.105,0.790727856,207,10.768,1.985,0.787671355,207,12.185,1.865,0.784714532,208,13.446,1.735,0.781658031,208,14.701 +0.905,0.790822601,211,11.919,0.785,0.787766099,212,13.44,0.705,0.784710585,212,14.797,0.635,0.781752776,212,16.188 +-0.095,0.791508512,208,12.593,-0.215,0.788452998,209,14.161,-0.265,0.785495189,209,15.596,-0.365,0.78253738,209,17.061 +-0.795,0.790619294,207,12.077,-0.915,0.787562793,208,13.588,-0.995,0.784605971,208,14.965,-1.065,0.78154947,208,16.367 +-1.355,0.791208488,211,10.917,-1.415,0.788151986,212,12.343,-1.495,0.785195164,212,13.633,-1.565,0.78213965,212,14.949 +-1.655,0.791502591,215,9.936,-1.715,0.788447076,216,11.276,-1.795,0.785391562,217,12.489,-1.865,0.782433753,217,13.7 +-1.915,0.792882309,221,8.647,-1.915,0.7899245,222,9.872,-2.045,0.786868986,222,10.95,-2.165,0.783812485,222,12.025 +-2.415,0.791993092,215,8.26,-2.415,0.789036269,218,9.467,-2.515,0.785979768,219,10.496,-2.665,0.782923267,219,11.499 +-2.975,0.791695041,212,8.835,-2.915,0.788738219,215,10.139,-2.995,0.785682704,216,11.216,-3.065,0.782724895,216,12.263 +-3.075,0.790610412,210,9.331,-3.015,0.78765359,213,10.614,-3.115,0.784598075,214,11.67,-3.165,0.781541574,214,12.689 +-2.815,0.791498643,213,9.688,-2.815,0.788541821,215,10.969,-2.965,0.78548532,216,11.986,-3.165,0.782330126,216,12.976 +-2.955,0.790611399,209,9.668,-3.015,0.78765359,211,10.88,-3.175,0.784499383,212,11.867,-3.365,0.781442882,212,12.838 +-3.095,0.789723168,209,9.648,-3.215,0.786667654,210,10.791,-3.385,0.783611152,211,11.749,-3.565,0.780455959,211,12.709 +-4.235,0.790507772,209,9.826,-4.415,0.787352578,210,10.999,-4.515,0.784297064,211,12.055,-4.665,0.781240563,211,13.115 +-4.115,0.790212682,211,9.777,-4.415,0.787057488,212,10.831,-4.585,0.783902295,213,11.798,-4.765,0.780747101,213,12.778 +-1.715,0.793670861,230,10.273,-2.015,0.790515667,230,10.643,-2.315,0.787261781,231,10.91,-2.565,0.784106588,231,11.222 +0.245,0.792101653,236,10.501,-0.115,0.78884678,237,10.831,-0.445,0.785691586,237,11.098,-0.765,0.7824377,237,11.39 +1.705,0.789543548,241,10.55,1.285,0.786289662,242,10.831,0.955,0.783133481,242,11.029,0.635,0.779978288,242,11.271 +2.805,0.79003997,243,9.836,2.385,0.786786084,243,10.07,2.065,0.783630891,244,10.23,1.735,0.780475697,244,10.439 +3.645,0.789846533,240,9.113,3.285,0.786690353,240,9.319,2.955,0.783535159,240,9.46,2.635,0.780478658,240,9.646 +4.245,0.790834444,235,8.557,3.885,0.787678263,235,8.736,3.565,0.784621762,236,8.868,3.235,0.781466568,236,9.031 +4.345,0.789454725,229,9.122,3.985,0.786298544,230,9.349,3.665,0.783143351,230,9.51,3.335,0.780086849,230,9.705 +3.645,0.791620035,223,9.648,3.285,0.788464841,224,10.04,2.985,0.78540834,224,10.319,2.735,0.782253146,224,10.597 +1.935,0.793487293,211,10.055,1.635,0.7903321,211,10.703,1.345,0.787176906,211,11.147,1.035,0.784021712,211,11.579 +0.225,0.795254873,215,10.451,-0.015,0.792100666,215,11.355,-0.285,0.788945472,215,11.976,-0.565,0.785790279,215,12.56 +-0.715,0.793182334,213,10.927,-1.015,0.79002714,213,11.898,-1.285,0.786871947,213,12.588,-1.565,0.783716753,213,13.224 +-1.475,0.795051567,210,10.521,-1.715,0.79189736,211,11.523,-1.985,0.788643474,211,12.272,-2.265,0.78548828,211,12.976 +-2.335,0.79337281,211,10.064,-2.515,0.790218604,211,11.187,-2.765,0.78706341,212,12.075,-2.965,0.783908216,212,12.957 +-3.035,0.794060696,205,8.399,-3.215,0.790905502,207,9.566,-3.315,0.787849988,208,10.615,-3.465,0.784793486,208,11.678 +-3.455,0.793763632,198,7.794,-3.515,0.790707131,201,9.042,-3.485,0.787750308,202,10.171,-3.465,0.784793486,202,11.281 +-3.575,0.794157414,197,7.705,-3.515,0.7911019,199,8.963,-3.515,0.788145078,201,10.082,-3.465,0.785188256,201,11.162 +-3.275,0.794158401,190,7.377,-3.215,0.791201579,193,8.499,-3.315,0.788145078,195,9.441,-3.465,0.785089563,195,10.369 +-2.915,0.795046632,191,7.288,-2.915,0.791991118,195,8.36,-3.065,0.788934616,196,9.263,-3.165,0.785879102,196,10.131 +-2.655,0.793569208,191,7.764,-2.715,0.790513694,193,8.864,-2.885,0.787457192,194,9.766,-3.065,0.784301999,194,10.657 +-2.295,0.793964964,193,8.319,-2.415,0.790908463,194,9.378,-2.645,0.787753269,194,10.259,-2.865,0.784599062,194,11.133 +-1.635,0.793573156,189,8.706,-1.815,0.790516654,190,9.724,-2.045,0.787361461,190,10.585,-2.265,0.784206267,190,11.45 +-0.975,0.793181347,190,9.083,-1.215,0.790026153,191,10.08,-1.445,0.78687096,191,10.91,-1.665,0.783814458,191,11.757 +-0.975,0.790717,183,9.39,-1.215,0.787561806,184,10.416,-1.445,0.784406612,185,11.285,-1.665,0.781350111,185,12.173 +-0.375,0.792296077,175,8.647,-0.615,0.789239576,177,9.428,-0.865,0.786084382,177,10.151,-1.065,0.782929188,177,10.934 +1.485,0.79062719,197,14.229,1.185,0.787471996,197,15.11,0.915,0.784316802,198,15.882,0.635,0.781260301,198,16.714 +2.845,0.790237355,208,17.997,2.485,0.787082161,208,18.766,2.185,0.783926968,208,19.305,1.935,0.780870466,208,19.856 +3.445,0.788367135,211,17.045,3.085,0.785210955,211,17.65,2.785,0.782154453,211,18.053,2.535,0.77899926,211,18.478 +3.605,0.787283494,209,16.282,3.185,0.784127313,209,17.007,2.865,0.780972119,209,17.559,2.535,0.777915618,209,18.151 +3.505,0.78856452,206,16.192,3.085,0.78540834,207,16.899,2.735,0.782253146,207,17.441,2.335,0.779097952,207,18.022 +3.045,0.786886751,205,15.865,2.685,0.783731557,205,16.582,2.335,0.780576363,205,17.135,2.035,0.77742117,205,17.705 +2.345,0.785406366,204,16.083,1.985,0.782250185,204,16.691,1.685,0.779094991,204,17.125,1.435,0.77603849,204,17.586 +1.545,0.786783124,206,15.161,1.185,0.783529238,206,15.91,0.885,0.780472736,206,16.464,0.535,0.777316556,206,17.041 +1.135,0.78648606,196,13.892,0.835,0.783330866,197,14.912,0.585,0.780274365,197,15.715,0.335,0.777119171,197,16.545 +0.725,0.786188996,192,12.623,0.485,0.783132494,193,13.904,0.285,0.780075993,193,14.965,0.035,0.777019492,193,16.04 +0.565,0.783231187,188,15.607,0.385,0.780273378,188,17.225,0.205,0.777216876,189,18.566,0.035,0.774161362,189,19.916 +0.565,0.783231187,189,20.218,0.385,0.780174685,190,22.186,0.235,0.777119171,190,23.764,0.035,0.774161362,190,25.338 +0.905,0.781162596,195,20.407,0.785,0.778204787,196,22.334,0.635,0.775148285,196,23.902,0.535,0.772191463,196,25.447 +1.165,0.782740686,195,17.571,0.985,0.779684185,196,19.132,0.815,0.776627683,197,20.381,0.635,0.773669874,197,21.65 +1.765,0.780574389,203,18.344,1.585,0.777517888,204,19.942,1.415,0.774560079,204,21.209,1.235,0.771503578,204,22.463 +2.425,0.780083888,210,18.939,2.185,0.777027387,211,20.585,2.015,0.773970886,211,21.88,1.835,0.771013077,211,23.167 +3.025,0.781859363,232,18.017,2.785,0.778802862,232,19.517,2.535,0.775746361,232,20.647,2.335,0.772690846,232,21.74 +1.685,0.781559339,242,17.809,1.385,0.778404145,242,19.122,1.115,0.775347644,242,20.114,0.835,0.772291142,242,21.095 +1.285,0.782938071,230,16.391,0.985,0.779782877,230,17.768,0.715,0.776726376,230,18.802,0.435,0.773571182,230,19.807 +-0.815,0.783423637,248,19.008,-1.115,0.780268443,248,20.081,-1.445,0.777112263,248,20.805,-1.765,0.773957069,248,21.541 +-2.115,0.784897113,245,17.353,-2.415,0.78174192,245,18.361,-2.725,0.778586726,245,19.059,-3.065,0.775431532,245,19.767 +-3.415,0.78637059,247,15.687,-3.715,0.783116704,247,16.632,-4.015,0.77996151,248,17.313,-4.365,0.776806316,248,17.992 +-4.015,0.785283987,254,16.916,-4.315,0.782031088,254,18.006,-4.615,0.778874907,254,18.763,-4.965,0.775719714,254,19.519 +-4.355,0.787549963,254,14.913,-4.715,0.784296077,254,15.703,-5.015,0.781140883,254,16.247,-5.365,0.777886997,254,16.823 +-3.755,0.788340489,253,12.95,-4.115,0.785086603,253,13.539,-4.445,0.781832717,253,13.909,-4.765,0.778677523,253,14.305 +-2.795,0.788443129,257,11.939,-3.215,0.785189243,257,12.373,-3.545,0.78193437,257,12.726,-3.865,0.778779176,257,13.105 +-1.495,0.788841846,261,11.611,-1.915,0.78558796,261,11.977,-2.245,0.782432766,261,12.272,-2.565,0.77917888,261,12.6 +-0.295,0.790718974,263,11.155,-0.715,0.787465088,263,11.503,-1.065,0.784309894,263,11.749,-1.465,0.781055021,263,12.054 +0.545,0.791116704,264,11.829,0.185,0.78796151,264,12.145,-0.135,0.784805329,264,12.39,-0.465,0.781650136,264,12.669 +1.045,0.792103627,267,11.106,0.685,0.788948433,267,11.414,0.365,0.78579324,267,11.64,0.035,0.782539354,267,11.906 +1.245,0.792104614,269,10.015,0.885,0.78894942,269,10.357,0.565,0.785695534,269,10.624,0.235,0.78254034,269,10.924 +1.085,0.7940755,266,8.478,0.785,0.790920306,266,8.894,0.455,0.787765112,266,9.204,0.135,0.784609919,266,9.517 +0.415,0.794172218,260,7.556,0.235,0.791115717,261,8.37,-0.025,0.787960523,261,8.967,-0.265,0.784805329,261,9.517 +-0.255,0.794169257,253,6.634,-0.315,0.791212435,255,7.847,-0.515,0.788057242,256,8.74,-0.665,0.78500074,256,9.507 +-1.615,0.795248951,246,7.179,-1.615,0.792193437,249,8.588,-1.665,0.789235628,251,9.717,-1.665,0.786180113,251,10.726 +-3.115,0.797213916,244,7.298,-3.115,0.794257094,249,8.706,-2.985,0.791301258,251,9.993,-2.865,0.788443129,251,11.172 +-4.375,0.796716506,238,8.726,-4.315,0.793759684,242,10.436,-3.965,0.791001234,245,11.946,-3.665,0.788242783,245,13.204 +-5.275,0.79691093,236,8.627,-5.215,0.793855416,240,10.347,-4.515,0.791394029,243,12.065,-3.865,0.788832963,243,13.472 +-5.895,0.796020725,238,8.369,-5.715,0.79306489,242,10.1,-4.835,0.790702196,246,11.887,-3.965,0.788340489,246,13.403 +-6.195,0.795428571,235,7.903,-6.015,0.792570442,240,9.596,-5.115,0.790208734,245,11.275,-4.165,0.787847027,245,12.778 +-6.355,0.797694547,231,6.703,-6.115,0.794837404,237,8.123,-5.385,0.792277325,243,9.441,-4.665,0.789815939,243,10.696 +-6.575,0.796511226,234,5.959,-6.215,0.793752776,241,7.431,-5.635,0.791094004,246,8.592,-5.065,0.788532939,246,9.646 +-6.695,0.797496176,229,5.791,-5.915,0.795035776,244,7.204,-5.515,0.792277325,250,7.961,-5.165,0.789518875,250,8.575 +-6.875,0.797496176,242,5.92,-5.615,0.795331853,260,7.204,-5.385,0.79247471,267,7.833,-5.165,0.78961658,267,8.297 +-6.465,0.797202073,256,5.493,-5.565,0.794839378,267,6.611,-5.505,0.791882556,273,7.113,-5.465,0.788827042,273,7.484 +-6.055,0.797006662,256,5.077,-5.515,0.794346904,277,6.018,-5.615,0.791291389,283,6.402,-5.665,0.788136195,283,6.662 +-6.495,0.799469035,260,5.017,-6.015,0.796710585,288,5.742,-6.135,0.793556378,294,5.929,-6.265,0.790401184,294,5.988 +-6.935,0.797397483,274,5.126,-6.515,0.794639033,298,6.008,-6.635,0.791483839,303,5.998,-6.765,0.788428325,303,5.819 +-6.515,0.793259314,288,3.292,-6.815,0.790005428,291,3.518,-6.945,0.786948927,295,3.857,-7.065,0.78379472,295,4.233 +-5.255,0.794150506,307,3.937,-5.615,0.79089662,307,4.042,-5.945,0.787642734,306,4.123,-6.265,0.784388848,306,4.233 +-3.655,0.791594375,295,3.629,-4.015,0.788339502,294,3.696,-4.335,0.785086603,293,3.768,-4.665,0.78183173,293,3.846 +-1.555,0.792981989,285,3.451,-1.915,0.789728103,284,3.528,-2.245,0.786474217,283,3.601,-2.565,0.783318036,283,3.708 +0.245,0.792396743,311,4.105,-0.115,0.789142857,309,4.17,-0.445,0.785987663,309,4.212,-0.765,0.78283247,309,4.283 +1.245,0.791908216,329,3.748,0.885,0.788653343,328,3.795,0.565,0.78549815,327,3.808,0.235,0.782342956,327,3.846 +1.545,0.793978781,341,3.867,1.185,0.790724895,340,3.903,0.885,0.787569701,339,3.916,0.535,0.784413521,339,3.945 +1.185,0.795849988,356,4.026,0.885,0.792694794,355,4.091,0.585,0.7895396,354,4.094,0.335,0.786384407,354,4.124 +0.205,0.797620528,33,3.54,-0.065,0.794465334,34,3.716,-0.315,0.791311128,34,3.719,-0.565,0.788155934,34,3.668 +-0.775,0.799293363,51,3.054,-1.015,0.796138169,51,3.34,-1.215,0.792982976,52,3.354,-1.465,0.789926474,52,3.222 +-0.975,0.798207747,127,2.439,-1.215,0.795052554,119,2.579,-1.385,0.791898347,120,2.673,-1.565,0.788743153,120,2.726 +-0.755,0.801165556,179,2.499,-0.815,0.798110042,169,2.589,-0.985,0.794954848,170,2.802,-1.165,0.791899334,170,3.073 +-1.255,0.802938071,214,4.363,-0.415,0.800476684,216,4.17,-0.495,0.79742117,216,4.271,-0.565,0.794365655,216,4.53 +-3.195,0.802241303,240,6.326,-0.315,0.801266223,262,6.621,-0.235,0.7983094,263,6.461,-0.165,0.795352578,263,6.384 +-3.875,0.80213965,253,6.554,-0.815,0.801264249,290,7.105,-0.665,0.798406119,294,6.817,-0.465,0.795450284,294,6.473 +-3.935,0.802928201,253,6.525,-1.115,0.801953121,296,6.71,-1.065,0.798897607,303,6.195,-0.965,0.795940785,303,5.651 +-3.495,0.802240316,258,6.039,-1.215,0.800868492,302,6.127,-1.235,0.797812978,307,5.682,-1.265,0.794757464,307,5.095 +-3.435,0.802339008,276,6.723,-1.815,0.800373057,309,6.888,-1.715,0.797514927,313,6.363,-1.665,0.794558105,313,5.74 +-3.795,0.804210215,291,6.068,-3.015,0.801748828,319,6.265,-2.665,0.798990377,323,5.692,-2.265,0.796231927,323,5.036 +-4.455,0.803418702,304,5.295,-4.215,0.800462867,327,5.159,-3.695,0.797804096,334,4.577,-3.165,0.795144338,334,4.114 +-4.955,0.803220331,312,3.193,-4.865,0.800164816,341,3.034,-4.465,0.797406366,353,3.058,-4.065,0.794647915,353,3.133 +-5.455,0.803020972,319,1.091,-5.515,0.799866765,44,0.919,-5.235,0.797009623,72,1.549,-4.965,0.794151493,72,2.151 +-5.435,0.803809524,248,1.418,-5.315,0.800754996,178,1.571,-4.985,0.797995559,156,1.993,-4.665,0.795138416,156,2.28 +-4.975,0.801445843,213,2.033,-4.615,0.798687392,161,2.55,-4.335,0.795830249,148,2.802,-4.065,0.792972119,148,2.825 +-4.655,0.799771034,192,3.857,-3.515,0.797607698,159,4.289,-3.335,0.794650876,151,4.242,-3.165,0.791792746,151,3.995 +-2.695,0.794160375,218,3.53,-2.215,0.791500617,194,4.042,-2.065,0.788642487,189,3.788,-1.965,0.785784357,189,3.38 +1.405,0.795752282,197,1.309,0.985,0.792498396,199,1.393,0.685,0.789343203,199,1.529,0.435,0.786188009,199,1.665 +3.905,0.797043178,317,0.625,3.485,0.793788305,305,0.623,3.165,0.790633111,298,0.631,2.835,0.787477918,298,0.664 +4.745,0.796257587,33,0.823,4.385,0.793101406,35,0.771,4.065,0.789946213,35,0.691,3.735,0.786889711,35,0.615 +4.845,0.795765112,25,1.785,4.485,0.792608932,25,1.739,4.185,0.789453738,25,1.677,3.935,0.786298544,25,1.626 +5.485,0.797146805,123,0.555,5.185,0.794090303,132,0.583,4.865,0.79093511,135,0.612,4.535,0.787778929,135,0.654 +5.725,0.79852751,194,2.598,5.485,0.795471009,195,2.747,5.185,0.792315815,196,2.861,4.935,0.789160622,196,2.984 +4.695,0.800298051,194,4.413,4.635,0.797341229,200,5.129,4.425,0.794284727,201,5.712,4.235,0.791228226,201,6.225 +3.665,0.802167283,224,6.237,3.785,0.799309154,223,7.52,3.665,0.796253639,223,8.563,3.535,0.793197138,223,9.457 +2.045,0.80176758,245,5.632,2.285,0.799008142,245,6.789,2.285,0.79605132,245,7.685,2.335,0.793094498,245,8.426 +0.845,0.803044658,258,4.66,1.385,0.8003849,265,5.603,1.455,0.797428078,267,6.156,1.535,0.794569948,267,6.543 +0.025,0.804224032,225,4.482,0.985,0.801862324,250,4.279,1.085,0.799004194,256,4.163,1.235,0.796047372,256,4.134 +-0.675,0.803532198,232,5.186,1.185,0.801764619,259,4.743,1.235,0.798807797,262,4.37,1.335,0.795949667,262,4.203 +-1.115,0.803431532,224,4.849,1.285,0.802159388,254,4.239,1.315,0.799103874,257,3.867,1.335,0.796146065,257,3.688 +-1.495,0.804317789,213,4.482,1.085,0.803045645,240,3.637,1.135,0.800088823,245,3.236,1.235,0.797132001,245,3.053 +-1.635,0.80421811,204,4.928,1.185,0.803144338,218,3.933,1.205,0.800187515,222,3.344,1.235,0.797230693,222,3.053 +-1.735,0.804316802,206,4.968,1.385,0.803440415,216,4.081,1.365,0.8003849,222,3.433,1.335,0.797428078,222,3.043 +-1.575,0.804711572,203,5.513,1.485,0.803835184,214,4.467,1.465,0.800779669,219,3.719,1.435,0.797822847,219,3.232 +-1.895,0.804118431,203,6.911,1.885,0.803738465,210,6.246,1.885,0.800682951,214,5.495,1.935,0.797726129,214,4.996 +-1.755,0.802936097,204,6.495,1.635,0.802259067,214,5.88,1.635,0.799203553,218,5.189,1.635,0.796245744,218,4.699 +-1.615,0.801753763,216,6.078,1.385,0.800779669,230,5.514,1.385,0.797822847,236,4.883,1.435,0.794865038,236,4.392 +-1.915,0.802541327,217,6.485,1.685,0.80196299,234,5.811,1.665,0.799006168,240,5.228,1.635,0.796049346,240,4.857 +-2.175,0.804413521,220,5.563,1.785,0.804132248,243,5.455,1.765,0.801175426,249,5.179,1.735,0.798118924,249,5.006 +-1.535,0.800670121,217,4.551,1.285,0.799596348,247,4.743,1.265,0.796639526,253,4.636,1.235,0.793681717,253,4.629 +0.845,0.796440168,221,1.458,0.785,0.793384653,252,2.016,0.785,0.790427831,261,2.476,0.835,0.787471009,261,2.746 +3.905,0.798620281,261,0.625,3.485,0.795464101,256,0.672,3.165,0.792210215,253,0.75,2.835,0.789055021,253,0.823 +5.745,0.796754009,233,2.003,5.385,0.793597829,232,2.085,5.065,0.790442635,232,2.17,4.735,0.787287441,232,2.28 +7.945,0.796958302,239,3.897,7.585,0.793803109,239,3.992,7.285,0.790745621,238,4.084,7.035,0.787689119,238,4.203 +9.145,0.797750802,245,6.445,8.785,0.794595608,245,6.582,8.455,0.791539107,245,6.678,8.135,0.788382926,245,6.791 +8.585,0.798044905,242,7.665,8.285,0.794988404,242,7.827,7.955,0.791930915,242,7.941,7.635,0.788775722,242,8.069 +7.645,0.79784456,232,8.111,7.285,0.794689366,231,8.41,6.985,0.791533185,231,8.622,6.735,0.788476684,231,8.833 +6.915,0.799517395,226,7.705,6.585,0.796460893,226,8.212,6.295,0.793305699,226,8.592,6.035,0.790249198,226,8.952 +6.185,0.801289909,229,7.298,5.885,0.79823242,229,8.015,5.615,0.795077227,229,8.553,5.335,0.792020725,229,9.061 +5.185,0.800891192,226,7.05,4.885,0.797735998,226,7.876,4.635,0.794679497,227,8.602,4.435,0.791524303,227,9.318 +4.125,0.803253886,226,5.93,3.885,0.800098692,229,6.937,3.765,0.797140883,230,7.921,3.635,0.794084382,230,8.892 +2.985,0.802264002,223,6.187,2.985,0.79930718,228,7.55,3.115,0.79644905,230,8.77,3.235,0.79359092,230,9.844 +2.085,0.799500617,224,5.93,2.385,0.796742166,231,7.609,2.705,0.793982729,232,8.997,3.035,0.791224278,232,10.102 +1.625,0.801174439,219,5.85,2.285,0.798713052,230,7.669,2.455,0.795854922,233,9.036,2.635,0.792996792,233,10.032 +1.545,0.799893412,204,6.316,2.385,0.797529731,221,7.995,2.435,0.794572909,226,9.184,2.535,0.791616087,226,9.993 +1.605,0.801569208,203,7.07,2.385,0.799107821,217,8.884,2.385,0.796150012,221,10.042,2.435,0.79319319,221,10.786 +1.305,0.801567234,210,6.693,1.785,0.798908463,218,8.469,1.935,0.796050333,222,9.766,2.035,0.793192203,222,10.736 +0.865,0.801467555,204,7.278,1.285,0.798807797,211,9.131,1.565,0.795950654,215,10.279,1.835,0.793191216,215,11.033 +0.185,0.800774735,207,7.873,0.485,0.798016284,211,9.714,1.035,0.795356526,215,10.94,1.535,0.79279546,215,11.846 +0.005,0.800182581,208,8.22,0.335,0.797325438,211,10.1,0.915,0.794765359,215,11.374,1.435,0.792204293,215,12.322 +-0.175,0.799492721,212,8.567,0.185,0.796733284,214,10.485,0.785,0.794173205,218,11.808,1.335,0.791612139,218,12.798 +-0.655,0.799688132,213,9.42,-0.415,0.796928695,217,11.414,0.255,0.794368616,220,12.933,0.935,0.791906242,220,14.176 +-0.315,0.800576363,216,8.498,-0.315,0.797619541,219,10.11,0.205,0.794959783,222,11.779,0.735,0.792398717,222,13.333 +1.825,0.800189489,217,8.002,1.585,0.797132988,218,8.766,1.435,0.793978781,219,9.608,1.335,0.79092228,219,10.508 +4.445,0.798030101,233,8.161,4.085,0.794874907,233,8.558,3.755,0.791719714,233,8.898,3.435,0.78856452,233,9.269 +6.445,0.796459906,243,8.359,6.085,0.793304713,243,8.578,5.755,0.790248211,243,8.74,5.435,0.787092031,243,8.942 +7.705,0.797056008,253,7.437,7.285,0.793900814,253,7.58,6.955,0.790744634,253,7.675,6.635,0.787688132,253,7.812 +8.305,0.796762892,252,6.257,7.885,0.793606711,252,6.354,7.585,0.79055021,252,6.422,7.335,0.787493708,252,6.513 +8.645,0.795580558,247,6.178,8.285,0.792524056,247,6.275,7.985,0.789368863,247,6.343,7.735,0.786311374,247,6.444 +8.845,0.796172712,233,6.931,8.485,0.793017518,233,7.056,8.165,0.78996003,233,7.132,7.835,0.786804836,233,7.227 +8.345,0.797452751,224,8.27,7.985,0.79429657,224,8.509,7.685,0.791240069,224,8.651,7.435,0.788183568,224,8.823 +6.465,0.799319023,218,7.824,6.285,0.796262522,219,8.588,6.045,0.79320602,219,9.125,5.835,0.790149519,219,9.586 +4.585,0.801185295,216,7.387,4.585,0.798228473,218,8.667,4.415,0.795171971,219,9.589,4.235,0.79211547,219,10.359 +3.565,0.798815692,210,7.724,3.685,0.795957562,213,9.161,3.635,0.79300074,214,10.21,3.535,0.790042931,214,11.043 +2.885,0.801080681,193,8.666,3.185,0.798321244,197,10.406,3.185,0.795364421,199,11.443,3.235,0.792407599,199,12.114 +2.345,0.80078263,192,8.676,2.585,0.79802418,196,10.376,2.665,0.795067358,197,11.601,2.735,0.792209228,197,12.57 +1.645,0.800583272,188,8.875,1.885,0.797725142,191,10.535,2.085,0.794966691,192,11.996,2.335,0.792108562,192,13.323 +0.865,0.79841204,190,8.934,0.985,0.795553911,192,10.614,1.435,0.792894152,193,12.232,1.935,0.790234394,193,13.779 +0.365,0.797227733,191,9.817,0.485,0.79427091,193,11.562,1.085,0.791808537,194,13.416,1.735,0.789248458,194,15.266 +0.265,0.797522823,188,11.572,0.385,0.794664693,189,13.381,0.865,0.792004935,190,15.231,1.335,0.789345176,190,17.14 +0.725,0.796341475,195,13.733,0.785,0.793384653,196,15.663,1.165,0.790724895,196,17.55,1.535,0.78806415,196,19.549 +1.325,0.796442142,197,14.596,1.385,0.79348532,197,16.523,1.615,0.790725882,197,18.378,1.835,0.787967432,197,20.322 +1.485,0.796443129,195,14.021,1.485,0.79348532,196,15.782,1.535,0.790528497,196,17.421,1.535,0.787571675,196,19.132 +1.095,0.796146065,203,13.823,1.035,0.793089563,203,15.515,1.035,0.790132741,204,17.076,1.035,0.787175919,204,18.716 +0.705,0.795849001,207,13.634,0.585,0.792792499,208,15.238,0.535,0.789736985,208,16.721,0.535,0.786779176,208,18.3 +0.405,0.79525586,202,14.011,0.285,0.792200345,203,15.713,0.365,0.789341229,203,17.352,0.435,0.786385394,203,19.093 +1.425,0.79565359,202,14.963,1.185,0.792499383,202,16.671,1.185,0.789541574,202,18.26,1.235,0.786683444,202,19.955 +3.585,0.795562793,202,15.042,3.285,0.792407599,202,16.237,3.015,0.789252406,202,17.293,2.735,0.786195904,202,18.399 +4.345,0.79398865,210,15.826,3.985,0.790833457,210,16.464,3.665,0.787677276,210,16.928,3.335,0.784522082,210,17.427 +4.645,0.793299778,215,14.358,4.285,0.790144584,215,14.784,3.985,0.787087096,215,15.064,3.735,0.783931902,215,15.375 +5.545,0.792316802,225,11.82,5.185,0.789161609,226,12.234,4.865,0.786105107,226,12.558,4.535,0.782948927,226,12.917 +6.705,0.793701456,234,11.8,6.285,0.790446583,234,12.145,5.955,0.787390081,234,12.41,5.635,0.784234888,234,12.709 +7.345,0.792914878,238,10.917,6.985,0.789759684,238,11.226,6.665,0.786702196,238,11.463,6.335,0.783547002,238,11.737 +7.345,0.792618801,240,9.896,6.985,0.789463607,241,10.199,6.665,0.786308414,241,10.417,6.335,0.783250925,241,10.677 +6.645,0.794095238,236,8.954,6.285,0.790940044,237,9.319,5.985,0.787882556,237,9.569,5.735,0.784727362,237,9.854 +5.345,0.795668394,233,7.576,5.135,0.792611892,234,8.222,4.875,0.789554404,235,8.681,4.635,0.786497903,235,9.1 +4.045,0.797339255,229,6.207,3.985,0.794381446,231,7.135,3.765,0.791226252,232,7.793,3.535,0.788169751,232,8.357 +2.685,0.798221564,226,6.862,2.685,0.795263755,229,8.143,2.535,0.792208241,231,8.938,2.335,0.789151739,231,9.497 +1.805,0.798513694,221,7.11,1.985,0.795655564,228,8.696,1.985,0.792698742,232,9.49,2.035,0.78974192,232,9.874 +1.325,0.797230693,216,7.129,1.685,0.794472243,225,8.746,1.865,0.791712805,230,9.263,2.035,0.788854676,230,9.269 +1.005,0.799595361,214,6.822,1.485,0.796935603,226,8.439,1.715,0.794176166,232,8.987,1.935,0.791319023,232,9.051 +0.945,0.798510733,214,5.85,1.485,0.795949667,230,6.858,1.685,0.793092524,239,7.389,1.935,0.790333087,239,7.831 +1.145,0.799891438,215,5.136,1.685,0.79723168,240,5.84,1.785,0.79437355,249,6.452,1.835,0.791416728,249,7.088 +1.365,0.800286208,213,4.512,2.085,0.797726129,249,4.941,2.085,0.794769307,257,5.436,2.035,0.791811498,257,5.997 +1.445,0.799793733,213,4.056,2.285,0.797332346,256,4.338,2.285,0.794375524,266,4.794,2.335,0.791418702,266,5.234 +1.565,0.800779669,228,3.857,2.285,0.798318283,272,4.141,2.285,0.795262768,281,4.538,2.335,0.792404639,281,4.887 +1.445,0.801765606,262,4.224,1.985,0.79920454,299,4.842,1.965,0.796149025,306,5.366,1.935,0.793191216,306,5.779 +0.925,0.800285221,296,3.956,1.135,0.797427091,312,4.516,1.115,0.794371577,319,4.972,1.135,0.791414755,319,5.353 +0.405,0.798706144,306,3.689,0.285,0.795649642,324,4.19,0.265,0.79269282,330,4.577,0.235,0.789637306,330,4.917 +0.165,0.799592401,318,2.509,-0.015,0.796437207,339,2.629,-0.015,0.793480385,350,2.831,0.035,0.790523563,350,3.142 +0.045,0.799000247,264,1.458,-0.015,0.796043425,293,0.86,-0.015,0.79298791,331,0.582,0.035,0.790030101,331,1.18 +0.905,0.799299284,319,0.575,0.485,0.796044412,329,0.494,0.315,0.792890205,341,0.454,0.135,0.789833703,341,0.565 +2.645,0.798320257,26,1.497,2.285,0.795164076,28,1.492,1.955,0.792008882,28,1.49,1.635,0.788853689,28,1.487 +3.545,0.796252652,352,2.221,3.185,0.792998766,353,2.224,2.885,0.789942265,353,2.2,2.535,0.786787071,353,2.201 +4.145,0.796156921,349,3.004,3.785,0.79300074,348,3.014,3.455,0.789845547,348,3.009,3.135,0.786690353,348,3.014 +4.845,0.79596151,359,3.758,4.485,0.792806316,359,3.785,4.165,0.789651123,359,3.788,3.835,0.786495929,359,3.807 +5.345,0.795766099,12,3.639,4.985,0.792610906,12,3.666,4.665,0.789455712,12,3.67,4.335,0.786300518,12,3.688 +5.645,0.798231434,13,4.095,5.285,0.79507624,13,4.121,4.955,0.791921046,13,4.143,4.635,0.788765852,13,4.183 +5.545,0.798132741,5,4.214,5.185,0.794977547,5,4.269,4.885,0.791921046,5,4.301,4.535,0.788764866,5,4.352 +4.515,0.800298051,348,4.522,4.335,0.797241549,348,4.931,4.085,0.794086356,348,5.209,3.835,0.791029854,348,5.432 +3.485,0.802462374,330,4.839,3.485,0.799505551,334,5.583,3.285,0.796350358,336,6.116,3.035,0.793293856,336,6.523 +2.905,0.806995312,326,5.285,3.085,0.804137182,335,6.335,2.915,0.800981989,338,6.787,2.735,0.797925487,338,6.939 +2.265,0.806992351,331,6.296,2.685,0.804332593,341,7.501,2.565,0.801276092,344,8.03,2.435,0.798220577,344,8.248 +1.445,0.806200839,329,6.624,1.985,0.803639773,343,7.926,1.985,0.800584259,347,8.642,2.035,0.797627436,347,9.1 +1.125,0.807480878,335,5.414,1.485,0.804722428,359,5.959,1.565,0.801765606,6,6.353,1.635,0.798907476,6,6.751 +0.845,0.807086109,2,5.057,1.085,0.804227979,27,5.425,1.135,0.801271157,34,5.87,1.235,0.798314335,34,6.453 +0.585,0.807183814,44,5.047,0.585,0.804226992,62,5.613,0.635,0.801269183,67,6.353,0.735,0.798313348,67,7.227 +0.125,0.807379225,64,5.255,0.185,0.804422403,80,5.999,0.265,0.801465581,84,6.826,0.335,0.798508759,84,7.722 +-0.235,0.806786084,96,6.882,0.185,0.804027634,101,8.232,0.235,0.801070812,101,9.155,0.335,0.79811399,101,9.834 +-1.135,0.806684431,125,9.053,0.485,0.80471848,113,11.216,0.485,0.801761658,110,11.858,0.535,0.798706144,110,11.896 +-1.055,0.807571675,135,7.328,-0.215,0.805110289,120,8.943,0.015,0.802253146,115,9.973,0.235,0.799395016,115,10.677 +-2.085,0.80579324,81,4.958,-1.365,0.803233161,94,5.831,-1.055,0.80047471,92,6.886,-0.765,0.79771626,92,7.881 +-3.115,0.804015791,354,2.588,-2.515,0.801356033,50,2.708,-2.115,0.798696274,65,3.808,-1.665,0.795938811,65,5.076 +-5.075,0.808739206,356,3.689,-4.115,0.806278806,31,3.518,-3.565,0.803620035,46,3.946,-2.965,0.800960276,46,4.897 +-6.095,0.804989884,19,2.41,-5.315,0.802528497,63,2.856,-4.665,0.799869726,74,3.699,-3.965,0.797309647,74,4.877 +-5.255,0.805584012,170,1.368,-5.015,0.802628177,120,2.639,-4.285,0.800068098,113,4.311,-3.565,0.797606711,113,5.779 +-2.115,0.80480829,130,1.676,-2.415,0.801554404,128,1.868,-2.215,0.798696274,125,2.624,-1.965,0.795839132,125,3.737 +0.045,0.802154453,88,2.667,-0.315,0.798900567,90,2.797,-0.585,0.795745374,91,2.959,-0.865,0.792491488,91,3.182 +1.745,0.802555144,70,3.996,1.385,0.799301258,71,4.101,1.035,0.796046385,72,4.193,0.735,0.792891192,72,4.312 +3.145,0.80187022,68,4.333,2.785,0.798714039,69,4.417,2.455,0.795558845,69,4.488,2.135,0.792304959,69,4.57 +4.145,0.801380706,69,4.531,3.785,0.798225512,70,4.615,3.455,0.795069331,70,4.676,3.135,0.791815445,70,4.739 +4.745,0.802763385,62,4.621,4.385,0.799508512,63,4.694,4.065,0.796353319,63,4.765,3.735,0.793198125,63,4.848 +4.945,0.803848014,64,4.254,4.585,0.80069282,65,4.427,4.285,0.79753664,66,4.558,4.035,0.794381446,66,4.709 +3.655,0.805913644,23,4.026,3.535,0.802857143,32,4.437,3.295,0.799701949,34,4.597,3.035,0.796546755,34,4.689 +2.365,0.807879595,35,3.798,2.485,0.80492376,47,4.447,2.315,0.801867259,51,4.627,2.135,0.798712065,51,4.679 +2.145,0.809456699,326,3.054,2.385,0.806598569,3,2.915,2.335,0.803543055,14,2.831,2.335,0.800585245,14,2.796 +1.685,0.811919072,294,2.945,2.285,0.809259314,334,2.303,2.435,0.806402171,346,1.825,2.535,0.803445349,346,1.507 +1.165,0.812114483,296,3.808,1.885,0.80965211,335,3.429,2.165,0.806794967,343,2.9,2.435,0.804036516,343,2.34 +0.745,0.813098446,299,2.737,0.985,0.810240316,341,2.461,1.315,0.807481865,352,2.101,1.635,0.804624722,352,1.646 +0.585,0.812013817,282,2.479,0.885,0.809155687,297,1.818,1.415,0.806496916,291,1.45,1.935,0.803837158,291,1.418 +0.965,0.812902048,274,2.023,1.385,0.81024229,260,1.749,2.065,0.807682211,247,1.983,2.735,0.805121145,247,2.488 +1.485,0.811819393,247,1.646,2.085,0.809259314,229,1.957,2.685,0.806599556,223,2.486,3.335,0.804039477,223,2.984 +2.325,0.812906982,194,2.697,2.985,0.810346904,195,3.133,3.435,0.807588453,196,3.62,3.935,0.804928695,196,4.064 +3.265,0.813994572,193,3.788,4.285,0.811632865,194,4.002,4.585,0.808873427,195,4.37,4.935,0.806114977,195,4.778 +3.185,0.813107328,204,3.163,4.685,0.811042684,195,3.064,5.015,0.808284234,194,3.384,5.335,0.805524796,194,3.896 +0.625,0.810239329,75,2.687,1.585,0.807877622,77,2.767,2.015,0.805217863,75,2.989,2.435,0.802557118,75,3.321 +-1.935,0.807470022,88,2.221,-1.515,0.804711572,86,2.471,-0.985,0.802051813,81,2.604,-0.465,0.799491735,81,2.756 +-1.395,0.810231434,172,2.31,-0.915,0.80747397,136,2.401,-0.445,0.804814212,127,2.259,0.035,0.802154453,127,2.171 +-0.495,0.809348137,232,1.587,0.285,0.806886751,167,1.156,0.635,0.8041283,148,0.977,1.035,0.801369849,148,0.902 +-0.395,0.80688379,279,1.22,0.685,0.804620775,357,0.88,0.985,0.801862324,12,1.056,1.335,0.799103874,12,1.318 +0.665,0.804719467,349,1.071,0.185,0.801464594,350,1.087,0.685,0.798805823,356,1.154,1.235,0.796146065,356,1.279 +2.745,0.803741426,76,1.537,2.385,0.80048754,79,1.522,2.205,0.797431039,81,1.46,2.035,0.794374537,81,1.378 +3.645,0.801182334,83,2.638,3.285,0.797927461,86,2.668,2.985,0.794772267,87,2.664,2.735,0.791617074,87,2.657 +4.245,0.801479398,65,3.401,3.885,0.798225512,66,3.429,3.585,0.795070318,67,3.433,3.335,0.792013817,67,3.44 +4.245,0.80197286,32,4.016,3.885,0.798717987,32,4.081,3.565,0.795562793,32,4.094,3.235,0.792407599,32,4.114 +4.045,0.80226795,35,3.014,3.685,0.799111769,35,3.064,3.385,0.795956575,35,3.078,3.035,0.792801382,35,3.103 +3.945,0.803548976,50,2.439,3.585,0.800294103,51,2.49,3.315,0.797138909,51,2.506,3.035,0.794082408,51,2.538 +3.595,0.805420183,42,2.766,3.385,0.802264989,46,2.718,3.205,0.799208488,48,2.634,3.035,0.796152973,48,2.617 +3.245,0.807291389,48,3.094,3.185,0.804235875,53,2.935,3.115,0.801279053,55,2.762,3.035,0.798222551,55,2.706 +2.845,0.808670121,21,2.102,2.785,0.805614606,23,1.818,2.865,0.802657784,24,1.667,2.935,0.799799655,24,1.685 +2.505,0.810048853,16,2.965,2.385,0.806894646,16,2.757,2.615,0.804135208,18,2.762,2.835,0.801277079,18,2.924 +2.145,0.81054034,359,2.529,2.085,0.807484826,357,2.421,2.315,0.804626696,1,2.466,2.535,0.801868246,1,2.617 +1.825,0.811229213,355,2.181,1.885,0.808272391,356,2.184,2.135,0.80551394,3,2.318,2.435,0.802655811,3,2.548 +1.405,0.812213175,346,2.072,1.585,0.809356033,350,2.174,1.815,0.806497903,357,2.289,2.035,0.80364076,357,2.449 +0.945,0.810042931,2,0.892,1.185,0.807185788,11,1.196,1.485,0.804427338,18,1.44,1.835,0.801569208,18,1.665 +0.425,0.810435727,35,1.507,0.485,0.807380212,32,1.957,0.815,0.804621762,32,2.229,1.135,0.801862324,32,2.429 +-0.415,0.810235381,34,2.727,-0.415,0.807179867,35,3.232,-0.045,0.804421416,34,3.571,0.335,0.801662966,34,3.817 +-1.235,0.809739946,26,2.072,-1.115,0.806783124,33,2.737,-0.715,0.804024673,31,3.275,-0.265,0.801364915,31,3.658 +-1.815,0.810033062,23,2.34,-1.515,0.807274611,33,3.123,-1.135,0.804516161,33,3.867,-0.765,0.80175771,33,4.471 +-2.225,0.80796151,298,2.003,-1.715,0.805301752,342,2.283,-1.305,0.802544288,351,2.92,-0.865,0.79988453,351,3.559 +-2.635,0.805889958,284,1.666,-1.915,0.803329879,352,1.453,-1.465,0.800670121,8,1.973,-0.965,0.79801135,8,2.647 +-2.695,0.806382433,309,2.003,-2.215,0.803723661,0,1.878,-1.735,0.801063903,13,2.318,-1.265,0.798305453,13,2.905 +-2.975,0.807367382,341,2.201,-2.615,0.804608932,21,2.283,-2.085,0.801949173,28,2.772,-1.565,0.799290402,28,3.331 +-3.735,0.802338021,306,1.626,-3.015,0.799777942,9,1.68,-2.485,0.797118184,21,2.032,-1.965,0.794557118,21,2.488 +-1.795,0.800176659,351,1.051,-2.215,0.796921786,354,1.077,-1.865,0.794064643,3,1.263,-1.465,0.791404885,3,1.656 +0.605,0.800480632,48,1.23,0.185,0.797226746,50,1.235,-0.135,0.79397286,50,1.243,-0.465,0.790817666,50,1.279 +2.245,0.7979245,25,1.676,1.885,0.79476832,26,1.67,1.585,0.791613126,26,1.638,1.335,0.788457932,26,1.626 +3.145,0.799109795,3,2.38,2.785,0.795855909,3,2.392,2.485,0.792700716,2,2.377,2.235,0.789545522,2,2.369 +3.545,0.798224525,7,3.589,3.185,0.794970639,7,3.647,2.885,0.791815445,7,3.66,2.535,0.788659265,7,3.678 +3.345,0.800195411,20,3.748,2.985,0.796940538,20,3.815,2.685,0.793785344,20,3.857,2.435,0.790630151,20,3.906 +2.845,0.800587219,26,3.332,2.485,0.797432026,25,3.4,2.185,0.794276832,25,3.433,1.935,0.791121638,25,3.47 +2.485,0.80236072,28,3.837,2.335,0.799304219,26,3.854,2.165,0.796149025,25,3.798,2.035,0.793093511,25,3.797 +2.125,0.804133235,27,4.343,2.185,0.801176413,23,4.309,2.135,0.798120898,21,4.153,2.035,0.795163089,21,4.124 +1.825,0.803245004,29,3.56,1.885,0.800386874,23,3.488,2.015,0.797430052,23,3.512,2.135,0.794571922,23,3.698 +1.725,0.807877622,34,2.836,1.785,0.804920799,27,2.876,1.955,0.801963977,30,3.137,2.135,0.799106834,30,3.618 +1.585,0.806694301,15,2.281,1.585,0.803737478,14,2.461,1.755,0.800879349,20,2.792,1.935,0.798021219,20,3.311 +1.485,0.806989391,332,1.616,1.485,0.803933876,351,1.66,1.665,0.801075746,6,1.835,1.835,0.798218604,6,2.28 +1.225,0.807284481,329,3.183,1.285,0.804327659,345,3.073,1.455,0.801370836,353,2.999,1.635,0.798513694,353,3.103 +0.545,0.807577597,347,4.541,0.485,0.804522082,356,4.605,0.665,0.801663953,360,4.627,0.835,0.798805823,360,4.689 +-0.675,0.806686405,354,4.244,-0.615,0.803630891,3,4.487,-0.385,0.800772761,4,4.706,-0.165,0.79801431,4,4.877 +-1.595,0.804119418,2,2.419,-1.415,0.801262275,12,2.826,-1.085,0.798502837,13,3.354,-0.765,0.795645695,13,3.817 +-2.075,0.80579324,343,2.003,-1.715,0.803034789,5,2.53,-1.315,0.800276339,11,3.246,-0.965,0.79761658,11,3.916 +-2.295,0.805595855,319,2.261,-1.815,0.802936097,0,2.56,-1.295,0.800276339,13,3.285,-0.765,0.797617567,13,4.084 +-2.235,0.804314829,314,3.262,-1.665,0.801753763,341,3.192,-1.165,0.799094004,352,3.413,-0.665,0.796435233,352,3.846 +-2.175,0.803131508,309,4.264,-1.515,0.800571429,335,3.815,-1.035,0.79791167,345,3.532,-0.565,0.795252899,345,3.608 +-2.795,0.801059956,313,4.65,-2.015,0.798598569,334,4.368,-1.535,0.795938811,340,3.966,-1.065,0.793279053,340,3.807 +-2.995,0.804606958,290,4.006,-1.915,0.802246237,319,3.686,-1.315,0.799685171,325,3.058,-0.665,0.797125093,325,2.647 +-2.675,0.800075006,288,3.471,-1.715,0.797811004,325,3.597,-1.085,0.795250925,335,3.088,-0.465,0.792689859,335,2.766 +-1.055,0.796926721,319,1.656,-1.415,0.793671848,319,1.72,-0.915,0.791111769,329,1.756,-0.465,0.788452011,329,1.893 +0.605,0.796537873,12,2.172,0.185,0.793283987,12,2.204,-0.115,0.790030101,12,2.2,-0.465,0.786874907,12,2.201 +1.805,0.796049346,25,2.776,1.385,0.79279546,25,2.807,1.065,0.789640266,26,2.811,0.735,0.786484086,26,2.835 +3.205,0.795167037,15,2.41,2.785,0.791913151,15,2.421,2.455,0.788757957,15,2.427,2.135,0.785602763,15,2.439 +3.845,0.796352332,12,2.935,3.485,0.793197138,11,2.965,3.185,0.790041944,11,2.979,2.935,0.786886751,11,3.004 +4.145,0.797240563,24,2.846,3.785,0.794085369,23,2.866,3.485,0.790930175,23,2.881,3.235,0.787774981,23,2.905 +4.085,0.797930422,28,3.242,3.785,0.794775228,27,3.301,3.455,0.791620035,27,3.324,3.135,0.788464841,27,3.351 +3.885,0.799901308,36,3.381,3.735,0.796844806,35,3.498,3.435,0.793689613,34,3.492,3.135,0.790534419,34,3.499 +3.685,0.801872193,41,3.52,3.685,0.798915371,35,3.696,3.415,0.795759191,32,3.65,3.135,0.792603997,32,3.638 +3.545,0.80315322,36,2.3,3.485,0.800096718,23,2.48,3.215,0.796941525,19,2.545,2.935,0.793786331,19,2.627 +3.145,0.804728349,357,2.747,3.085,0.801672835,354,3.271,2.835,0.798616334,352,3.443,2.535,0.79546114,352,3.46 +1.465,0.804623736,322,6.326,1.585,0.801666913,327,7.056,1.505,0.798611399,328,7.27,1.435,0.79565359,328,7.276 +-0.755,0.802546262,321,7.367,-0.215,0.799886504,326,9.131,0.065,0.797128053,330,9.815,0.335,0.794269924,330,9.973 +-1.835,0.803034789,308,6.772,-1.415,0.800276339,319,8.232,-0.995,0.79761658,324,8.671,-0.565,0.79485813,324,8.704 +-2.515,0.802835431,312,6.128,-1.915,0.800175672,328,7.175,-1.665,0.797318529,336,7.201,-1.465,0.794559092,336,6.959 +-2.795,0.801651123,312,5.662,-2.015,0.799189736,336,5.919,-1.915,0.796232914,346,5.633,-1.765,0.793277079,346,5.353 +-3.155,0.802931162,322,5.315,-2.315,0.800568468,350,5.495,-2.235,0.797512953,359,5.307,-2.165,0.794556131,359,5.204 +-3.675,0.802633111,313,5.741,-2.715,0.800271404,341,5.663,-2.615,0.797314582,349,5.12,-2.465,0.794358747,349,4.679 +-4.135,0.803420676,315,6.128,-3.115,0.801058969,341,6.246,-2.945,0.798102147,349,5.643,-2.765,0.795244017,349,5.036 +-4.305,0.802828522,305,7.001,-3.165,0.800664199,325,7.777,-3.025,0.797707377,331,7.339,-2.865,0.794751542,331,6.652 +-4.475,0.80233506,318,7.883,-3.215,0.800170738,335,9.319,-3.115,0.797213916,339,9.026,-2.965,0.79425808,339,8.258 +-4.755,0.800067111,312,7.11,-3.615,0.797804096,332,8.43,-3.585,0.794847274,337,8.099,-3.565,0.791791759,337,7.326 +-5.215,0.80262719,329,6.138,-4.615,0.799968418,340,8.054,-4.465,0.797012583,345,8.267,-4.265,0.794154453,345,7.911 +-5.095,0.800459906,327,3.302,-5.215,0.797304713,335,4.081,-5.045,0.794348877,345,4.735,-4.865,0.791490748,345,5.214 +-4.095,0.798788058,334,2.489,-4.515,0.795434493,334,2.579,-4.615,0.792378979,335,2.654,-4.665,0.789323464,335,2.726 +-1.295,0.799094004,357,1.696,-1.715,0.795741426,357,1.72,-2.065,0.792486553,357,1.736,-2.465,0.789232667,357,1.765 +0.505,0.797621515,41,1.785,0.085,0.794367629,41,1.808,-0.245,0.791113743,42,1.835,-0.565,0.787958549,42,1.864 +2.145,0.799401925,38,1.745,1.785,0.796148038,39,1.759,1.455,0.792992845,39,1.766,1.135,0.789738959,39,1.784 +2.845,0.799699975,18,2.459,2.485,0.796544782,18,2.471,2.165,0.793389588,18,2.476,1.835,0.790234394,18,2.488 +3.245,0.800293116,2,2.33,2.885,0.797137923,2,2.342,2.585,0.793982729,2,2.338,2.335,0.790827535,2,2.34 +3.185,0.801279053,20,3.024,2.885,0.798123859,20,3.083,2.585,0.794967678,20,3.117,2.335,0.791813472,20,3.142 +2.825,0.802361707,32,3.213,2.585,0.799206514,35,3.261,2.295,0.79605132,35,3.226,2.035,0.792896126,35,3.212 +2.465,0.803444362,4,3.401,2.285,0.800290155,11,3.429,2.015,0.797134962,10,3.344,1.735,0.793979768,10,3.281 +2.105,0.806696274,2,3.907,1.985,0.803639773,4,3.913,1.715,0.800386874,3,3.847,1.435,0.797230693,3,3.787 +1.765,0.808272391,23,3.609,1.585,0.805117197,23,3.656,1.335,0.801962003,22,3.611,1.035,0.79880681,22,3.579 +1.465,0.807777942,8,1.973,1.285,0.804721441,9,2.065,1.035,0.801468542,9,2.081,0.835,0.798313348,9,2.121 +1.165,0.810733777,2,1.19,0.985,0.807579571,7,1.304,0.815,0.804424377,8,1.351,0.635,0.801367876,8,1.418 +0.865,0.808072045,316,0.744,0.685,0.804916852,342,0.8,0.635,0.801861337,350,0.829,0.535,0.798804836,350,0.882 +0.545,0.809253393,129,1.358,0.485,0.806197878,108,1.443,0.585,0.803241056,105,1.638,0.735,0.800382926,105,1.834 +0.325,0.808562546,102,1.765,0.385,0.805507032,85,2.056,0.585,0.802648902,86,2.2,0.835,0.799791759,86,2.26 +-0.175,0.809546509,78,3.123,-0.115,0.806490994,75,3.666,0.115,0.803632865,76,3.828,0.335,0.800775722,76,3.797 +-0.655,0.809150753,88,3.56,-0.415,0.806292623,89,4.319,-0.115,0.803534172,89,4.548,0.235,0.800677029,89,4.501 +-0.535,0.808362201,121,3.242,0.185,0.805802122,108,4.111,0.535,0.803043671,107,4.331,0.835,0.800284234,107,4.263 +-0.825,0.808065137,90,2.836,-0.015,0.805604737,85,3.775,0.345,0.8028453,84,4.015,0.735,0.800086849,84,3.955 +-1.115,0.807866765,129,2.429,-0.215,0.805406366,104,3.439,0.165,0.802647915,101,3.699,0.535,0.799988157,101,3.648 +-0.775,0.810825561,202,1.487,0.185,0.808463854,114,2.095,0.565,0.805705403,106,2.308,0.935,0.802945966,106,2.26 +-0.475,0.808165803,249,1.448,0.485,0.805803109,176,0.642,0.885,0.803143351,140,0.582,1.335,0.8003849,140,0.565 +-0.655,0.806686405,278,0.516,0.185,0.804225019,95,0.83,0.635,0.80156526,90,1.253,1.035,0.798905502,90,1.507 +-0.135,0.802153467,132,0.466,-0.015,0.799296324,117,1.127,0.535,0.796636566,111,1.618,1.035,0.7940755,111,1.884 +2.345,0.801078707,94,1.745,1.985,0.797824821,100,1.808,2.035,0.794867999,102,1.884,2.035,0.792008882,102,2.002 +4.305,0.800000987,74,1.844,3.885,0.796747101,77,1.858,3.585,0.793591907,80,1.835,3.335,0.790535406,80,1.824 +6.345,0.801092524,90,1.656,5.985,0.79793733,94,1.65,5.665,0.794782137,96,1.638,5.335,0.791625956,96,1.636 +7.245,0.800800395,68,2.172,6.885,0.797645201,70,2.164,6.585,0.79448902,72,2.111,6.335,0.791432519,72,2.062 +7.445,0.799914138,73,2.598,7.085,0.796757957,76,2.609,6.815,0.793602763,77,2.585,6.535,0.790546262,77,2.538 +7.185,0.801785344,70,3.252,6.885,0.798728843,73,3.311,6.635,0.795573649,75,3.255,6.435,0.792517148,75,3.162 +5.705,0.802371577,60,3.808,5.585,0.799316062,67,4.368,5.575,0.796456945,70,4.469,5.535,0.793500123,70,4.302 +4.225,0.802957809,76,4.373,4.285,0.800000987,84,5.435,4.515,0.797242536,88,5.682,4.735,0.794483099,88,5.442 +4.645,0.80355095,130,2.697,4.885,0.800693807,127,3.597,5.135,0.79793437,130,4.084,5.435,0.795174932,130,4.283 +5.345,0.808383913,223,2.36,5.885,0.805822847,205,2.836,6.085,0.802964717,204,3.433,6.335,0.80020528,204,4.045 +5.005,0.80769208,243,4.482,6.085,0.805429065,235,4.931,6.315,0.802669627,233,5.475,6.535,0.79991019,233,5.997 +3.785,0.809561313,237,5.979,5.885,0.807991118,234,6.443,6.135,0.80523168,232,6.777,6.435,0.802374537,232,7.078 +2.645,0.806500864,234,6.921,5.885,0.805724155,230,7.639,6.165,0.802964717,230,7.833,6.435,0.800206267,230,7.911 +2.005,0.806597582,226,7.199,5.785,0.80621663,222,8.183,6.115,0.803458179,223,8.306,6.435,0.800698742,223,8.228 +1.805,0.805808043,219,8.884,6.185,0.805725142,219,10.199,6.515,0.803065384,221,10.279,6.835,0.800305946,221,10.171 +1.785,0.806892672,219,9.281,5.985,0.806710091,223,10.712,6.385,0.804050333,227,10.91,6.835,0.801390575,227,10.934 +1.825,0.806497903,211,8.448,6.085,0.806415001,222,9.368,6.355,0.803655564,227,9.362,6.635,0.800897113,227,9.299 +1.865,0.80639921,211,7.784,6.185,0.806316309,222,8.183,6.365,0.803458179,227,7.971,6.535,0.800601036,227,7.802 +2.175,0.80551394,217,7.407,5.985,0.805034296,227,7.461,6.045,0.802176166,233,7.162,6.135,0.799318036,233,6.979 +2.485,0.804627683,211,7.03,5.785,0.803850975,224,6.75,5.735,0.800893166,231,6.363,5.735,0.797936343,231,6.156 +2.165,0.804824081,229,6.941,6.185,0.804542808,247,6.858,6.065,0.801584999,253,6.738,5.935,0.798528497,253,6.751 +1.965,0.806202813,215,4.621,5.985,0.80592154,256,4.516,5.885,0.802865038,262,4.577,5.835,0.799908216,262,4.689 +2.285,0.802754503,207,3.49,4.985,0.801581051,247,2.915,4.965,0.798624229,259,2.673,4.935,0.79566642,259,2.667 +3.965,0.801478411,179,1.924,4.985,0.799116704,213,1.759,4.915,0.796158895,219,1.539,4.835,0.793202073,219,1.467 +7.205,0.799518381,209,1.091,6.785,0.796264495,210,1.196,6.485,0.793207994,210,1.312,6.235,0.7900528,210,1.457 +9.145,0.798539354,218,0.397,8.785,0.79538416,215,0.464,8.455,0.792327659,214,0.562,8.135,0.789171478,214,0.664 +10.685,0.798840365,216,2.37,10.385,0.795783864,216,2.471,10.085,0.792727362,216,2.585,9.835,0.789670861,216,2.716 +12.045,0.79845053,229,3.857,11.685,0.795394029,228,3.973,11.385,0.792337528,228,4.064,11.035,0.789280039,228,4.183 +12.285,0.798352825,228,5.9,11.985,0.795296324,228,6.078,11.685,0.792239822,228,6.215,11.435,0.789183321,228,6.364 +11.725,0.799139403,230,6.782,11.485,0.796082902,230,7.313,11.185,0.7930264,230,7.675,10.935,0.789969899,230,7.99 +10.145,0.8017962,222,6.802,10.085,0.798838391,223,7.688,9.865,0.79578189,223,8.356,9.635,0.792725389,223,8.922 +8.565,0.804353319,221,6.822,8.685,0.801495189,223,8.064,8.535,0.79853738,223,9.026,8.335,0.795480878,223,9.844 +6.845,0.802179127,221,7.784,7.085,0.799419689,224,9.438,7.165,0.796561559,225,10.792,7.235,0.79370343,225,11.926 +5.185,0.801187269,216,8.736,5.485,0.798526524,220,10.623,5.785,0.795768073,222,12.252,6.035,0.793008636,222,13.601 +4.185,0.79940982,217,9.013,4.485,0.796650382,220,10.999,4.935,0.793990624,221,12.854,5.435,0.791429558,221,14.424 +3.485,0.80157513,213,8.25,3.785,0.798816679,218,10.06,4.365,0.796255613,219,11.956,4.935,0.793695534,219,13.71 +3.025,0.798813718,213,8.458,3.385,0.79615396,217,10.307,4.035,0.793592894,219,12.292,4.735,0.791131508,219,14.176 +3.085,0.798813718,215,8.825,3.385,0.796055268,217,10.633,3.955,0.793494202,219,12.548,4.535,0.790934123,219,14.404 +3.245,0.799998026,215,8.111,3.485,0.797238589,218,9.675,3.885,0.79457883,219,11.147,4.335,0.791919072,219,12.59 +3.125,0.800884283,210,6.158,3.485,0.798125833,214,7.402,3.685,0.795366395,217,8.346,3.935,0.792508265,217,9.19 +2.805,0.797926474,182,5.354,3.285,0.795266716,192,6.206,3.415,0.792408586,197,6.373,3.535,0.789550456,197,6.384 +2.525,0.797925487,174,6.495,3.485,0.795562793,180,7.481,3.535,0.792704663,184,7.221,3.535,0.789746854,184,6.582 +2.625,0.796644461,183,7.377,3.285,0.794182087,184,8.914,3.445,0.791323958,186,9.243,3.635,0.788465828,186,9.061 +2.725,0.79546114,186,8.26,3.085,0.792702689,186,10.347,3.355,0.789944239,187,11.275,3.635,0.787283494,187,11.529 +1.805,0.791811498,193,9.886,1.985,0.78905206,192,11.809,2.415,0.786392302,193,13.446,2.835,0.783731557,193,14.741 +2.065,0.793389588,195,8.934,1.885,0.790333087,196,10.317,2.135,0.787474957,196,11.739,2.435,0.784716506,196,13.224 +3.525,0.79329583,196,7.635,3.285,0.790239329,197,8.341,3.065,0.787182828,197,9.036,2.835,0.784027634,197,9.755 +5.085,0.790048853,211,10.818,4.785,0.786892672,211,11.315,4.485,0.783836171,211,11.68,4.235,0.780680977,211,12.045 +5.445,0.78965507,216,11.086,5.085,0.786598569,216,11.483,4.785,0.783443375,216,11.779,4.535,0.780386874,216,12.094 +4.445,0.787976314,208,13.049,4.085,0.78482112,208,13.499,3.755,0.78166494,208,13.821,3.435,0.778608438,208,14.156 +1.945,0.785897853,194,10.293,1.585,0.782741673,194,10.712,1.255,0.779586479,195,11.068,0.935,0.776529978,195,11.44 +2.445,0.785307673,192,11.482,2.085,0.78215248,192,12.037,1.735,0.778996299,192,12.489,1.335,0.775841105,192,12.966 +2.205,0.784223045,189,13.089,1.785,0.780968172,189,13.776,1.455,0.77791167,189,14.324,1.135,0.774756477,189,14.89 +1.545,0.783628917,192,13.872,1.185,0.780473723,192,14.428,0.915,0.777318529,192,14.817,0.635,0.774262028,192,15.237 +1.235,0.78392302,198,13.793,0.935,0.780767826,198,14.655,0.675,0.777711325,198,15.3,0.435,0.774654824,198,15.95 +0.925,0.78421811,199,13.713,0.685,0.781161609,201,14.883,0.435,0.778006415,202,15.784,0.235,0.774949914,202,16.664 +0.765,0.783527264,209,14.09,0.585,0.780569455,210,15.486,0.355,0.777415248,210,16.583,0.135,0.774358747,210,17.655 +0.665,0.784414508,214,13.079,0.485,0.781358006,214,14.329,0.265,0.778301505,215,15.29,0.035,0.775245004,215,16.238 +0.085,0.785003701,240,11.006,-0.215,0.781848507,240,11.938,-0.485,0.778693314,241,12.588,-0.765,0.775636812,241,13.204 +-0.875,0.78529583,227,9.737,-1.115,0.782140637,228,10.643,-1.365,0.779084135,229,11.305,-1.565,0.775929928,229,11.945 +-1.475,0.785293856,222,9.321,-1.715,0.782138663,223,10.287,-1.945,0.779082161,224,11.029,-2.165,0.775926968,224,11.737 +-2.175,0.786079447,214,8.359,-2.415,0.783022946,216,9.339,-2.615,0.779868739,217,10.082,-2.865,0.776812238,217,10.795 +-3.075,0.786372564,213,7.814,-3.315,0.78321737,215,8.825,-3.465,0.780160868,217,9.677,-3.665,0.777104367,217,10.488 +-3.955,0.785186282,201,6.891,-4.015,0.78212978,205,8.103,-4.065,0.779172958,208,8.849,-4.065,0.776216136,208,9.418 +-4.715,0.785282013,197,7.298,-4.715,0.782325191,199,8.855,-4.515,0.779467061,204,9.421,-4.365,0.776608932,204,9.636 +-5.075,0.785083642,201,7.367,-5.015,0.78212682,202,9.003,-4.665,0.779466075,206,9.687,-4.265,0.776708611,206,9.983 +-4.825,0.785774488,202,7.526,-4.915,0.782717987,203,8.825,-4.715,0.779860844,203,9.618,-4.465,0.777002714,203,10.221 +-4.575,0.786366642,214,7.675,-4.815,0.783211448,214,8.647,-4.765,0.780254626,214,9.549,-4.665,0.777396496,214,10.468 +-5.075,0.78715322,215,8.746,-5.315,0.783998026,215,9.912,-5.295,0.781041204,215,11.009,-5.265,0.778084382,215,12.114 +-4.515,0.788042438,240,8.894,-4.815,0.784788552,241,9.517,-4.985,0.781633358,242,10.171,-5.165,0.778577844,242,10.895 +-3.055,0.788442142,266,8.23,-3.415,0.785188256,266,8.538,-3.695,0.782033062,266,8.75,-3.965,0.778779176,266,9.021 +-2.115,0.789628423,282,6.822,-2.415,0.78647323,282,7.076,-2.745,0.783318036,282,7.29,-3.065,0.78006415,282,7.524 +-1.555,0.788841846,298,7.685,-1.915,0.785686652,298,7.945,-2.245,0.782432766,298,8.138,-2.565,0.779277572,298,8.367 +-1.255,0.787955588,308,9.063,-1.615,0.784800395,308,9.398,-1.945,0.781546509,308,9.658,-2.265,0.778391315,308,9.943 +-0.855,0.787464101,317,9.023,-1.215,0.784308907,317,9.359,-1.545,0.781055021,317,9.628,-1.865,0.777899827,317,9.913 +0.045,0.787073279,315,8.25,-0.315,0.783918085,316,8.558,-0.635,0.780762892,316,8.79,-0.965,0.777607698,316,9.051 +0.745,0.788455959,311,8.984,0.385,0.785300765,312,9.309,0.085,0.782145571,312,9.549,-0.165,0.778990377,312,9.804 +1.185,0.790428818,311,9.142,0.885,0.787273624,312,9.536,0.585,0.784118431,312,9.825,0.335,0.780963237,312,10.141 +0.425,0.793087589,314,8.934,0.185,0.789932396,316,9.744,-0.055,0.786875894,316,10.437,-0.265,0.783720701,316,11.123 +-0.335,0.795845053,306,8.736,-0.515,0.792689859,308,9.951,-0.685,0.789633358,309,11.039,-0.865,0.786479151,309,12.104 +-0.595,0.795942758,309,8.121,-0.715,0.792886257,312,9.457,-0.815,0.789830743,314,10.615,-0.965,0.786774241,314,11.698 +-0.835,0.798110042,302,7.338,-0.715,0.79515322,309,8.835,-0.735,0.792196398,311,9.983,-0.765,0.789238589,311,10.974 +-0.935,0.79761658,298,7.229,-0.515,0.79485813,309,8.795,-0.485,0.791901308,313,9.815,-0.465,0.788944486,313,10.617 +-1.055,0.799193684,302,7.526,-0.515,0.796632618,313,9.21,-0.515,0.793577103,317,10.25,-0.465,0.790620281,317,11.023 +-1.695,0.797712312,312,8.151,-1.515,0.794855169,317,9.783,-1.535,0.79189736,320,10.989,-1.565,0.788841846,320,11.985 +-2.715,0.79652603,316,8.418,-2.715,0.793470516,318,9.754,-2.735,0.790513694,320,10.891,-2.765,0.787457192,320,11.916 +-3.415,0.79839625,319,7.536,-3.415,0.795340735,323,8.726,-3.465,0.792285221,326,9.658,-3.465,0.789228719,326,10.488 +-4.215,0.79799852,322,7.734,-4.215,0.794943005,325,8.993,-4.265,0.791887491,328,10.042,-4.365,0.788929682,328,10.984 +-5.015,0.79661584,322,7.199,-5.015,0.793560326,326,8.449,-5.035,0.790504811,329,9.529,-5.065,0.787449297,329,10.518 +-5.875,0.797105354,316,7.288,-5.815,0.79404984,321,8.657,-5.735,0.791093018,324,9.756,-5.665,0.788136195,324,10.706 +-6.995,0.797200099,319,8.022,-6.965,0.794144584,323,9.477,-6.795,0.791187762,326,10.733,-6.565,0.788330619,326,11.866 +-8.115,0.797293856,319,8.766,-8.115,0.79413965,322,10.287,-7.835,0.791282507,324,11.719,-7.565,0.788524056,324,13.026 +-8.415,0.797982729,320,8.161,-8.415,0.794927214,324,9.685,-8.245,0.791971379,326,11.049,-8.065,0.789014557,326,12.243 +-8.435,0.798771281,320,7.407,-8.615,0.795616087,322,8.894,-8.485,0.792660252,325,10.131,-8.365,0.789604737,325,11.133 +-7.455,0.795621021,333,6.693,-7.815,0.792367135,333,7.184,-8.085,0.789014557,334,7.586,-8.365,0.785859363,334,8.02 +-6.455,0.795624969,349,5.602,-6.815,0.792272391,350,5.771,-7.135,0.789018505,350,5.889,-7.465,0.785764619,350,6.037 +-5.095,0.795038737,358,4.908,-5.515,0.791685171,358,5.01,-5.835,0.788432272,358,5.09,-6.165,0.785177399,358,5.195 +-3.655,0.793861337,6,5.315,-4.015,0.790508759,7,5.415,-4.335,0.787353565,7,5.485,-4.665,0.784099679,7,5.591 +-2.355,0.794457439,3,5.513,-2.715,0.791203553,3,5.623,-3.045,0.787949667,3,5.692,-3.365,0.784695781,3,5.799 +-1.355,0.793574143,360,6.078,-1.715,0.790320257,360,6.186,-2.045,0.787066371,360,6.274,-2.365,0.78391019,360,6.374 +-0.815,0.794857143,359,5.444,-1.115,0.791701949,359,5.544,-1.445,0.788448063,359,5.623,-1.765,0.785292869,359,5.73 +-0.755,0.797420183,353,5.345,-1.115,0.794166297,353,5.475,-1.415,0.790912411,353,5.574,-1.665,0.787757217,353,5.68 +-1.505,0.798994325,348,5.007,-1.715,0.795840118,349,5.445,-1.995,0.792586232,349,5.682,-2.265,0.789431039,349,5.869 +-2.255,0.800568468,321,4.67,-2.315,0.797512953,327,5.425,-2.565,0.79435776,329,5.801,-2.865,0.791103874,329,6.057 +-3.175,0.798397237,312,6.078,-3.115,0.795341722,319,7.076,-3.315,0.792186528,321,7.458,-3.465,0.789032322,321,7.643 +-4.235,0.803814458,313,6.287,-4.115,0.800857636,320,7.451,-4.165,0.797802122,323,8.01,-4.165,0.794746607,323,8.268 +-5.175,0.801346163,306,6.584,-4.815,0.79848902,318,7.896,-4.735,0.795532198,322,8.444,-4.665,0.792576363,322,8.674 +-5.595,0.800851715,295,6.068,-5.115,0.798192943,315,6.997,-4.945,0.795236121,321,7.261,-4.765,0.792280286,321,7.296 +-6.175,0.801342216,299,7.536,-5.215,0.798881816,317,8.528,-5.015,0.796024673,323,8.553,-4.865,0.793166543,323,8.377 +-6.675,0.799566741,299,6.842,-5.715,0.797205033,321,8.015,-5.415,0.794346904,328,8.109,-5.065,0.791489761,328,7.95 +-7.075,0.800944486,300,6.663,-6.115,0.798582778,325,7.56,-5.795,0.795725635,333,7.665,-5.465,0.792967185,333,7.623 +-7.355,0.798873921,297,6.187,-6.215,0.796610906,327,6.69,-5.915,0.793852455,337,6.738,-5.565,0.790995312,337,6.761 +-7.235,0.800057242,300,4.869,-6.215,0.797695534,339,5.07,-5.945,0.794838391,351,5.278,-5.665,0.792078954,351,5.561 +-6.935,0.801734024,308,3.837,-6.215,0.799173945,353,3.844,-5.985,0.796316802,8,4.291,-5.765,0.793458673,8,4.848 +-7.045,0.801635332,279,3.183,-6.415,0.798976561,349,2.905,-6.225,0.796019738,16,3.285,-6.065,0.793161609,16,3.896 +-7.155,0.80143696,295,2.529,-6.615,0.798778189,351,1.976,-6.465,0.795822354,14,2.279,-6.265,0.792865532,14,2.944 +-7.255,0.798381446,287,3.242,-6.715,0.795722675,309,2.233,-6.615,0.79266716,323,1.647,-6.465,0.789710338,323,1.606 +-7.675,0.800646435,286,2.975,-6.715,0.798284727,314,2.599,-6.665,0.795229213,322,1.943,-6.565,0.792273378,322,1.596 +-7.975,0.794633111,286,2.856,-7.015,0.792271404,330,2.698,-6.985,0.789215889,338,2.101,-6.965,0.786259067,338,1.834 +-5.895,0.791980262,307,0.387,-6.315,0.788725389,309,0.376,-6.565,0.78547249,311,0.385,-6.865,0.782316309,311,0.416 +-4.055,0.792479645,16,1.656,-4.415,0.789225759,16,1.67,-4.745,0.785971873,16,1.697,-5.065,0.782717987,16,1.725 +-3.055,0.791201579,20,2.727,-3.415,0.787947693,19,2.757,-3.765,0.784693807,19,2.792,-4.165,0.781439921,19,2.835 +-1.855,0.79248754,20,2.638,-2.215,0.789233654,20,2.678,-2.545,0.78607846,19,2.693,-2.865,0.782824574,19,2.726 +-0.895,0.789829756,352,2.191,-1.315,0.78657587,351,2.224,-1.635,0.783321984,351,2.239,-1.965,0.78016679,351,2.27 +-0.515,0.792296077,357,2.558,-0.815,0.789139896,356,2.579,-1.135,0.785984703,356,2.604,-1.465,0.782730817,356,2.637 +-0.515,0.793774488,4,3.58,-0.815,0.790520602,3,3.617,-1.115,0.787365408,3,3.65,-1.465,0.784209228,3,3.698 +-0.985,0.795547002,3,4.204,-1.165,0.792490501,2,4.585,-1.425,0.789335307,1,4.824,-1.665,0.786180113,1,5.006 +-1.455,0.797418209,5,4.829,-1.515,0.794361707,2,5.554,-1.735,0.791305206,1,5.998,-1.965,0.788150012,1,6.305 +-1.895,0.796726376,332,3.461,-2.015,0.793571182,337,4.111,-2.215,0.790416975,339,4.696,-2.465,0.787360474,339,5.145 +-2.595,0.800173698,323,4.194,-2.715,0.797018505,331,4.872,-2.835,0.79396299,334,5.396,-2.965,0.790906489,334,5.769 +-3.375,0.799973353,305,4.799,-3.315,0.797016531,320,5.297,-3.365,0.793961017,325,5.534,-3.465,0.790904515,325,5.641 +-4.315,0.797209968,304,6.059,-3.715,0.794649889,323,6.75,-3.715,0.791593388,329,6.925,-3.665,0.788636566,329,6.929 +-5.095,0.799572662,305,7.278,-4.315,0.797111276,324,8.143,-4.235,0.794154453,329,8.405,-4.165,0.791098939,329,8.525 +-6.035,0.800258574,318,7.07,-5.315,0.797698495,332,8.489,-5.115,0.794841352,339,9.214,-4.965,0.79188453,339,9.735 +-6.315,0.798286701,304,5.83,-5.715,0.795725635,329,6.394,-5.485,0.792868492,338,6.728,-5.265,0.789912657,338,7.078 +-6.535,0.798679497,307,5.573,-5.815,0.79621811,334,5.761,-5.615,0.793262275,344,5.929,-5.465,0.790404145,344,6.275 +-6.715,0.799270664,312,4.918,-6.115,0.796611892,344,4.961,-5.965,0.793753763,355,5.238,-5.765,0.790797927,355,5.73 +-7.135,0.801043178,329,4.69,-6.715,0.798186035,0,4.842,-6.615,0.7952302,10,5.297,-6.465,0.792273378,10,6.007 +-8.065,0.801137923,307,4.165,-7.315,0.798676536,358,3.933,-7.155,0.795720701,14,4.222,-6.965,0.792763879,14,4.897 +-8.995,0.801331359,297,3.639,-7.915,0.799069331,345,3.024,-7.695,0.796112509,3,3.137,-7.465,0.793255366,3,3.787 +-8.775,0.801529731,316,3.233,-8.115,0.79887096,9,2.935,-8.015,0.795914138,25,3.344,-7.965,0.79285961,25,4.144 +-9.455,0.800443129,314,3.818,-8.315,0.798180113,1,3.449,-8.335,0.795124599,13,3.532,-8.365,0.792069085,13,3.985 +-9.595,0.797977794,289,1.577,-8.815,0.795418702,24,1.769,-8.815,0.792363188,43,2.289,-8.865,0.789307673,43,2.934 +-7.395,0.796409573,82,0.605,-7.815,0.793056995,83,0.702,-8.115,0.789704416,83,0.868,-8.465,0.78645053,83,1.081 +-6.055,0.794443622,55,2.677,-6.415,0.791189736,55,2.728,-6.745,0.78793585,55,2.782,-7.065,0.784681964,55,2.855 +-4.795,0.794547249,36,2.598,-5.215,0.791292376,36,2.639,-5.545,0.78803849,36,2.664,-5.865,0.784784604,36,2.706 +-3.695,0.794156427,35,2.975,-4.115,0.790902541,35,3.024,-4.445,0.787648655,35,3.038,-4.765,0.784394769,35,3.083 +-2.955,0.793962003,31,2.915,-3.315,0.790708117,31,2.945,-3.635,0.787454231,31,2.959,-3.965,0.784200345,31,2.984 +-2.555,0.795146311,34,2.826,-2.915,0.791892425,34,2.856,-3.245,0.788638539,34,2.861,-3.565,0.785384653,34,2.895 +-2.515,0.797808043,22,2.856,-2.815,0.794554157,22,2.896,-3.135,0.791300271,22,2.92,-3.465,0.788145078,22,2.954 +-3.105,0.799481865,40,3.084,-3.315,0.796326672,37,3.182,-3.615,0.793072786,36,3.186,-3.965,0.7898189,36,3.182 +-3.695,0.8011547,49,3.302,-3.815,0.798099186,44,3.469,-4.085,0.7948453,42,3.453,-4.365,0.791591414,42,3.4 +-3.895,0.79928152,59,2.866,-4.015,0.796126326,48,2.945,-4.285,0.792873427,46,2.959,-4.565,0.789718233,46,2.984 +-4.095,0.80430792,65,1.904,-4.215,0.801152726,43,2.016,-4.485,0.79789884,41,2.062,-4.765,0.794645941,41,2.121 +-4.395,0.804700716,43,1.864,-4.515,0.801546509,27,2.065,-4.785,0.798292623,27,2.111,-5.065,0.795038737,27,2.171 +-4.835,0.804797434,40,2.142,-5.015,0.801544535,26,2.431,-5.235,0.798290649,27,2.516,-5.465,0.795135455,27,2.548 +-5.415,0.805386627,21,2.37,-5.715,0.802132741,18,2.747,-5.835,0.798978534,20,2.9,-5.965,0.795823341,20,2.964 +-5.915,0.806074513,16,1.834,-6.215,0.802722921,18,2.174,-6.295,0.79966642,22,2.437,-6.365,0.796512213,22,2.617 +-6.235,0.805087589,321,1.051,-6.415,0.80183469,352,1.186,-6.415,0.798779176,9,1.342,-6.465,0.795723661,9,1.497 +-6.495,0.80498791,327,1.17,-6.615,0.801735011,14,1.393,-6.545,0.798778189,29,1.746,-6.465,0.795723661,29,2.032 +-6.715,0.80597286,332,0.922,-6.715,0.802917345,42,1.472,-6.585,0.799862818,51,2.012,-6.465,0.796905996,51,2.389 +-6.775,0.806465334,297,0.565,-6.715,0.803410807,52,0.968,-6.565,0.800453985,63,1.529,-6.465,0.797497163,63,1.874 +-6.815,0.804297064,254,0.674,-6.665,0.801341229,86,1.146,-6.505,0.798384407,89,1.578,-6.365,0.795427585,89,1.834 +-6.855,0.802227486,277,0.783,-6.615,0.799270664,66,1.314,-6.445,0.796413521,72,1.638,-6.265,0.793456699,72,1.794 +-7.135,0.803211448,270,0.397,-7.015,0.800255613,77,0.86,-6.765,0.797397483,82,1.164,-6.465,0.794441648,82,1.279 +-7.355,0.804393782,55,1.18,-7.115,0.80153664,77,1.789,-6.815,0.79867851,79,1.983,-6.465,0.795822354,79,1.993 +-7.735,0.800942512,85,2.469,-7.315,0.798184061,88,3.123,-6.945,0.795425611,88,3.305,-6.565,0.792568468,88,3.281 +-6.355,0.795033802,120,1.924,-6.715,0.791681224,121,2.075,-6.415,0.788922773,119,2.634,-6.065,0.78606563,119,3.152 +-4.195,0.798097212,83,2.469,-4.615,0.794744634,86,2.52,-4.885,0.79158944,87,2.545,-5.165,0.788335554,87,2.587 +-1.735,0.797318529,96,1.805,-2.215,0.793964964,99,1.799,-2.545,0.790809771,100,1.786,-2.865,0.787555885,100,1.774 +-0.055,0.797915618,36,2.092,-0.415,0.794661732,36,2.065,-0.715,0.791506538,37,2.022,-0.965,0.788351345,37,1.973 +0.245,0.796635579,33,3.669,-0.115,0.793381693,33,3.696,-0.415,0.790127807,33,3.689,-0.665,0.786972613,33,3.698 +0.345,0.798015297,43,3.867,-0.015,0.794761411,43,3.933,-0.315,0.791606218,43,3.956,-0.565,0.788352332,43,3.985 +0.245,0.798803849,50,3.242,-0.115,0.795648655,50,3.311,-0.415,0.792394769,51,3.334,-0.665,0.789239576,51,3.37 +-0.105,0.801069825,50,3.173,-0.315,0.797914631,53,3.311,-0.605,0.794759437,53,3.305,-0.865,0.791505551,53,3.301 +-0.455,0.803335801,47,3.114,-0.515,0.800279299,53,3.311,-0.785,0.797025413,54,3.275,-1.065,0.793871207,54,3.232 +-0.655,0.806587713,47,4.085,-0.715,0.803532198,52,4.121,-0.985,0.800278312,53,4.035,-1.265,0.797123119,53,3.995 +-0.895,0.808557612,58,4.026,-1.015,0.805403405,61,4.081,-1.215,0.802248211,63,3.995,-1.465,0.799093018,63,3.936 +-1.255,0.807078214,58,4.978,-1.315,0.804022699,60,5.02,-1.445,0.800966198,62,4.942,-1.565,0.797811991,62,4.917 +-1.695,0.806485073,63,4.918,-1.515,0.803626943,62,5.07,-1.585,0.800472736,64,5.061,-1.665,0.797417222,64,5.095 +-2.115,0.808158895,69,5.216,-1.815,0.805301752,62,5.613,-1.865,0.802246237,63,5.692,-1.965,0.799189736,63,5.75 +-2.575,0.808650382,65,5.097,-2.215,0.80579324,58,5.89,-2.215,0.802737725,58,6.087,-2.165,0.799780903,58,6.126 +-2.915,0.807860844,76,4.522,-2.615,0.805101406,68,5.919,-2.565,0.802045892,67,6.422,-2.465,0.799090057,67,6.533 +-3.035,0.807761165,68,3.629,-2.915,0.804805329,73,5.04,-2.715,0.801848507,72,5.958,-2.465,0.798991364,72,6.473 +-3.295,0.806971626,39,2.687,-3.115,0.804015791,71,3.913,-2.735,0.80125734,74,5.337,-2.365,0.79849889,74,6.424 +-4.435,0.806868986,349,3.55,-4.015,0.804110535,34,3.617,-3.365,0.801550456,50,4.656,-2.665,0.798990377,50,5.849 +-6.505,0.804791512,337,4.432,-6.115,0.80193437,351,4.783,-5.335,0.799472983,358,5.248,-4.565,0.797011596,358,5.819 +-8.575,0.80261436,330,5.325,-8.215,0.799757217,349,5.959,-7.315,0.797296817,359,5.84,-6.465,0.79493511,359,5.779 +-9.075,0.802809771,327,4.115,-8.715,0.80005132,355,4.23,-7.885,0.797492228,9,4.143,-7.065,0.795030841,9,4.471 +-9.255,0.802710091,299,3.044,-8.715,0.80005132,336,2.322,-7.565,0.797789292,4,2.052,-6.465,0.795526277,4,2.924 +-8.695,0.79975623,293,2.608,-8.515,0.796799408,313,1.996,-7.135,0.794734764,351,1.638,-5.765,0.792768813,351,3.024 +-6.855,0.795524303,308,2.558,-7.215,0.792171725,308,2.619,-6.235,0.78990871,313,2.21,-5.265,0.787547002,313,1.824 +-4.555,0.796617814,351,3.143,-4.915,0.793363928,351,3.202,-5.115,0.790208734,351,3.196,-5.365,0.786954848,351,3.162 +-3.195,0.79524303,354,2.876,-3.615,0.791890452,354,2.925,-3.915,0.788734271,354,2.93,-4.165,0.785481372,354,2.934 +-1.655,0.794656797,3,3.292,-2.015,0.791402911,3,3.33,-2.335,0.788247718,3,3.354,-2.665,0.784993832,3,3.37 +-0.855,0.793772514,7,3.401,-1.215,0.790518628,7,3.459,-1.545,0.787264742,7,3.472,-1.865,0.784109548,7,3.509 +-0.355,0.795351591,11,2.876,-0.715,0.792196398,11,2.935,-1.045,0.788942512,11,2.959,-1.365,0.785787318,11,2.984 +-0.215,0.796534912,357,2.33,-0.515,0.793281026,357,2.382,-0.815,0.790125833,357,2.417,-1.065,0.786970639,357,2.449 +-1.435,0.797515914,317,2.608,-1.615,0.794361707,321,2.886,-1.585,0.791404885,325,2.871,-1.565,0.788448063,325,2.796 +-2.655,0.798497903,286,2.885,-2.715,0.795441401,305,3.39,-2.365,0.792682951,315,3.334,-1.965,0.7899245,315,3.142 +-2.855,0.796919812,264,3.788,-2.615,0.794061683,287,3.844,-2.215,0.791401925,297,3.305,-1.765,0.788644461,297,2.716 +-3.035,0.798792006,263,2.985,-2.615,0.796033555,298,2.915,-2.195,0.793373797,312,2.614,-1.765,0.790615347,312,2.24 +-3.275,0.79839625,257,2.786,-2.915,0.795637799,293,2.609,-2.495,0.792879349,302,2.279,-2.065,0.79021959,302,1.804 +-3.155,0.798889711,282,0.843,-2.915,0.796032568,346,0.949,-2.545,0.79337281,353,0.73,-2.165,0.790613373,353,0.426 +-3.075,0.800073032,48,1.408,-3.015,0.79711621,47,1.304,-2.685,0.794259067,59,1.134,-2.365,0.791500617,59,1.199 +-3.495,0.799282507,48,1.834,-3.315,0.796425364,56,1.472,-2.965,0.793666913,71,1.302,-2.665,0.790907476,71,1.437 +-3.835,0.798690353,32,0.565,-3.415,0.795931902,107,0.168,-3.045,0.793173452,165,0.76,-2.665,0.790513694,165,1.457 +-3.515,0.798493955,135,1.23,-2.915,0.795933876,169,1.838,-2.565,0.793175426,173,2.703,-2.165,0.790416975,173,3.598 +-3.075,0.798988404,153,2.519,-2.415,0.796428325,167,3.281,-2.085,0.793669874,170,4.291,-1.765,0.790911424,170,5.333 +-2.815,0.799088083,168,3.114,-2.215,0.796528004,175,4.002,-1.945,0.793669874,176,5.1,-1.665,0.790911424,176,6.245 +-2.335,0.798400197,211,3.818,-1.915,0.795641747,205,4.872,-1.725,0.792783617,203,6.047,-1.565,0.789926474,203,7.247 +-1.855,0.797712312,207,4.522,-1.615,0.794854182,204,5.742,-1.515,0.791996052,203,6.994,-1.465,0.78903923,203,8.258 +-2.055,0.7982038,222,3.837,-1.815,0.795346657,214,4.991,-1.735,0.792389835,212,6.156,-1.665,0.789531705,212,7.375 +-2.135,0.798499877,195,4.869,-2.015,0.795641747,198,5.9,-1.985,0.792586232,198,7.034,-1.965,0.789628423,198,8.238 +-0.935,0.799391068,186,5.255,-0.815,0.796434246,192,6.206,-0.885,0.793378732,193,7.043,-0.965,0.790420923,193,7.861 +1.465,0.796048359,201,4.145,1.285,0.792893166,203,4.813,1.165,0.789837651,204,5.475,1.035,0.786879842,204,6.126 +3.585,0.795267703,223,5.017,3.285,0.792112509,223,5.188,3.035,0.788957316,224,5.475,2.835,0.785900814,224,5.879 +5.085,0.794681471,242,7.476,4.785,0.791624969,242,7.688,4.485,0.788468789,242,7.843,4.235,0.785412287,242,8.02 +5.845,0.795570688,256,7.625,5.485,0.792415495,256,7.817,5.185,0.789358993,256,7.941,4.935,0.7862038,256,8.099 +6.185,0.79547397,265,7.586,5.885,0.792417469,265,7.748,5.565,0.789261288,265,7.872,5.235,0.786204787,265,8 +6.345,0.796854676,258,7.705,5.985,0.793698495,258,7.896,5.685,0.790641994,258,8.01,5.435,0.7874868,258,8.149 +5.945,0.797838638,246,8.488,5.585,0.794683444,246,8.825,5.285,0.791625956,246,9.056,5.035,0.788470762,246,9.279 +4.235,0.798226499,233,8.627,3.935,0.795071305,234,9.279,3.665,0.791916112,234,9.766,3.435,0.78885961,234,10.221 +2.525,0.798516654,232,8.766,2.285,0.795361461,233,9.724,2.035,0.792304959,233,10.476,1.835,0.789149766,233,11.172 +0.865,0.798313348,225,9.162,0.685,0.795256847,226,10.258,0.455,0.792101653,227,11.137,0.235,0.788947446,227,11.995 +-0.395,0.799590427,230,8.974,-0.515,0.796533925,231,10.169,-0.685,0.793379719,232,11.236,-0.865,0.790323217,232,12.322 +-1.255,0.801854429,227,8.795,-1.315,0.798797927,230,10.159,-1.295,0.795841105,231,11.483,-1.265,0.792884283,231,12.838 +-1.915,0.800669134,227,8.795,-1.915,0.79761362,231,10.367,-1.615,0.794854182,232,11.877,-1.365,0.792095732,232,13.383 +-2.395,0.799878608,226,9.103,-2.215,0.797020479,230,10.801,-1.835,0.794262028,232,12.37,-1.465,0.79160227,232,13.869 +-2.655,0.799187762,233,9.212,-2.415,0.796329632,236,10.94,-1.995,0.793669874,238,12.588,-1.565,0.790911424,238,14.206 +-2.815,0.801158648,231,8.597,-2.515,0.798300518,236,10.327,-2.115,0.795542068,238,11.917,-1.665,0.792882309,238,13.462 +-2.995,0.800566494,228,7.754,-2.515,0.797906736,236,9.418,-2.065,0.795246978,238,10.861,-1.565,0.792488527,238,12.243 +-3.175,0.800762892,219,7.784,-2.215,0.798400197,232,9.527,-1.795,0.795740439,237,10.763,-1.365,0.792982976,237,11.797 +-3.375,0.800761905,222,8.835,-1.815,0.798795954,235,11.078,-1.465,0.796037503,240,11.996,-1.165,0.793279053,240,12.471 +-2.915,0.79967925,223,8.002,-1.715,0.797514927,230,9.951,-1.325,0.794756477,236,10.782,-0.965,0.792096718,236,11.261 +-2.455,0.798695287,227,7.179,-1.615,0.796332593,237,8.825,-1.195,0.793574143,244,9.559,-0.765,0.790914384,244,10.052 +-2.615,0.799976314,213,8.656,-1.115,0.798010363,229,10.436,-0.865,0.795250925,235,10.851,-0.565,0.792393782,235,10.885 +-2.655,0.799581545,213,7.526,-2.115,0.796922773,220,9.457,-1.635,0.794263015,225,10.496,-1.165,0.791603257,225,11.152 +-1.235,0.799685171,223,7.814,-1.415,0.796530965,226,9.013,-1.295,0.793672835,227,10.2,-1.165,0.790814705,227,11.4 +0.285,0.798803849,225,7.586,-0.015,0.795648655,226,8.064,-0.265,0.792493462,227,8.553,-0.465,0.789338268,227,9.1 +2.185,0.797431039,227,9.668,1.885,0.794275845,227,9.991,1.585,0.791120651,227,10.22,1.335,0.787965458,227,10.478 +3.545,0.798323217,231,11.165,3.185,0.795167037,232,11.612,2.865,0.792011843,232,11.956,2.535,0.788856649,232,12.322 +3.845,0.796549716,235,11.77,3.485,0.793394523,235,12.224,3.135,0.790238342,235,12.578,2.835,0.787083148,235,12.947 +3.245,0.796054281,235,10.917,2.885,0.792800395,235,11.355,2.565,0.789645201,235,11.68,2.235,0.786490007,235,12.035 +2.345,0.795657538,233,9.598,1.985,0.792501357,233,10.07,1.685,0.789346163,233,10.437,1.435,0.78619097,233,10.815 +1.785,0.796345423,231,9.876,1.485,0.793091537,231,10.228,1.185,0.789936343,232,10.476,0.935,0.78678115,232,10.736 +1.485,0.797034296,232,10.084,1.185,0.79378041,232,10.703,0.885,0.790625216,232,11.128,0.535,0.787470022,232,11.549 +1.185,0.797723168,226,10.283,0.885,0.794469282,226,11.167,0.585,0.791314088,227,11.788,0.335,0.788158895,227,12.362 +0.925,0.798313348,233,10.015,0.685,0.795158154,233,10.871,0.415,0.792002961,233,11.493,0.135,0.788847767,233,12.104 +0.885,0.800382926,237,10.441,0.585,0.797227733,238,11.335,0.315,0.793973847,238,12.015,0.035,0.790818653,238,12.659 +0.685,0.798213669,248,10.124,0.385,0.795058475,249,11.019,0.115,0.791903282,249,11.69,-0.165,0.788748088,249,12.332 +0.485,0.799889465,257,8.845,0.185,0.796635579,258,9.695,-0.065,0.793480385,258,10.388,-0.365,0.790325191,258,11.083 +0.225,0.799395016,277,8.359,-0.015,0.796239822,278,9.329,-0.215,0.793085616,279,10.19,-0.465,0.790029114,279,11.083 +-0.135,0.800083888,295,8.418,-0.315,0.796928695,296,9.438,-0.515,0.79387318,296,10.358,-0.665,0.790717987,296,11.281 +-0.475,0.801561313,305,7.278,-0.715,0.798406119,307,8.173,-0.915,0.795250925,308,8.977,-1.065,0.792096718,308,9.784 +-0.835,0.800869479,320,6.832,-1.015,0.797715273,321,7.688,-1.265,0.794560079,322,8.375,-1.465,0.791404885,322,9.031 +-1.535,0.801853442,324,7.209,-1.715,0.798698248,325,8.074,-1.985,0.795543055,325,8.701,-2.265,0.792289169,325,9.269 +-2.275,0.802836417,324,6.882,-2.515,0.799582531,325,7.629,-2.785,0.796427338,325,8.158,-3.065,0.793173452,325,8.664 +-2.645,0.802933136,323,6.098,-2.915,0.79967925,324,6.73,-3.175,0.796524056,324,7.231,-3.465,0.79327017,324,7.732 +-3.015,0.803029854,340,5.315,-3.315,0.799775968,341,5.821,-3.565,0.796621762,342,6.304,-3.865,0.793367876,342,6.81 +-3.375,0.804803356,343,4.432,-3.615,0.80154947,345,5.04,-3.815,0.798394276,347,5.495,-3.965,0.795141377,347,5.898 +-3.675,0.804604984,348,4.095,-3.915,0.80144979,351,4.506,-4.145,0.798195904,353,4.992,-4.365,0.795041698,353,5.482 +-3.415,0.805098446,351,4.333,-3.715,0.80184456,352,4.467,-4.015,0.798590674,352,4.567,-4.365,0.795336788,352,4.699 +-2.655,0.802045892,350,4.284,-3.015,0.798693314,350,4.348,-3.335,0.795439428,351,4.41,-3.665,0.792185542,351,4.491 +-1.855,0.800964224,8,4.442,-2.215,0.797611646,8,4.506,-2.545,0.79435776,8,4.548,-2.865,0.791202566,8,4.61 +-0.955,0.801460646,27,4.67,-1.315,0.79820676,27,4.734,-1.635,0.794952874,27,4.775,-1.965,0.791698988,27,4.838 +-0.155,0.801660992,35,5.315,-0.515,0.798407106,35,5.386,-0.835,0.79515322,35,5.445,-1.165,0.791899334,35,5.522 +0.445,0.80186035,44,4.849,0.085,0.798606464,44,4.921,-0.245,0.795450284,44,4.972,-0.565,0.792196398,44,5.036 +0.785,0.802945966,70,4.363,0.485,0.799790772,70,4.427,0.165,0.796536886,70,4.459,-0.165,0.793380706,70,4.52 +3.985,0.795466075,250,0.654,3.685,0.792310881,246,0.692,3.365,0.789253393,244,0.74,3.035,0.786098199,244,0.803 +3.405,0.795562793,48,1.864,3.135,0.792407599,47,2.056,2.835,0.789252406,46,2.19,2.535,0.786096225,46,2.31 +2.825,0.795560819,30,3.074,2.585,0.792504318,28,3.419,2.315,0.789349124,26,3.64,2.035,0.78619393,26,3.817 +2.425,0.798121885,19,2.122,2.185,0.794966691,19,2.431,1.955,0.79191019,18,2.762,1.735,0.788754996,18,3.103 +2.225,0.798712065,6,2.251,1.985,0.795557858,6,2.451,1.785,0.792501357,6,2.782,1.535,0.789346163,6,3.172 +2.125,0.800683938,350,3.044,1.885,0.797528744,351,3.656,1.705,0.794472243,351,4.133,1.535,0.791415741,351,4.52 +1.965,0.800289169,331,3.728,1.785,0.797133975,332,4.467,1.615,0.794077473,333,4.992,1.435,0.791020972,333,5.432 +1.925,0.799795707,317,3.391,1.685,0.796640513,320,4.071,1.515,0.79348532,321,4.706,1.335,0.790429805,321,5.254 diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json new file mode 100644 index 000000000..25448e6a0 --- /dev/null +++ b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json @@ -0,0 +1,976 @@ +{ + "input" : { + "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], + "windpower" : { + "step" : 0, + "total_installed_cost_per_kw" : 1460.1600000000001, + "wind_resource_distribution" : [ [ 0 ] ], + "ui_step_minutes" : 60, + "bos_cost_total" : 69552000, + "weibull_wind_speed" : 7.25, + "bos_cost_per_kw" : 347.75999999999999, + "wind_climate.url_info" : "empty", + "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, + "env_degrad_loss" : 1.8, + "wind_climate.msg_is_error" : 0, + "wind.turbine.elevation" : 0, + "wind_resource.requested_ht" : 80, + "degradation" : [ 0 ], + "weibull_reference_height" : 50, + "wind.turbine.radio_list_or_design" : 0, + "avail_grid_loss" : 1.5, + "a_error_test_number" : 17.344999999999999, + "wind_turbine_rotor_diameter" : 100, + "use_specific_wf_wind" : 0, + "adjust_en_timeindex" : 0, + "wind_resource.country" : "USA", + "user_specified_wf_wind" : " ", + "wind_resource.elev" : 1829, + "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", + "wind_climate.msg" : "", + "wind_resource.closest_speed_meas_ht" : 80, + "wind_turbine_iec_class_from_lib" : "IIb|IIIa", + "wind_resource.city" : "city??", + "wind_resource.closest_dir_meas_ht" : 80, + "wind.turbine.max_tip_speed" : 80, + "wind.turbine.dummy" : 0, + "wind.turbine.max_tspeed_ratio" : 8, + "wind_resource.file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_resource.lon_requested" : 0, + "wind_turbine_max_cp" : 0.45000000000000001, + "est_bos_cost" : 0, + "wind_resource_model_choice" : 0, + "resource_definition_type" : 0, + "wind_turbine_powercurve_windspeeds_from_lib" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_resource.location" : "AZ Eastern-Rolling Hills", + "wind_turbine_rated_wind_speed" : 2500, + "wind.turbine.tower_design" : 0, + "windfarm.farm.offset" : 4, + "wind_resource.lat_requested" : 0, + "wind_turbine_kw_rating_input" : 1500, + "wind_resource.lon" : 0, + "wind_farm_sizing_mode" : 2, + "wind_turbine_rotor_diameter_from_lib" : 100, + "wind_resource.lat" : 0, + "wind_turbine_hub_ht" : 80, + "wind_resource.year" : 1900, + "wind_resource.location_id" : "loc_id", + "wind.turbine.name_only" : "0", + "wind.turbine.blade_design" : 0, + "wind_turbine_rotor_diameter_input" : 75, + "wind_resource.state" : "AZ", + "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "turbine_cost_per_kw" : 1112.4000000000001, + "wind_turbine_cutin" : 4, + "wind_turbine_kw_rating_from_lib" : 2500, + "adjust_constant" : 0, + "weibull_k_factor" : 2, + "a_error_test_string" : "\"default err msg\"", + "wind.turbine.drive_train" : 0, + "wind.turbine.region2nhalf_slope" : 5, + "wind_turbine_cut_out" : 25, + "reference_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_resource_shear" : 0.14000000000000001, + "wind_turbine_powercurve_err_msg" : "", + "wind_turbine_kw_rating" : 2500, + "wind_turbine_powercurve_hub_efficiency" : [ 0 ], + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_turbine_selection" : "GE 2.5xl", + "wind_farm_wake_model" : 0, + "om_production_escal" : 0, + "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wake_loss" : 0, + "desired_farm_size" : 10, + "adjust" : 0, + "adjust_en_periods" : 0, + "cols" : 1, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "sales_tax_basis" : 0, + "turbine_cost_per_turbine" : 0, + "adjust_timeindex" : [ 0 ], + "rows" : 1, + "sizing_warning" : 0, + "specify_label" : 1, + "system_capacity" : 200000, + "wind_farm_num_turbines" : 80, + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wind_farm_xcoord_file" : [ 0 ], + "turb_hysteresis_loss" : 0.40000000000000002, + "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "windfarm.farm.layout_angle" : 0, + "bos_cost_per_turbine" : 0, + "wind_farm_ycoord_file" : [ 0 ], + "analysis_period" : 25, + "ops_load_loss" : 0.98999999999999999, + "windfarm.farm.layout_slider" : 33, + "windfarm.farm.number_of_rows" : 10, + "windfarm.farm.offset_type" : 0, + "windfarm.farm.row_spacing" : 8, + "windfarm.layout.file_or_controls" : 1, + "windfarm.farm.shape" : 0, + "windfarm.farm.turbine_spacing" : 8, + "windfarm.farm.turbines_per_row" : 8, + "avail_bop_loss" : 0.5, + "avail_turb_loss" : 3.5800000000000001, + "avail_loss_total" : 5.5011684999999977, + "wake_future_loss" : 0, + "elec_parasitic_loss" : 0.10000000000000001, + "elec_eff_loss" : 1.9099999999999999, + "elec_loss_total" : 2.0080899999999957, + "env_env_loss" : 0.40000000000000002, + "env_exposure_loss" : 0, + "env_icing_loss" : 0.20999999999999999, + "ops_loss_total" : 2.8034671600000061, + "wake_loss_total" : 1.100000000000001, + "turb_loss_total" : 3.9544963841199987, + "turb_generic_loss" : 1.7, + "env_loss_total" : 2.3981951200000018, + "ops_env_loss" : 1, + "ops_grid_loss" : 0.83999999999999997, + "ops_strategies_loss" : 0, + "turb_perf_loss" : 1.1000000000000001, + "turb_specific_loss" : 0.81000000000000005, + "turbine_cost_total" : 222480000.00000003, + "wake_ext_loss" : 1.1000000000000001, + "wake_int_loss" : 0, + "system_use_lifetime_output" : 0, + "install_type" : 0, + "sales_tax_total" : 0, + "bos_cost_fixed" : 0, + "reference_capacity" : 200000, + "turbine_cost_fixed" : 0, + "est_turbine_cost" : 0, + "reference_number_turbines" : 80, + "reference_sales_tax_percent" : 5, + "total_installed_cost" : 292032000, + "om_capacity" : [ 40 ], + "om_capacity_escal" : 0, + "om_fixed" : [ 0 ], + "om_production" : [ 0 ], + "inflation_rate" : 2.5, + "om_fixed_escal" : 0, + "sales_tax_rate" : 5, + "number table entries" : 150 + }, + "pvwattsv8" : { + "ui_land_area_ha" : 263.15847360564504, + "annual_twet" : "nan", + "array_type" : 2, + "use_specific_weather_file" : 0, + "dc_ac_ratio" : 1.3, + "in_nsrdb_options" : 0, + "annual_global" : 5.7947616438356171, + "solar_data_file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "losses" : 14.075660688264469, + "annual_albedo" : 0.18395068539332038, + "shading_azal" : [ [ 0 ] ], + "ui_step_minutes" : 60, + "module_total" : 39000000, + "annual_beam" : 7.3356438356164393, + "state" : "-", + "annual_diffuse" : 1.3484328767123288, + "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", + "inverter_total" : 5000000, + "country" : "-", + "adjust_en_periods" : 0, + "loss_snow" : 0, + "ui_array_land_area_acres" : 650.27774620322919, + "inv_eff" : 96, + "ui_total_module_area_ha" : 52.631578947368418, + "in_time_step" : 0, + "shading_en_diff" : 0, + "annual_snow" : "nan", + "module_costunits" : 0, + "loss_lid" : 1.5, + "loss_soiling" : 2, + "library_folder_list" : "x", + "landprep_fixed" : 0, + "annual_tdry" : 21.938470319634703, + "loss_mismatch" : 2, + "permitting_fixed" : 0, + "shading_string_option" : 0, + "total_land_area" : 650.27774620322919, + "solar_data_source" : "NSRDB", + "annual_wspd" : 1.7864840188646289, + "city" : "-", + "station_id" : "78208", + "ui_land_area_multiplier" : 0, + "elev" : 358, + "in_location_options" : 0, + "total_module_area" : 526315.78947368416, + "landprep_total" : 1000000, + "loss_avail" : 3, + "step" : 3600, + "install_margin_perwatt" : 0.12, + "subtotal_direct" : 103000000, + "file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", + "analysis_period" : 25, + "in_location_list" : "", + "is_advanced" : 0, + "lat" : 33.450000000000003, + "ui_land_area_per_mw" : 0, + "library_paths" : "", + "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "per_inverter" : 0.050000000000000003, + "loss_age" : 0, + "om_production_escal" : 0, + "lon" : -111.98, + "solar_data_file_name_load" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "engr_total" : 2000000, + "ac_nameplate" : 76923.076923076922, + "batt_simple_enable" : 0, + "tz" : -7, + "land_percent" : 0, + "grid_percent" : 0, + "user_specified_weather_file" : "", + "wf_nrecords" : 8760, + "azimuth" : 180, + "permitting_total" : 0, + "gcr" : 0.29999999999999999, + "grid_fixed" : 0, + "en_user_spec_losses" : 0, + "shading_mxh" : [ [ 0 ] ], + "loss_conn" : 0.5, + "loss_nameplate" : 1, + "loss_shading" : 3, + "loss_wiring" : 2, + "tilt" : 0, + "losses_user" : 14, + "module_type" : 0, + "system_capacity" : 100000, + "ui_array_land_area_multiplier" : 1, + "installed_per_capacity" : 1.163945, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "ui_ac_capacity" : 76.92307692307692, + "om_capacity" : [ 15 ], + "module_num_units" : 1, + "ui_use_acre_per_mw" : 0, + "ui_land_area_additional_units" : 0, + "ui_array_land_area_ha" : 263.15847360564504, + "total_installed_cost" : 116394500, + "pvwatts.advanced.is_shown" : 0, + "shading_en_timestep" : 0, + "ui_land_area_additional" : 0, + "ui_total_land_area_ha" : 263.15847360564504, + "inverter_num_units" : 1, + "ui_total_module_area" : 526315.78947368416, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "land_per_acre" : 0, + "inflation_rate" : 2.5, + "ui_total_module_area_acres" : 130.05534895540842, + "pv_land_area_is_shown" : 0, + "adjust_en_timeindex" : 0, + "adjust" : 0, + "shading_diff" : 0, + "adjust_constant" : 0, + "en_snowloss" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "contingency" : 3090000, + "shading_en_azal" : 0, + "bifaciality" : 0, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "module_is_bifacial" : 0, + "shading" : 0, + "land_per_watt" : 0, + "shading_en_mxh" : 0, + "shading_en_string_option" : 0, + "sales_tax_rate" : 5, + "shading_timestep" : [ [ 0 ] ], + "user_specified_constant_albedo" : 0.20000000000000001, + "landprep_per_watt" : 0.01, + "use_wf_albedo" : 1, + "system_use_lifetime_output" : 0, + "degradation" : [ 0.5 ], + "install_margin_perarea" : 0, + "bos_equip_perarea" : 0, + "bos_equip_fixed" : 0, + "bos_equip_perwatt" : 0.28999999999999998, + "landprep_per_acre" : 0, + "contingency_percent" : 3, + "bos_equip_total" : 28999999.999999996, + "engr_fixed" : 0, + "om_fixed" : [ 0 ], + "engr_per_watt" : 0.02, + "engr_percent" : 0, + "sales_tax_percent" : 100, + "om_fixed_escal" : 0, + "grid_per_watt" : 0.02, + "permitting_percent" : 0, + "grid_total" : 2000000, + "install_labor_total" : 18000000, + "install_labor_fixed" : 0, + "install_labor_perarea" : 0, + "install_labor_perwatt" : 0.17999999999999999, + "install_margin_fixed" : 0, + "install_margin_total" : 12000000, + "inverter_costunits" : 1, + "inverter_power" : 76923.076923076922, + "inverterarray_power" : 76923.076923076922, + "land_area_value" : 650.27774620322919, + "land_fixed" : 0, + "land_total" : 0, + "landprep_percent" : 0, + "module_power" : 100000, + "modulearray_area" : 526315.78947368416, + "modulearray_power" : 100000, + "per_module" : 0.39000000000000001, + "total_direct_cost" : 106090000, + "sales_tax_total" : 5304500, + "permitting_per_watt" : 0, + "sales_tax_value" : 5, + "total_indirect_cost" : 5000000, + "om_capacity_escal" : 0, + "om_production" : [ 0 ], + "land_area" : 650.27774620322919, + "om_land_lease" : [ 0 ], + "om_land_lease_escal" : 0, + "ui_land_area_units" : 0, + "ui_land_lease" : [ 0 ], + "number table entries" : 175 + }, + "battery" : { + "battery_voltage_shown" : 0, + "compute_as_cube" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_bank_power_dc_ac" : 0, + "pv.storage.p4.charge" : 0, + "batt_qnom_percent" : 97.6875, + "leadacid_q20_computed" : 479616, + "batt_qexp" : 2.5840000000000005, + "leadacid_q10_computed" : 446042.88, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "leadacid_q10" : 93, + "batt_cycle_cost_choice" : 0, + "batt_room_temperature_single" : 25, + "batt_bank_nstrings" : 1, + "batt_room_temperature_celsius" : [ 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25 ], + "leadacid_q20" : 100, + "leadacid_qn" : 60, + "battery_per_kw" : 236, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "ppa_price_input" : [ 0.050000000000000003 ], + "leadacid_tn" : 1, + "pv.storage.p3.discharge" : 0, + "leadacid_qn_computed" : 287769.59999999998, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "batt_dispatch_pvs_ramp_interval" : 180, + "batt_c_rate_max_discharge" : 0.25, + "pv.storage.p6.dischargetogrid" : 0, + "batt_volume" : 3428.5692342857146, + "batt_ui_inverter_eff" : 96, + "batt_qnom" : 3.1260000000000003, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_kp" : 1.2, + "batt_computed_bank_capacity" : 239999.84640000004, + "batt_power_discharge_max_kwdc" : 59999.96160000001, + "pv.storage.p3.charge" : 0, + "add_om_num_types" : 1, + "batt_chem" : 1, + "batt_cell_current_charge_max" : 10, + "om_replacement_cost_escal" : 0, + "genericsys.cost.contingency_percent" : 3, + "pv.storage.p5.gridcharge" : 0, + "batt_life_model" : 1, + "batt_dispatch_pvs_ac_ub" : 1.05, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_computed_series" : 139, + "batt_c_rate_max_charge_input" : 0.5, + "om_batt_variable_cost" : [ 0 ], + "genericsys.cost.plm.nonfixed" : 3305061.884759041, + "om_batt_nameplate" : 239999.84640000004, + "batt_type" : 5, + "batt_bank_duration" : 0, + "batt_surface_area" : 17999.988480000004, + "batt_loss_choice" : 0, + "batt_unit_capacity" : 400, + "batt_meter_position" : 1, + "batt_maximum_soc" : 95, + "batt_cell_power_charge_max" : 2, + "batt_bank_size_specify" : 100, + "batt_bank_nseries_stacks" : 1, + "batt_bank_size" : 240000, + "batt_discharge_percent_1" : 50, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_c_rate_max_discharge_input" : 0.5, + "batt_computed_voltage" : 500.40000000000003, + "batt_vcut" : 2.7719999999999998, + "batt_vnom_default" : 3.6000000000000001, + "batt_discharge_percent_2" : 25, + "batt_vfull" : 4.2000000000000002, + "batt_bank_ncells_serial" : 3, + "batt_computed_strings" : 149880, + "en_standalone_batt" : 0, + "batt_current_discharge_max" : 119904, + "pv.storage.p3.dischargetogrid" : 0, + "batt_bank_voltage" : 500, + "ui_copy_batt_discharge_percent_5" : 25, + "batt_qfull_flow" : 479616.00000000006, + "batt_size_choice" : 0, + "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], + "batt_bank_power" : 60000, + "battery_total" : 91679941.324800014, + "batt_initial_soc" : 50, + "total_direct_cost" : 94430339.564544022, + "batt_cell_current_discharge_max" : 10, + "batt_c_rate_max_charge" : 0.25, + "batt_duration_choice" : 0, + "genericsys.cost.epc.fixed" : 0, + "batt_inverter_efficiency_cutoff" : 90, + "genericsys.cost.epc.total" : 9443033.9564544018, + "batt_thermal_choice" : 0, + "batt_bank_size_dc_ac" : 0, + "batt_bank_size_ui" : 240000, + "batt_qfull" : 3.2000000000000002, + "batt_cell_power_discharge_max" : 2, + "batt_time_capacity" : 4, + "batt_computed_stacks_series" : 0, + "batt_current_charge_max" : 119904, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_pvs_battery_energy" : 239999.84640000004, + "batt_discharge_percent_6" : 25, + "batt_current_choice" : 1, + "om_capacity_escal" : 0, + "ui_copy_batt_discharge_percent_4" : 25, + "batt_num_cells" : 20833320, + "batt_ac_or_dc" : 1, + "batt_power_charge_max_kwac" : 62499.960000000014, + "batt_ac_dc_efficiency" : 96, + "batt_power_charge_max_kwdc" : 59999.96160000001, + "batt_power_discharge_max_kwac" : 57599.963136000006, + "batt_ui_nominal_bank_power" : 59999.96160000001, + "batt_dc_dc_efficiency" : 99, + "batt_c_rate" : 0.20000000000000001, + "batt_voltage_choice" : 0, + "batt_qexp_percent" : 80.75, + "batt_vexp" : 3.5299999999999998, + "batt_resistance" : 0.001155, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_gridcharge_percent_3" : 100, + "batt_vnom" : 3.3420000000000001, + "batt_ui_bank_voltage" : 500.40000000000003, + "genericsys.cost.epc.nonfixed" : 9443033.9564544018, + "batt_ui_cell_voltage" : 3.6000000000000001, + "pv.storage.p6.discharge" : 0, + "batt_losses" : [ 0 ], + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "battery_losses_shown" : 0, + "dispatch_manual_system_charge_first" : 0, + "batt_cp" : 1500, + "batt_room_temperature_vector" : [ 0 ], + "batt_mass" : 2376236.1029702974, + "batt_dispatch_pvs_ac_lb_enable" : 0, + "batt_h_to_ambient" : 100, + "batt_specific_energy_per_mass" : 101, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_specific_energy_per_volume" : 70, + "batt_unit_surface_area" : 30, + "battery_thermal_shown" : 0, + "batt_calendar_a" : 0.00266, + "genericsys.cost.sales_tax.total" : 4721516.9782272009, + "batt_calendar_b" : -7280, + "battery_indirect_cost_percent" : 0, + "batt_calendar_q0" : 1.02, + "inflation_rate" : 2.5, + "batt_pvs_user_specified_weather_file" : "", + "om_fixed_escal" : 0, + "batt_calendar_c" : 939, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_calendar_choice" : 1, + "ppa_escalation" : 1, + "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], + "batt_life_excl" : 1, + "batt_replacement_capacity" : 50, + "batt_replacement_option" : 1, + "pv.storage.p2.charge" : 0, + "ui_batt_life_model" : 0, + "batt_cycle_cost" : [ 0 ], + "genericsys.cost.epc.percent" : 10, + "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_update_frequency_hours" : 1, + "batt_pv_ac_forecast_fom_auto" : [ 0 ], + "batt_look_ahead_hours" : 18, + "pv.storage.p1.discharge" : 0, + "batt_user_specified_weather_file" : "", + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_dispatch_pvs_battery_rte" : 92.159999999999997, + "genericsys.cost.per_watt" : 0, + "batt_dispatch_pvs_ac_ub_enable" : 0, + "pv.storage.p4.gridcharge" : 0, + "batt_dispatch_pvs_battery_power" : 62499.960000000014, + "om_batt_fixed_cost" : [ 0 ], + "pv.storage.p5.discharge" : 0, + "batt_dispatch_pvs_wf_forecast_choice" : 0, + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_gridcharge_percent_1" : 100, + "battery_energy" : 239999.84640000004, + "batt_dispatch_pvs_curtail_if_violation" : 0, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "batt_dispatch_pvs_interconnection_limit" : 20000, + "batt_dispatch_pvs_ki" : 1.8, + "batt_dispatch_pvs_max_ramp" : 10, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "batt_dispatch_pvs_nameplate" : 276923.07692307694, + "batt_dispatch_pvs_nameplate_ac" : 20000, + "total_installed_cost" : 111899952.38398466, + "batt_dispatch_pvs_short_forecast_enable" : 0, + "batt_dispatch_pvs_soc_rest" : 50, + "batt_dispatch_pvs_timestep_multiplier" : 3, + "batt_dispatch_pvs_wf_timestep" : 60, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_pvs_pv_ac_forecast" : [ 0 ], + "batt_gridcharge_percent_6" : 100, + "batt_custom_dispatch" : [ 0 ], + "batt_discharge_percent_3" : 25, + "ui_copy_batt_discharge_percent_3" : 25, + "batt_discharge_percent_4" : 25, + "dispatch_manual_percent_gridcharge" : [ 0 ], + "batt_discharge_percent_5" : 25, + "genericsys.cost.sales_tax.value" : 5, + "batt_gridcharge_percent_2" : 100, + "batt_gridcharge_percent_4" : 100, + "batt_gridcharge_percent_5" : 100, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "analysis_period" : 25, + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "pv.storage.p1.charge" : 1, + "pv.storage.p1.dischargetogrid" : 0, + "pv.storage.p5.charge" : 0, + "pv.storage.p1.gridcharge" : 0, + "pv.storage.p2.discharge" : 1, + "pv.storage.p2.dischargetogrid" : 0, + "ui_copy_batt_discharge_percent_2" : 25, + "pv.storage.p2.gridcharge" : 0, + "ui_copy_batt_discharge_percent_1" : 50, + "pv.storage.p3.gridcharge" : 0, + "pv.storage.p4.discharge" : 0, + "pv.storage.p4.dischargetogrid" : 0, + "pv.storage.p5.dischargetogrid" : 0, + "pv.storage.p6.charge" : 0, + "pv.storage.p6.gridcharge" : 0, + "ui_copy_batt_discharge_percent_6" : 25, + "batt_dispatch_excl" : 3, + "batt_minimum_soc" : 10, + "batt_minimum_modetime" : 10, + "om_production_escal" : 0, + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_charge" : 1, + "batt_dispatch_choice" : 3, + "batt_dispatch_choice_ui" : 3, + "genericsys.cost.contingency" : 2750398.2397440001, + "battery_per_kwh" : 323, + "battery_power" : 59999.96160000001, + "battery_total_cost_lcos" : 111899952.38398466, + "sales_tax_rate" : 5, + "genericsys.cost.installed_per_capacity" : 1.94270875, + "pv_ui_step_minutes" : 60, + "genericsys.cost.plm.fixed" : 0, + "genericsys.cost.plm.percent" : 3.5, + "genericsys.cost.plm.total" : 3305061.884759041, + "genericsys.cost.sales_tax.percent" : 100, + "total_indirect_cost" : 12748095.841213442, + "om_batt_replacement_cost" : [ 323 ], + "system_capacity" : 57599.963136000006, + "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "wind_ui_step_minutes" : 60, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ppa_multiplier_model" : 0, + "hybrid_system_capacity" : 276923.07692307694, + "number table entries" : 253 + }, + "hybrid" : { + "ui_generic_capacity" : 0, + "flip_target_year" : 20, + "depr_bonus_fed_custom" : 0, + "ui_wacc" : 5.3872800000000014, + "ui_wind_cost" : 292032000, + "ui_battery_capacity" : 57599.963136000006, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_source" : "empty", + "wind_system_capacity" : 200000, + "om_capacity" : 0, + "ibi_sta_amount_deprbas_fed" : 0, + "ibi_oth_amount_tax_sta" : 1, + "const_per_months3" : 0, + "cost_other_financing" : 0, + "ui_generic_cost" : 0, + "cp_capacity_payment_type" : 0, + "inflation_rate" : 2.5, + "depr_bonus_fed" : 0, + "flip_target_percent" : 11, + "cp_capacity_payment_esc" : 0, + "ur_name" : "", + "const_per_principal1" : 520326452.38398468, + "term_int_rate" : 4, + "property_tax_rate" : 0, + "ptc_fed_escal" : 0, + "months_working_reserve" : 6, + "system_capacity" : 276923.07692307694, + "ibi_fed_percent_maxvalue" : 0, + "ui_fuel_cell_cost" : 0, + "pbi_oth_tax_sta" : 1, + "const_per_interest_rate3" : 0, + "grid_interconnection_limit_kwac" : 20000, + "cost_debt_fee" : 2.75, + "enable_interconnection_limit" : 0, + "equip3_reserve_freq" : 0, + "ui_battery_cost" : 111899952.38398466, + "ibi_oth_percent_tax_fed" : 1, + "dscr_maximum_debt_fraction" : 100, + "nominal_discount_rate" : 9.0600000000000023, + "mera_name2" : "Replacement Reserve 2", + "ui_fuel_cell_capacity" : 0, + "ptc_sta_amount" : [ 0 ], + "const_per_interest4" : 0, + "itc_fed_percent_deprbas_fed" : 1, + "cbi_fed_deprbas_fed" : 0, + "const_per_interest3" : 0, + "debt_option" : 1, + "real_discount_rate" : 6.4000000000000004, + "itc_sta_amount" : [ 0 ], + "depr_alloc_sl_15_percent" : 0, + "rate_escalation" : [ 0 ], + "lib_dispatch_factor4" : 1, + "const_per_total2" : 0, + "prop_tax_assessed_decline" : 0, + "pbi_oth_for_ds" : 0, + "mera_cost2" : 0, + "hybrid_capital_cost" : 0, + "cbi_fed_deprbas_sta" : 0, + "itc_fed_percent_deprbas_sta" : 1, + "depr_itc_sta_macrs_15" : 0, + "ur_enable_billing_demand" : 0, + "debt_percent" : 60, + "const_per_interest2" : 0, + "battery_system_capacity" : 57599.963136000006, + "ui_hyb_pv_capacity" : 76923.076923076922, + "batt_salvage_percentage" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "ibi_fed_amount_deprbas_sta" : 0, + "salvage_percentage" : 0, + "ibi_oth_amount_deprbas_sta" : 0, + "depr_alloc_macrs_5_percent" : 100, + "const_per_name3" : "Loan 3", + "total_installed_cost" : 520326452.38398468, + "property_assessed_value" : 520326452.38398468, + "pbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_sta" : 0, + "ui_pv_cost" : 116394500, + "cbi_uti_deprbas_fed" : 0, + "depr_bonus_fed_macrs_15" : 0, + "ur_dc_is_shown" : 1, + "om_fixed" : 0, + "om_production" : 0, + "term_tenor" : 18, + "ur_billing_demand_lookback_period" : 0, + "state_tax_rate" : [ 7 ], + "analysis_period" : 25, + "ibi_uti_amount_deprbas_fed" : 0, + "analysis_period_warning" : "", + "mera_name1" : "Replacement Reserve 1", + "federal_tax_rate" : [ 21 ], + "equip_reserve_depr_sta" : 0, + "equip3_reserve_cost" : 0, + "dscr" : 1.3, + "ur_rate_notes" : "", + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_fed_maxvalue" : 0, + "ibi_sta_percent" : 0, + "pbi_sta_term" : 0, + "ur_monthly_fixed_charge" : 0, + "insurance_rate" : 0, + "ibi_uti_percent_tax_fed" : 1, + "prop_tax_cost_assessed_percent" : 100, + "depr_itc_sta_sl_15" : 0, + "ibi_fed_amount" : 0, + "sales_tax_rate" : 5, + "const_per_name5" : "Loan 5", + "ptc_fed_amount" : [ 0 ], + "ibi_uti_percent_deprbas_sta" : 0, + "cbi_fed_tax_sta" : 1, + "solution_mode_message" : "", + "pbi_fed_tax_fed" : 1, + "salvage_value" : 0, + "depr_alloc_sl_5_percent" : 0, + "cbi_sta_amount" : 0, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "batt_salvage_value" : 0, + "ur_demand_history" : 0, + "payment_option" : 0, + "pbi_sta_for_ds" : 0, + "pbi_fed_escal" : 0, + "grid_curtailment_price_esc" : 0, + "depr_custom_schedule" : [ 0 ], + "dscr_limit_debt_fraction" : 0, + "const_per_upfront_rate2" : 0, + "cost_debt_closing" : 0, + "ur_voltage_max" : 0, + "ibi_oth_percent_tax_sta" : 1, + "ibi_fed_amount_deprbas_fed" : 0, + "debt_message" : "", + "cp_capacity_payment_amount" : [ 0 ], + "loan_moratorium" : 0, + "cbi_oth_tax_fed" : 1, + "show_debtconstdscr" : 1, + "show_capitalcostso" : 1, + "const_per_interest1" : 8455304.851239752, + "batt_replacement_option" : 1, + "const_per_interest_rate1" : 6.5, + "itc_fed_amount_deprbas_fed" : 1, + "const_per_principal_total" : 520326452.38398468, + "ibi_sta_percent_deprbas_fed" : 0, + "const_per_name2" : "Loan 2", + "dispatch_data_filename" : "", + "ur_demand_reactive_power_charge" : 0, + "const_per_upfront_rate1" : 1, + "pbi_fed_amount" : [ 0 ], + "const_per_interest_rate2" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "const_per_upfront_rate4" : 0, + "const_per_interest5" : 0, + "const_per_interest_total" : 8455304.851239752, + "const_per_interest_rate4" : 0, + "const_per_interest_rate5" : 0, + "const_per_upfront_rate5" : 0, + "depr_bonus_sta_sl_39" : 0, + "const_per_months1" : 6, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "const_per_upfront_rate3" : 0, + "ur_cr_tou_mat" : [ [ 0 ] ], + "ibi_oth_amount_tax_fed" : 1, + "ur_nm_credit_rollover" : 0, + "lib_dispatch_factor5" : 1, + "const_per_total3" : 0, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "const_per_months2" : 0, + "const_per_months4" : 0, + "lib_dispatch_factor7" : 1, + "const_per_total1" : 13658569.375079598, + "const_per_months5" : 0, + "ur_is_default" : 0, + "const_per_name1" : "Loan 1", + "ibi_uti_percent_maxvalue" : 0, + "ibi_uti_percent_deprbas_fed" : 0, + "construction_financing_cost" : 13658569.375079598, + "const_per_name4" : "Loan 4", + "cbi_uti_maxvalue" : 0, + "pbi_uti_escal" : 0, + "depr_fedbas_method" : 1, + "const_per_percent4" : 0, + "ur_cr_sched" : [ [ 0 ] ], + "show_reserveaccounts" : 1, + "ur_billing_demand_is_shown" : 0, + "ibi_uti_amount_tax_sta" : 1, + "ptc_sta_escal" : 0, + "const_per_percent1" : 100, + "const_per_percent2" : 0, + "depr_bonus_sta_macrs_5" : 0, + "itc_sta_percent_deprbas_fed" : 0, + "const_per_percent3" : 0, + "pbi_oth_escal" : 0, + "const_per_percent_total" : 100, + "months_receivables_reserve" : 0, + "cbi_sta_tax_sta" : 1, + "ibi_sta_amount" : 0, + "mera_name3" : "Replacement Reserve 3", + "ur_desc_is_shown" : 0, + "const_per_percent5" : 0, + "const_per_principal2" : 0, + "is_btm" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "const_per_principal3" : 0, + "const_per_principal4" : 0, + "const_per_principal5" : 0, + "lib_dispatch_factor2" : 1, + "const_per_total4" : 0, + "lib_dispatch_factor3" : 1, + "const_per_total5" : 0, + "show_construction_period" : 1, + "ibi_oth_amount" : 0, + "ur_unused_is_shown" : 0, + "ibi_sta_percent_tax_fed" : 1, + "dscr_reserve_months" : 6, + "equip1_reserve_freq" : 15, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "equip2_reserve_cost" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "wind_total_installed_cost" : 292032000, + "mera_cost1" : 27692307.692307696, + "depr_bonus_fed_sl_39" : 0, + "mera_cost3" : 0, + "reserves_interest" : 1.25, + "depr_itc_sta_custom" : 0, + "ppa_escalation" : 1, + "ppa_price_input" : [ 0.050000000000000003 ], + "ibi_oth_percent_maxvalue" : 0, + "ppa_soln_mode" : 1, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "depr_alloc_sl_20_percent" : 0, + "en_electricity_rates" : 1, + "battery_total_installed_cost" : 111899952.38398466, + "ibi_sta_amount_deprbas_sta" : 0, + "revenue_tod_is_shown" : 1, + "itc_fed_amount" : [ 0 ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ibi_fed_percent_tax_fed" : 1, + "ur_end_date" : "empty", + "depr_bonus_sta_custom" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "lib_dispatch_factor1" : 1, + "pbi_sta_tax_fed" : 1, + "depr_bonus_fed_sl_15" : 0, + "ac_nameplate" : 76923.076923076922, + "pbi_sta_amount" : [ 0 ], + "tod_library" : "Uniform Dispatch", + "ur_nm_yearend_sell_rate" : 0, + "depr_itc_sta_macrs_5" : 0, + "lib_dispatch_factor6" : 1, + "lib_dispatch_factor8" : 1, + "lib_dispatch_factor9" : 1, + "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ppa_multiplier_model" : 0, + "revenue_capacity_payments_is_shown" : 0, + "ur_energy_history" : 0, + "ui_system_nameplate_standalone" : 0, + "cp_battery_nameplate" : 0, + "ptc_fed_term" : 10, + "cp_capacity_credit_percent" : [ 0 ], + "pbi_oth_amount" : [ 0 ], + "ibi_sta_percent_deprbas_sta" : 0, + "cp_system_nameplate" : 276.92307692307696, + "grid_curtailment_price" : [ 0 ], + "depr_bonus_fed_sl_5" : 0, + "revenue_curtailment_is_shown" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "itc_fed_percent" : [ 30 ], + "ptc_sta_term" : 10, + "pbi_uti_tax_fed" : 1, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_for_ds" : 0, + "itc_sta_percent" : [ 0 ], + "itc_sta_percent_deprbas_sta" : 0, + "ur_fuel_adjustments_monthly" : [ 0 ], + "ibi_sta_amount_tax_sta" : 1, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "depr_alloc_macrs_15_percent" : 0, + "cbi_uti_tax_fed" : 1, + "ibi_uti_amount_deprbas_sta" : 0, + "cbi_fed_amount" : 0, + "cbi_fed_tax_fed" : 1, + "cbi_sta_tax_fed" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], + "ibi_fed_percent_deprbas_fed" : 0, + "depr_itc_fed_sl_39" : 0, + "cbi_oth_amount" : 0, + "depr_bonus_fed_sl_20" : 0, + "cbi_oth_deprbas_fed" : 0, + "batt_power_discharge_max_kwac" : 57599.963136000006, + "cbi_oth_deprbas_sta" : 0, + "pbi_fed_tax_sta" : 1, + "cbi_oth_maxvalue" : 0, + "ui_electricity_rate_option" : 1, + "cbi_oth_tax_sta" : 1, + "cbi_sta_deprbas_fed" : 0, + "cbi_sta_deprbas_sta" : 0, + "ur_demand_min" : 0, + "cbi_sta_maxvalue" : 0, + "ur_voltage_min" : 0, + "ibi_fed_amount_tax_fed" : 1, + "cbi_uti_amount" : 0, + "ibi_uti_percent" : 0, + "cbi_uti_deprbas_sta" : 0, + "depr_bonus_sta_macrs_15" : 0, + "cbi_uti_tax_sta" : 1, + "ibi_fed_percent_deprbas_sta" : 0, + "ibi_fed_amount_tax_sta" : 1, + "ibi_fed_percent" : 0, + "ur_ratedata_filename" : "", + "ur_energy_attrs" : "", + "ibi_fed_percent_tax_sta" : 1, + "ibi_sta_amount_tax_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ibi_oth_percent" : 0, + "ibi_uti_amount_tax_fed" : 1, + "ibi_oth_percent_deprbas_fed" : 0, + "ur_fixed_attrs" : "", + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_amount" : 0, + "ur_nm_credit_month" : 0, + "ibi_uti_percent_tax_sta" : 1, + "ur_energy_max" : 0, + "depr_itc_sta_sl_5" : 0, + "chk_update_peaks" : 0, + "pbi_fed_term" : 0, + "pbi_oth_tax_fed" : 1, + "pbi_oth_term" : 0, + "ur_ec_is_shown" : 1, + "depr_itc_fed_sl_15" : 0, + "depr_itc_sta_sl_20" : 0, + "ur_voltage_category" : "", + "pbi_sta_escal" : 0, + "pbi_sta_tax_sta" : 1, + "pbi_uti_amount" : [ 0 ], + "pbi_uti_for_ds" : 0, + "pbi_uti_term" : 0, + "depr_alloc_custom_percent" : 0, + "depr_bonus_sta_sl_20" : 0, + "depr_itc_sta_sl_39" : 0, + "depr_alloc_none" : 0, + "ur_demand_window" : 0, + "depr_alloc_sl_39_percent" : 0, + "depr_bonus_fed_macrs_5" : 1, + "depr_bonus_sta" : 0, + "depr_bonus_sta_sl_15" : 0, + "depr_itc_fed_sl_5" : 0, + "depr_itc_fed_macrs_15" : 0, + "depr_bonus_sta_sl_5" : 0, + "depr_itc_fed_macrs_5" : 0, + "depr_itc_fed_custom" : 0, + "depr_itc_fed_sl_20" : 0, + "depr_stabas_method" : 1, + "ur_ts_sell_rate" : [ 0 ], + "ur_en_ts_sell_rate" : 0, + "ur_metering_option" : 4, + "ur_en_ts_buy_rate" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ur_annual_min_charge" : 0, + "ur_has_unused_items" : 0, + "ur_monthly_min_charge" : 0, + "ur_demand_max" : 0, + "ur_description" : "", + "ur_energy_min" : 0, + "ur_utility" : "empty", + "ur_phase_wiring" : "", + "ur_schedule_name" : "empty", + "ur_start_date" : "empty", + "ur_uri" : "empty", + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_yearzero_usage_peaks" : [ 0 ], + "ur_billing_demand_minimum" : 0, + "ur_dc_enable" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_demand_attrs" : "", + "ur_service_type" : "", + "pv_total_installed_cost" : 116394500, + "number table entries" : 378 + }, + "number table entries" : 5 + }, + "compute_module_0" : "hybrid", + "number_compute_modules" : 1, + "number_metrics" : 0 +} diff --git a/test/main.cpp b/test/main.cpp index c0f18f56f..01b8c98d9 100644 --- a/test/main.cpp +++ b/test/main.cpp @@ -54,7 +54,7 @@ GTEST_API_ int main(int argc, char **argv) { // filter to include // ::testing::GTEST_FLAG(filter) = "CmodPVWatts*:CMPvwatts*"; - //::testing::GTEST_FLAG(filter) = "CmodCashLoanTest.FuelCell*"; + ::testing::GTEST_FLAG(filter) = "CmodHybridTest*"; // ::testing::GTEST_FLAG(filter) = "CmodCashLoanTest*:CmodSingleOwnerTest*"; //::testing::GTEST_FLAG(filter) = "CMGeothermal*:GeothermalPlantAnalyzer*"; diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 083438226..f622c0829 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -132,3 +132,54 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatteryHostDeveloper) { ssc_data_free(dat); dat = nullptr; } + + +// Code generator testing + +TEST_F(CmodHybridTest, CodeGeneratorPVWattsv8WindBatterySingleOwner) { + + char file_path[256]; + int nfc1 = sprintf(file_path, "%s/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json", SSCDIR); + std::ifstream file(file_path); + std::ostringstream tmp; + tmp << file.rdbuf(); + file.close(); + ssc_data_t dat = json_to_ssc_data(tmp.str().c_str()); + tmp.str(""); + + auto table = ssc_data_get_table(dat, "input"); + auto pv_table = ssc_data_get_table(table, "pvwattsv8"); + char solar_resource_path[256]; + sprintf(solar_resource_path, "%s/test/input_cases/general_data/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", std::getenv("SSCDIR")); + ssc_data_set_string(pv_table, "solar_resource_file", solar_resource_path); + + auto wind_table = ssc_data_get_table(table, "windpower"); + char wind_resource_path[256]; + sprintf(wind_resource_path, "%s/test/input_cases/general_data/AZ Eastern-Rolling Hills.srw", std::getenv("SSCDIR")); + ssc_data_set_string(wind_table, "wind_resource_filename", wind_resource_path); + + int errors = run_module(dat, "hybrid"); + + EXPECT_FALSE(errors); + if (!errors) + { + ssc_number_t pvannualenergy, windannualenergy, npv; + auto outputs = ssc_data_get_table(dat, "output"); + + auto pv_outputs = ssc_data_get_table(outputs, "pvwattsv8"); + ssc_data_get_number(pv_outputs, "annual_energy", &pvannualenergy); + EXPECT_NEAR(pvannualenergy, 211907456, 211907456 * 0.01); + + auto wind_outputs = ssc_data_get_table(outputs, "windpower"); + ssc_data_get_number(wind_outputs, "annual_energy", &windannualenergy); + EXPECT_NEAR(windannualenergy, 366975552, 366975552 * 0.01); + + auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); + ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); + EXPECT_NEAR(npv, -291370144, 291370144 * 0.001); + } + ssc_data_free(dat); + dat = nullptr; +} + + From ab14bc00f77e0c6d7491b6fad7d393fad4ed62cc Mon Sep 17 00:00:00 2001 From: Darice Date: Wed, 21 Feb 2024 13:50:07 -0700 Subject: [PATCH 38/79] fix degradation for generic_system in hybrids --- ssc/cmod_hybrid.cpp | 6 ++---- 1 file changed, 2 insertions(+), 4 deletions(-) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index 8cb866f8f..97e6bac13 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -213,11 +213,9 @@ class cm_hybrid : public compute_module } else { size_t count_degrad = 0; - ssc_number_t* degrad = 0; + ssc_number_t* degrad = input.as_array("degradation", &count_degrad); if (compute_module == "generic_system") - degrad = input.as_array("generic_degradation", &count_degrad); - else - degrad = input.as_array("degradation", &count_degrad); + input.assign("generic_degradation", *input.lookup("degradation")); if (count_degrad == 1) { for (int i = 1; i <= analysisPeriod; i++) pDegradation[i] = pow((1.0 - degrad[0] / 100.0), i - 1); From 5d2236c51b78155b91ac27b3752b536869bda972 Mon Sep 17 00:00:00 2001 From: Brian Mirletz Date: Fri, 23 Feb 2024 13:48:05 -0700 Subject: [PATCH 39/79] Fix subhourly indexing error in retail rate dispatch forecast function --- shared/lib_utility_rate_equations.cpp | 2 +- .../lib_utility_rate_equations_test.cpp | 139 ++++++++++++++++++ 2 files changed, 140 insertions(+), 1 deletion(-) diff --git a/shared/lib_utility_rate_equations.cpp b/shared/lib_utility_rate_equations.cpp index 922c78841..1f08b23f5 100644 --- a/shared/lib_utility_rate_equations.cpp +++ b/shared/lib_utility_rate_equations.cpp @@ -1367,7 +1367,7 @@ void forecast_setup::setup(rate_data* rate, std::vector& P_pv_ac, std::v } if (rate->dc_enabled) { - int dc_tou_period = rate->get_dc_tou_row(hour_of_year + step, curr_month - 1); + int dc_tou_period = rate->get_dc_tou_row(idx, curr_month - 1); size_t month_idx = year * 12 + (curr_month - 1); double peak = monthly_peaks.at(month_idx, dc_tou_period) - peak_offset; // Peak for dispatch calcs in battery: peak minus battery capacity if (-1.0 * grid_power > peak) { diff --git a/test/shared_test/lib_utility_rate_equations_test.cpp b/test/shared_test/lib_utility_rate_equations_test.cpp index c410ab7d5..3eada36e2 100644 --- a/test/shared_test/lib_utility_rate_equations_test.cpp +++ b/test/shared_test/lib_utility_rate_equations_test.cpp @@ -714,3 +714,142 @@ TEST(lib_utility_rate_equations_test, test_billing_demand_calcs_w_tou) ASSERT_NEAR(16674.22, data.get_demand_charge(0, 0), 0.01); } + +TEST(lib_utility_rate_equations_test, test_demand_hourly_tou_charges) +{ + ssc_number_t p_ur_ec_sched_weekday[288] = { 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 }; + ssc_number_t p_ur_ec_sched_weekend[288] = { 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 }; + ssc_number_t p_ur_ec_tou_mat[24] = { 1, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0, + 2, 1, 9.9999999999999998e+37, 0, 0.074999999999999997, 0, + 3, 1, 9.9999999999999998e+37, 0, 0.059999999999999998, 0, + 4, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 }; + size_t tou_rows = 4; + bool sell_eq_buy = false; + + // Schedyles don't have period 1 in March + ssc_number_t p_ur_dc_sched_weekday[288] = { 3, 3, 3, 3, 3, 3, 2, 1, 1, 1, 1, 1, 1, 1, 2, 2, 1, 1, 1, 1, 2, 2, 3, 3, 3, 3, 3, 3, 3, 3, 2, 1, 1, 1, 1, 1, 1, 1, 2, 2, 1, 1, 1, 1, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 3, 3, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 3, 3, 3, 3, 3, 3, 2, 1, 1, 1, 1, 1, 1, 1, 2, 2, 1, 1, 1, 1, 2, 2, 3, 3, 3, 3, 3, 3, 3, 3, 2, 1, 1, 1, 1, 1, 1, 1, 2, 2, 1, 1, 1, 1, 2, 2, 3, 3 }; + ssc_number_t p_ur_dc_sched_weekend[288] = { 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4 }; + ssc_number_t p_ur_dc_tou_mat[20] = { 1, 1, 9.9999996802856925e+37, 3.3640100955963135, + 2, 1, 9.9999996802856925e+37, 0.8336300253868103, + 3, 1, 9.9999996802856925e+37, 0.1803400069475174, + 4, 1, 9.9999996802856925e+37, 0.012780000455677509, + 5, 1, 9.9999996802856925e+37, 0 }; + + size_t dc_tou_rows = 5; + // Also test incomplete flat mat + ssc_number_t p_ur_dc_flat_mat[8] = { 0, 1, 9.9999999999999998e+37, 0, 1, 1, 9.9999996802856925e+37, 0 }; + + + /* + ssc_number_t p_ur_dc_flat_mat[48] = { 0, 1, 9.9999999999999998e+37, 0, + 1, 1, 9.9999999999999998e+37, 0, + 2, 1, 9.9999999999999998e+37, 0, + 3, 1, 9.9999999999999998e+37, 0, + 4, 1, 9.9999999999999998e+37, 0, + 5, 1, 9.9999999999999998e+37, 0, + 6, 1, 9.9999999999999998e+37, 0, + 7, 1, 9.9999999999999998e+37, 0, + 8, 1, 9.9999999999999998e+37, 0, + 9, 1, 9.9999999999999998e+37, 0, + 10, 1, 9.9999999999999998e+37, 0, + 11, 1, 9.9999999999999998e+37, 0 }; + */ + size_t dc_flat_rows = 2; + + rate_data data; + data.m_num_rec_yearly = 8760; + data.rate_scale = { 1 }; + data.init(8760); + data.setup_demand_charges(&p_ur_dc_sched_weekday[0], &p_ur_dc_sched_weekend[0], dc_tou_rows, &p_ur_dc_tou_mat[0], dc_flat_rows, &p_ur_dc_flat_mat[0]); + data.setup_energy_rates(&p_ur_ec_sched_weekday[0], &p_ur_ec_sched_weekend[0], tou_rows, &p_ur_ec_tou_mat[0], sell_eq_buy); + data.init_energy_rates_all_months(false); + data.init_dc_peak_vectors(2); + + // Peak period 1: 5 kW, peak period 2: 10 kW + std::vector day_one_power = { -1, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -9, -8, -7, -6, -5, -4, -3, -2, -1, -1, -1, -1, -1 }; + + ASSERT_EQ(24, day_one_power.size()); + int step = 1416; // 12 am March 1st + int month = 2; + for (int i = 0; i < day_one_power.size(); i++) + { + // Hourly, so power and energy are the same number + data.sort_energy_to_periods(month, day_one_power.at(i), step + i); + data.find_dc_tou_peak(month, day_one_power.at(i), step + i); + } + + ASSERT_NEAR(9.482, data.get_demand_charge(2, 0), 0.01); + + // Check whether or not it's safe to call this function twice on the same data + ASSERT_NEAR(9.482, data.get_demand_charge(2, 0), 0.01); +} + +TEST(lib_utility_rate_equations_test, test_demand_subhourly_tou_charges) +{ + ssc_number_t p_ur_ec_sched_weekday[288] = { 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 }; + ssc_number_t p_ur_ec_sched_weekend[288] = { 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 }; + ssc_number_t p_ur_ec_tou_mat[24] = { 1, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0, + 2, 1, 9.9999999999999998e+37, 0, 0.074999999999999997, 0, + 3, 1, 9.9999999999999998e+37, 0, 0.059999999999999998, 0, + 4, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 }; + size_t tou_rows = 4; + bool sell_eq_buy = false; + + // Schedyles don't have period 1 in March + ssc_number_t p_ur_dc_sched_weekday[288] = { 3, 3, 3, 3, 3, 3, 2, 1, 1, 1, 1, 1, 1, 1, 2, 2, 1, 1, 1, 1, 2, 2, 3, 3, 3, 3, 3, 3, 3, 3, 2, 1, 1, 1, 1, 1, 1, 1, 2, 2, 1, 1, 1, 1, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 3, 3, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 3, 3, 3, 3, 3, 3, 2, 1, 1, 1, 1, 1, 1, 1, 2, 2, 1, 1, 1, 1, 2, 2, 3, 3, 3, 3, 3, 3, 3, 3, 2, 1, 1, 1, 1, 1, 1, 1, 2, 2, 1, 1, 1, 1, 2, 2, 3, 3 }; + ssc_number_t p_ur_dc_sched_weekend[288] = { 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 5, 5, 5, 5, 5, 5, 4, 3, 3, 3, 3, 3, 3, 3, 4, 4, 3, 3, 3, 3, 4, 4, 5, 5, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4, 4, 4, 4, 4, 4, 4, 3, 2, 2, 2, 2, 2, 2, 2, 3, 3, 2, 2, 2, 2, 3, 3, 4, 4 }; + ssc_number_t p_ur_dc_tou_mat[20] = { 1, 1, 9.9999996802856925e+37, 3.3640100955963135, + 2, 1, 9.9999996802856925e+37, 0.8336300253868103, + 3, 1, 9.9999996802856925e+37, 0.1803400069475174, + 4, 1, 9.9999996802856925e+37, 0.012780000455677509, + 5, 1, 9.9999996802856925e+37, 0 }; + + size_t dc_tou_rows = 5; + // Also test incomplete flat mat + ssc_number_t p_ur_dc_flat_mat[8] = { 0, 1, 9.9999999999999998e+37, 0, 1, 1, 9.9999996802856925e+37, 0 }; + + + /* + ssc_number_t p_ur_dc_flat_mat[48] = { 0, 1, 9.9999999999999998e+37, 0, + 1, 1, 9.9999999999999998e+37, 0, + 2, 1, 9.9999999999999998e+37, 0, + 3, 1, 9.9999999999999998e+37, 0, + 4, 1, 9.9999999999999998e+37, 0, + 5, 1, 9.9999999999999998e+37, 0, + 6, 1, 9.9999999999999998e+37, 0, + 7, 1, 9.9999999999999998e+37, 0, + 8, 1, 9.9999999999999998e+37, 0, + 9, 1, 9.9999999999999998e+37, 0, + 10, 1, 9.9999999999999998e+37, 0, + 11, 1, 9.9999999999999998e+37, 0 }; + */ + size_t dc_flat_rows = 2; + + rate_data data; + data.m_num_rec_yearly = 8760*4; + data.rate_scale = { 1 }; + data.init(8760*4); + data.setup_demand_charges(&p_ur_dc_sched_weekday[0], &p_ur_dc_sched_weekend[0], dc_tou_rows, &p_ur_dc_tou_mat[0], dc_flat_rows, &p_ur_dc_flat_mat[0]); + data.setup_energy_rates(&p_ur_ec_sched_weekday[0], &p_ur_ec_sched_weekend[0], tou_rows, &p_ur_ec_tou_mat[0], sell_eq_buy); + data.init_energy_rates_all_months(false); + data.init_dc_peak_vectors(2); + + // Peak period 1: 5 kW, peak period 2: 10 kW + std::vector day_one_power = { -1, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -9, -8, -7, -6, -5, -4, -3, -2, -1, -1, -1, -1, -1 }; + + ASSERT_EQ(24, day_one_power.size()); + int step = 1416 * 4; // 12 am March 1st + int month = 2; + for (int i = 0; i < day_one_power.size(); i++) + { + // Hourly, so power and energy are the same number + data.sort_energy_to_periods(month, day_one_power.at(i), step + i); + data.find_dc_tou_peak(month, day_one_power.at(i), step + i); + } + + // Only ran 6 hours of data, so expect different charges + ASSERT_NEAR(0.128, data.get_demand_charge(2, 0), 0.01); + + // Check whether or not it's safe to call this function twice on the same data + ASSERT_NEAR(0.128, data.get_demand_charge(2, 0), 0.01); +} From 1f31c1fe317b1c3b36e44138981ab0e8f6e821eb Mon Sep 17 00:00:00 2001 From: Brian Mirletz Date: Fri, 23 Feb 2024 16:11:53 -0700 Subject: [PATCH 40/79] Update debt fraction limits code to only lend when projects have a positive ebitda. If users want a negative DSCR, they can uncheck this box --- ssc/cmod_host_developer.cpp | 2 +- ssc/cmod_levpartflip.cpp | 2 +- ssc/cmod_merchantplant.cpp | 2 +- ssc/cmod_singleowner.cpp | 2 +- ssc/common.cpp | 2 +- 5 files changed, 5 insertions(+), 5 deletions(-) diff --git a/ssc/cmod_host_developer.cpp b/ssc/cmod_host_developer.cpp index 43c03e03d..da0741299 100644 --- a/ssc/cmod_host_developer.cpp +++ b/ssc/cmod_host_developer.cpp @@ -2196,7 +2196,7 @@ class cm_host_developer : public compute_module // recalculate debt size with constrained dscr size_of_debt = 0.0; for (i = 0; i <= nyears; i++) { - if (dscr != 0) + if (dscr > 0) cf.at(CF_debt_size, i) = cf.at(CF_pv_cash_for_ds, i) / dscr; else cf.at(CF_debt_size, i) = 0.0; // default behavior of initialization of cash flow line items diff --git a/ssc/cmod_levpartflip.cpp b/ssc/cmod_levpartflip.cpp index 0e0e13329..61ac7872d 100644 --- a/ssc/cmod_levpartflip.cpp +++ b/ssc/cmod_levpartflip.cpp @@ -2279,7 +2279,7 @@ class cm_levpartflip : public compute_module // recalculate debt size with constrained dscr size_of_debt = 0.0; for (i = 0; i <= nyears; i++) { - if (dscr != 0) + if (dscr > 0) cf.at(CF_debt_size, i) = cf.at(CF_pv_cash_for_ds, i) / dscr; else cf.at(CF_debt_size, i) = 0.0; // default behavior of initialization of cash flow line items diff --git a/ssc/cmod_merchantplant.cpp b/ssc/cmod_merchantplant.cpp index 7553cc05c..c1090bec7 100644 --- a/ssc/cmod_merchantplant.cpp +++ b/ssc/cmod_merchantplant.cpp @@ -2371,7 +2371,7 @@ class cm_merchantplant : public compute_module // recalculate debt size with constrained dscr size_of_debt = 0.0; for (i = 0; i <= nyears; i++) { - if (dscr != 0) + if (dscr > 0) cf.at(CF_debt_size, i) = cf.at(CF_pv_cash_for_ds, i) / dscr; else cf.at(CF_debt_size, i) = 0.0; // default behavior of initialization of cash flow line items diff --git a/ssc/cmod_singleowner.cpp b/ssc/cmod_singleowner.cpp index 8b5be505b..f59c6e23a 100644 --- a/ssc/cmod_singleowner.cpp +++ b/ssc/cmod_singleowner.cpp @@ -2411,7 +2411,7 @@ class cm_singleowner : public compute_module // recalculate debt size with constrained dscr size_of_debt = 0.0; for (i = 0; i <= nyears; i++) { - if (dscr != 0) + if (dscr > 0) cf.at(CF_debt_size, i) = cf.at(CF_pv_cash_for_ds, i) / dscr; else cf.at(CF_debt_size, i) = 0.0; // default behavior of initialization of cash flow line items diff --git a/ssc/common.cpp b/ssc/common.cpp index 87c1afb89..1c082a12c 100644 --- a/ssc/common.cpp +++ b/ssc/common.cpp @@ -490,7 +490,7 @@ var_info vtab_debt[] = { { SSC_INPUT, SSC_NUMBER, "term_int_rate", "Term financing interest rate", "%", "", "Financial Parameters", "?=8.5", "MIN=0,MAX=100", "" }, { SSC_INPUT, SSC_NUMBER, "dscr", "Debt service coverage ratio", "", "", "Financial Parameters", "?=1.5", "MIN=0", "" }, { SSC_INPUT, SSC_NUMBER, "dscr_limit_debt_fraction", "Limit debt fraction", "0/1", "", "Financial Parameters", "?=0", "BOOLEAN", "" }, -{ SSC_INPUT, SSC_NUMBER, "dscr_maximum_debt_fraction", "Maximum debt fraction", "%", "", "Financial Parameters", "?=100", "MIN=0", "" }, +{ SSC_INPUT, SSC_NUMBER, "dscr_maximum_debt_fraction", "Maximum debt fraction", "%", "", "Financial Parameters", "?=100", "POSITIVE", "" }, { SSC_INPUT, SSC_NUMBER, "dscr_reserve_months", "Debt service reserve account", "months P&I","", "Financial Parameters", "?=6", "MIN=0", "" }, /* Debt fraction input option */ { SSC_INPUT, SSC_NUMBER, "debt_percent", "Debt percent", "%", "", "Financial Parameters", "?=50", "MIN=0,MAX=100", "" }, From 7b01128df5b19cb074fae66730c072493135ea74 Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Mon, 26 Feb 2024 11:14:13 -0600 Subject: [PATCH 41/79] Fix string check in wave file reader, account for hourly data in wave module --- ssc/cmod_mhk_wave.cpp | 15 +++++++++++---- ssc/cmod_wavefile.cpp | 12 ++++++++---- 2 files changed, 19 insertions(+), 8 deletions(-) diff --git a/ssc/cmod_mhk_wave.cpp b/ssc/cmod_mhk_wave.cpp index 398340b23..e2d3667e3 100644 --- a/ssc/cmod_mhk_wave.cpp +++ b/ssc/cmod_mhk_wave.cpp @@ -481,6 +481,7 @@ class cm_mhk_wave : public compute_module std::vector day; std::vector hour; std::vector minute; + bool is_annual = true; if (is_assigned("significant_wave_height") && is_assigned("energy_period")) { //Check if wave height and period variables are assigned //number_records = as_integer("number_records"); @@ -488,7 +489,8 @@ class cm_mhk_wave : public compute_module wave_height_input = as_vector_double("significant_wave_height"); wave_period_input = as_vector_double("energy_period"); number_records = wave_height_input.size(); - number_hours = number_records * 3; + number_hours = 8760; //always a full year of data for UI calls + if (fmod(number_records, 2920) != 0) is_annual = false; year = as_vector_integer("year"); month = as_vector_integer("month"); day = as_vector_integer("day"); @@ -498,7 +500,8 @@ class cm_mhk_wave : public compute_module } else if (!is_assigned("significant_wave_height") && !is_assigned("energy_period") && is_assigned("wave_resource_data")) { //Check if height and period variables are assigned in wave resource table data number_records = wave_dp->num_records(); - number_hours = number_records * 3; //always 3 hour data from wave api calls + number_hours = 8760; //always 3 hour data from wave api calls + if (fmod(number_records, 2920) != 0) is_annual = false; wave_height_input = wave_dp->wave_heights(); if (wave_height_input.empty()) { throw exec_error("mhk_wave", wave_dp->error()); @@ -581,7 +584,7 @@ class cm_mhk_wave : public compute_module sys_degradation.push_back(1); // single year mode - degradation handled in financial models. } ssc_number_t* energy_hourly_kWh = allocate("energy_hourly_kWh", number_records_gen); - ssc_number_t* energy_hourly_kW = allocate("energy_hourly_kW", number_records_gen * 3); //8760 of kW values + ssc_number_t* energy_hourly_kW = allocate("energy_hourly_kW", 8760); //8760 of kW values ssc_number_t* energy_hourly_gen = allocate("gen", number_records_gen); ssc_number_t* sig_wave_height_index_mat = allocate("sig_wave_height_index_mat", number_records); ssc_number_t* sig_wave_height_data = allocate("sig_wave_height_data", number_records); @@ -601,7 +604,11 @@ class cm_mhk_wave : public compute_module days_in_month = { 31, 60, 91, 121, 152, 182, 213, 244, 274, 305, 335, 366 }; days_in_year = 367; } - size_t hour_step = number_hours / number_records; + size_t hour_step = 1.0; + if (is_annual) { + size_t hour_step = number_hours / number_records; + } + ssc_number_t sig_wave_height_index = 0; ssc_number_t energy_period_index = 0; diff --git a/ssc/cmod_wavefile.cpp b/ssc/cmod_wavefile.cpp index e57e92d56..5720715f6 100644 --- a/ssc/cmod_wavefile.cpp +++ b/ssc/cmod_wavefile.cpp @@ -56,8 +56,8 @@ static var_info _cm_wave_file_reader[] = { { SSC_OUTPUT, SSC_NUMBER, "tz", "Time zone", "", "", "Weather Reader", "", "", "" }, { SSC_OUTPUT, SSC_STRING, "data_source", "Data source", "", "", "Weather Reader", "", "", "" }, { SSC_OUTPUT, SSC_STRING, "notes", "Notes", "", "", "Weather Reader", "", "", "" }, - { SSC_OUTPUT, SSC_NUMBER, "location_id", "Location ID", "", "", "Weather Reader", "wave_resource_model_choice=1", "", "" }, - { SSC_OUTPUT, SSC_STRING, "location_name", "Location", "", "", "Weather Reader", "wave_resource_model_choice=1", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "location_id", "Location ID", "", "", "Weather Reader", "", "", "" }, + { SSC_OUTPUT, SSC_STRING, "location_name", "Location", "", "", "Weather Reader", "", "", "" }, { SSC_OUTPUT, SSC_NUMBER, "distance_to_shore_file", "Distance to shore", "m", "", "Weather Reader", "?", "", "" }, { SSC_OUTPUT, SSC_NUMBER, "water_depth_file", "Water depth", "m", "", "Weather Reader", "?", "", "" }, @@ -227,9 +227,13 @@ class cm_wave_file_reader : public compute_module { assign("water_depth_file", var_data(std::stod(value))); } - else if (name == "id" || name == "location" || name == "location id" || name == "station" || name == "station id" || name == "wban" || name == "wban#" || name == "site") + else if (name == "id" || name == "jurisdiction" || name == "station id" || name == "wban" || name == "wban#" || name == "site") { - assign("location_id", var_data(value)); + assign("location_name", var_data(value)); + } + else if (name == "location" || name == "location id") + { + assign("location_id", var_data(std::stod(value))); } else if (name == "source" || name == "src" || name == "data source") From 956129965b056ce007e3d4df67e1b29e9e670c9a Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Mon, 26 Feb 2024 13:35:50 -0600 Subject: [PATCH 42/79] Fix custom rotation angle call, update tests --- ssc/cmod_pvsamv1.cpp | 2 +- test/ssc_test/cmod_pvsamv1_test.cpp | 6 +++--- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index 19897a289..747a30759 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -1536,7 +1536,7 @@ void cm_pvsamv1::exec() Irradiance->dtHour, Subarrays[nn]->tiltDegrees, Subarrays[nn]->azimuthDegrees, Subarrays[nn]->trackerRotationLimitDegrees, 0.0, Subarrays[nn]->groundCoverageRatio, Subarrays[nn]->slopeTilt, Subarrays[nn]->slopeAzm, Subarrays[nn]->monthlyTiltDegrees, Irradiance->userSpecifiedMonthlyAlbedo, Subarrays[nn]->poa.poaAll.get(), - Irradiance->useSpatialAlbedos, &Irradiance->userSpecifiedMonthlySpatialAlbedos, (as_boolean("enable_subhourly_clipping") || as_boolean("enable_subinterval_distribution"))); + Irradiance->useSpatialAlbedos, &Irradiance->userSpecifiedMonthlySpatialAlbedos, (as_boolean("enable_subhourly_clipping") || as_boolean("enable_subinterval_distribution")), Subarrays[nn]->useCustomRotAngles, custom_rot); int code = irr.calc(); diff --git a/test/ssc_test/cmod_pvsamv1_test.cpp b/test/ssc_test/cmod_pvsamv1_test.cpp index 11c64db9b..7df079579 100644 --- a/test/ssc_test/cmod_pvsamv1_test.cpp +++ b/test/ssc_test/cmod_pvsamv1_test.cpp @@ -1142,8 +1142,8 @@ TEST_F(CMPvsamv1PowerIntegration_cmod_pvsamv1, UseCustomAngles) { EXPECT_FALSE(pvsam_errors); ssc_number_t annualEnergy; int n1; - ssc_number_t* subarray1_cell_temp = ssc_data_get_array(data, "subarray1_axisrot", &n1); - EXPECT_NEAR(subarray1_cell_temp[11], -24.8588, 0.001); + ssc_number_t* subarray1_axis_rot = ssc_data_get_array(data, "subarray1_axisrot", &n1); + EXPECT_NEAR(subarray1_axis_rot[11], -24.8588, 0.001); ssc_data_get_number(data, "annual_energy", &annualEnergy); EXPECT_NEAR(annualEnergy, 11516, 1.0); @@ -1168,7 +1168,7 @@ TEST_F(CMPvsamv1PowerIntegration_cmod_pvsamv1, DistributionClippingMethod) { //check answers for subhourly clipping annual loss ssc_number_t distribution_clipping_loss; ssc_data_get_number(data, "annual_distribution_clipping_loss", &distribution_clipping_loss); - EXPECT_NEAR(distribution_clipping_loss, 327.222952, m_error_tolerance_lo); + EXPECT_NEAR(distribution_clipping_loss, 137.421662, m_error_tolerance_lo); } /// Test PVSAMv1 with all defaults and no-financial model- look at MPPT input 1 voltage at night From d04704680cbc4dd6bae7761030591477f888c837 Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Mon, 26 Feb 2024 14:48:27 -0600 Subject: [PATCH 43/79] Fix annual simulation check for wave module --- ssc/cmod_mhk_wave.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/ssc/cmod_mhk_wave.cpp b/ssc/cmod_mhk_wave.cpp index e2d3667e3..625f2d92a 100644 --- a/ssc/cmod_mhk_wave.cpp +++ b/ssc/cmod_mhk_wave.cpp @@ -606,7 +606,7 @@ class cm_mhk_wave : public compute_module } size_t hour_step = 1.0; if (is_annual) { - size_t hour_step = number_hours / number_records; + hour_step = number_hours / number_records; } ssc_number_t sig_wave_height_index = 0; From 163c2730e5657e1f3c6c8dfde036243f742cb852 Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Mon, 26 Feb 2024 14:54:23 -0600 Subject: [PATCH 44/79] Fix checks for annual simulation in wave --- ssc/cmod_mhk_wave.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/ssc/cmod_mhk_wave.cpp b/ssc/cmod_mhk_wave.cpp index 625f2d92a..165ff5c4d 100644 --- a/ssc/cmod_mhk_wave.cpp +++ b/ssc/cmod_mhk_wave.cpp @@ -896,6 +896,7 @@ class cm_mhk_wave : public compute_module //capacity_factor = annual_energy / (device_rated_capacity * number_devices * number_hours); //Assigning values to outputs: + if (is_annual) assign("annual_energy", var_data((ssc_number_t)annual_energy)); assign("average_power", var_data((ssc_number_t)device_average_power)); assign("capacity_factor", var_data((ssc_number_t)capacity_factor * 100)); From e5c0c9c5a21603d508a1937f0449772566f2f6e8 Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Mon, 26 Feb 2024 14:57:50 -0600 Subject: [PATCH 45/79] Clean up kWh outputs for wave module with annual simulation check --- ssc/cmod_mhk_wave.cpp | 11 ++++++----- 1 file changed, 6 insertions(+), 5 deletions(-) diff --git a/ssc/cmod_mhk_wave.cpp b/ssc/cmod_mhk_wave.cpp index 165ff5c4d..0a0ec0aae 100644 --- a/ssc/cmod_mhk_wave.cpp +++ b/ssc/cmod_mhk_wave.cpp @@ -83,7 +83,7 @@ static var_info _cm_vtab_mhk_wave[] = { { SSC_OUTPUT, SSC_NUMBER, "device_average_power", "Average power production of a single device", "kW", "", "MHKWave", "*", "", "" }, - { SSC_OUTPUT, SSC_NUMBER, "annual_energy", "Annual energy production of array", "kWh", "", "MHKWave", "*", "", "" }, + { SSC_OUTPUT, SSC_NUMBER, "annual_energy", "Annual energy production of array", "kWh", "", "MHKWave", "", "", "" }, { SSC_OUTPUT, SSC_ARRAY, "energy_hourly_kWh", "Energy production of array", "kWh", "", "Time Series", "wave_resource_model_choice=1", "", "" }, { SSC_OUTPUT, SSC_ARRAY, "energy_hourly_kW", "Power output of array", "kW", "", "Time Series", "wave_resource_model_choice=1", "", "" }, @@ -470,7 +470,7 @@ class cm_mhk_wave : public compute_module } - + bool is_annual = true; if (wave_resource_model_choice==1) { //Time series wave resource option size_t number_records = 2920;//Initialize number of records to 2920 (3 hour annual dataset) size_t number_hours = 8760; //Initialize number of hours to 8760 (hours in annual dataset) @@ -481,7 +481,7 @@ class cm_mhk_wave : public compute_module std::vector day; std::vector hour; std::vector minute; - bool is_annual = true; + if (is_assigned("significant_wave_height") && is_assigned("energy_period")) { //Check if wave height and period variables are assigned //number_records = as_integer("number_records"); @@ -896,8 +896,9 @@ class cm_mhk_wave : public compute_module //capacity_factor = annual_energy / (device_rated_capacity * number_devices * number_hours); //Assigning values to outputs: - if (is_annual) - assign("annual_energy", var_data((ssc_number_t)annual_energy)); + if (is_annual) { + assign("annual_energy", var_data((ssc_number_t)annual_energy)); + } assign("average_power", var_data((ssc_number_t)device_average_power)); assign("capacity_factor", var_data((ssc_number_t)capacity_factor * 100)); assign("device_average_power", var_data((ssc_number_t)device_average_power)); From a395353d151eadca15f794c0bf85696e8f6d49a9 Mon Sep 17 00:00:00 2001 From: Darice Date: Tue, 27 Feb 2024 15:39:25 -0700 Subject: [PATCH 46/79] add HybridCosts to interface --- ssc/cmod_hybrid.cpp | 19 +- ssc/cmod_singleowner.cpp | 1 + ssc/common.cpp | 93 +- ssc/common.h | 2 +- ssc/core.cpp | 9 + ssc/core.h | 2 +- ssc/sscapi.cpp | 72 +- test/CMakeLists.txt | 2 +- ...atts Wind Battery Hybrid_Single Owner.json | 1617 ++--------------- test/ssc_test/cmod_hybrid_test.cpp | 22 +- 10 files changed, 344 insertions(+), 1495 deletions(-) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index 97e6bac13..cd40bc265 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -54,15 +54,14 @@ class cm_hybrid : public compute_module void ssc_module_exec_with_error(ssc_module_t module, var_table& input, std::string compute_module) { if (!ssc_module_exec(module, static_cast(&input))) { - std::string str = std::string(compute_module) + " execution error.\n"; + std::string str = std::string(compute_module) + " execution error. "; int idx = 0; int type = -1; while (const char* msg = ssc_module_log(module, idx++, &type, nullptr)) { if (/*/(type == SSC_NOTICE) || */(type == SSC_WARNING) || (type == SSC_ERROR)) { - str += "\t"; str += std::string(msg); - str += "\n\n"; + str += "\t"; } } ssc_module_free(module); @@ -123,7 +122,7 @@ class cm_hybrid : public compute_module bool ts_adj = false; // keep track of whether time step is adjusted for log messages // get financial inputs common to all technologies and copy into each tech's input tables - var_data* financial_compute_modules = input_table->table.lookup("Hybrid"); + var_data* financial_compute_modules = input_table->table.lookup("hybrid"); int analysisPeriod = (int)financial_compute_modules->table.lookup("analysis_period")->num; ssc_number_t inflation_rate = financial_compute_modules->table.lookup("inflation_rate")->num * 0.01; ssc_number_t sales_tax_rate = financial_compute_modules->table.lookup("sales_tax_rate")->num * 0.01; @@ -240,7 +239,7 @@ class cm_hybrid : public compute_module escal_or_annual(input, pOMLandLease, analysisPeriod, "om_land_lease", inflation_rate, total_land_area, false, input.as_double("om_land_lease_escal") * 0.01); } // optional fossil fuel costs - if (compute_module_inputs->table.lookup("om_fuel_cost")) { + if (compute_module_inputs->table.lookup("system_heat_rate")) { ssc_number_t* pOMFuelCost = ((var_table*)compute_module_outputs)->allocate("cf_om_fuel_cost", analysisPeriod + 1); ssc_number_t system_heat_rate = compute_module_inputs->table.lookup("system_heat_rate")->num; ssc_number_t year1_fuel_use = ((var_table*)compute_module_outputs)->as_double("annual_fuel_usage"); // kWht @@ -315,7 +314,7 @@ class cm_hybrid : public compute_module ssc_module_t module = ssc_module_create(compute_module.c_str()); class compute_module* cmod = static_cast(module); - cmod->add_var_info(vtab_hybrid_tech_om_inputs); + ssc_module_hybridize(module); ssc_number_t system_capacity = compute_module_inputs->table.lookup("fuelcell_unit_max_power")->num; system_capacity *= compute_module_inputs->table.lookup("fuelcell_number_of_units")->num; @@ -430,15 +429,23 @@ class cm_hybrid : public compute_module hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; // copy over required dispatch variables from hybrid + if (financial_compute_modules->table.is_assigned("dispatch_sched_weekday")) compute_module_inputs->table.assign("dispatch_sched_weekday", *financial_compute_modules->table.lookup("dispatch_sched_weekday")); + if (financial_compute_modules->table.is_assigned("dispatch_sched_weekday")) compute_module_inputs->table.assign("dispatch_sched_weekend", *financial_compute_modules->table.lookup("dispatch_sched_weekend")); + if (financial_compute_modules->table.is_assigned("dispatch_tod_factors")) compute_module_inputs->table.assign("dispatch_tod_factors", *financial_compute_modules->table.lookup("dispatch_tod_factors")); + if (financial_compute_modules->table.is_assigned("grid_interconnection_limit_kwac")) compute_module_inputs->table.assign("grid_interconnection_limit_kwac", *financial_compute_modules->table.lookup("grid_interconnection_limit_kwac")); + if (financial_compute_modules->table.is_assigned("ppa_escalation")) compute_module_inputs->table.assign("ppa_escalation", *financial_compute_modules->table.lookup("ppa_escalation")); + if (financial_compute_modules->table.is_assigned("ppa_multiplier_model")) compute_module_inputs->table.assign("ppa_multiplier_model", *financial_compute_modules->table.lookup("ppa_multiplier_model")); + if (financial_compute_modules->table.is_assigned("ppa_price_input")) compute_module_inputs->table.assign("ppa_price_input", *financial_compute_modules->table.lookup("ppa_price_input")); ssc_module_t module = ssc_module_create(compute_module.c_str()); + ssc_module_hybridize(module); var_table& input = compute_module_inputs->table; diff --git a/ssc/cmod_singleowner.cpp b/ssc/cmod_singleowner.cpp index 8b5be505b..6fe75ea60 100644 --- a/ssc/cmod_singleowner.cpp +++ b/ssc/cmod_singleowner.cpp @@ -923,6 +923,7 @@ class cm_singleowner : public compute_module add_var_info(vtab_lcos_inputs); add_var_info(vtab_update_tech_outputs); add_var_info(vtab_tod_dispatch_periods); + add_var_info(vtab_utility_rate_common); add_var_info(vtab_hybrid_fin_om); add_var_info(vtab_update_tech_outputs); } diff --git a/ssc/common.cpp b/ssc/common.cpp index 251cdaab0..cf9d7b99c 100644 --- a/ssc/common.cpp +++ b/ssc/common.cpp @@ -99,48 +99,49 @@ var_info vtab_standard_loan[] = { { SSC_INPUT,SSC_NUMBER , "debt_fraction" , "Debt percentage" , "%" , "" , "Financial Parameters" , "?=0" , "MIN=0,MAX=100" , ""}, var_info_invalid }; +// meta should be either a blocklist (!gen,!gen) or an allowlist. Cannot do both blocked and allowed gens var_info vtab_oandm[] = { /* VARTYPE DATATYPE NAME LABEL UNITS META GROUP REQUIRED_IF CONSTRAINTS UI_HINTS*/ -{ SSC_INPUT, SSC_ARRAY, "om_fixed", "Fixed O&M annual amount", "$/year", "", "System Costs", "?=0.0", "", "" }, +{ SSC_INPUT, SSC_ARRAY, "om_fixed", "Fixed O&M annual amount", "$/year", "!battery,!fuelcell", "System Costs", "?=0.0", "", "" }, { SSC_INPUT, SSC_NUMBER, "om_fixed_escal", "Fixed O&M escalation", "%/year", "", "System Costs", "?=0.0", "", "" }, -{ SSC_INPUT, SSC_ARRAY, "om_production", "Production-based O&M amount", "$/MWh", "", "System Costs", "?=0.0", "", "" }, +{ SSC_INPUT, SSC_ARRAY, "om_production", "Production-based O&M amount", "$/MWh", "!battery,!fuelcell", "System Costs", "?=0.0", "", "" }, { SSC_INPUT, SSC_NUMBER, "om_production_escal", "Production-based O&M escalation", "%/year", "", "System Costs", "?=0.0", "", "" }, -{ SSC_INPUT, SSC_ARRAY, "om_capacity", "Capacity-based O&M amount", "$/kWcap", "", "System Costs", "?=0.0", "", "" }, +{ SSC_INPUT, SSC_ARRAY, "om_capacity", "Capacity-based O&M amount", "$/kWcap", "!battery,!fuelcell", "System Costs", "?=0.0", "", "" }, { SSC_INPUT, SSC_NUMBER, "om_capacity_escal", "Capacity-based O&M escalation", "%/year", "", "System Costs", "?=0.0", "", "" }, -{ SSC_INPUT, SSC_ARRAY, "om_fuel_cost", "Fuel cost", "$/MMBtu", "", "System Costs", "?=0.0", "", "" }, -{ SSC_INPUT, SSC_NUMBER, "om_fuel_cost_escal", "Fuel cost escalation", "%/year", "", "System Costs", "?=0.0", "", "" }, -{ SSC_INPUT, SSC_NUMBER, "annual_fuel_usage", "Fuel usage (yr 1)", "kWht", "", "System Costs", "?=0", "MIN=0", "" }, -{ SSC_INPUT, SSC_ARRAY, "annual_fuel_usage_lifetime", "Fuel usage (lifetime)", "kWht", "", "System Costs", "", "", "" }, +{ SSC_INPUT, SSC_ARRAY, "om_fuel_cost", "Fuel cost", "$/MMBtu", "generic_system,fuelcell,tcslinearfresnel,tcstroughempirical,tcsgenericsolar,fresnelphysical", "System Costs", "?=0.0", "", "" }, +{ SSC_INPUT, SSC_NUMBER, "om_fuel_cost_escal", "Fuel cost escalation", "%/year", "generic_system,fuelcell,tcslinearfresnel,tcstroughempirical,tcsgenericsolar,fresnelphysical", "System Costs", "?=0.0", "", "" }, +{ SSC_INPUT, SSC_NUMBER, "annual_fuel_usage", "Fuel usage (yr 1)", "kWht", "generic_system,fuelcell,tcslinearfresnel,tcstroughempirical,tcsgenericsolar,fresnelphysical", "System Costs", "?=0", "MIN=0", "" }, +{ SSC_INPUT, SSC_ARRAY, "annual_fuel_usage_lifetime", "Fuel usage (lifetime)", "kWht", "generic_system,fuelcell,tcslinearfresnel,tcstroughempirical,tcsgenericsolar,fresnelphysical", "System Costs", "", "", "" }, // replacements -{ SSC_INPUT,SSC_ARRAY , "om_batt_replacement_cost" , "Replacement cost 1" , "$/kWh" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_replacement_cost" , "Replacement cost 2" , "$/kW" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_NUMBER , "om_replacement_cost_escal" , "Replacement cost escalation" , "%/year" , "" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_batt_replacement_cost" , "Replacement cost 1" , "$/kWh" , "battery" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_replacement_cost" , "Replacement cost 2" , "$/kW", "fuelcell" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_NUMBER , "om_replacement_cost_escal" , "Replacement cost escalation" , "%/year", "battery,fuelcell" , "System Costs" , "?=0.0" , "" , ""}, // optional fuel o and m for Biopower - usage can be in any unit and cost is in $ per usage unit -{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_1_usage" , "Biomass feedstock usage" , "unit" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_opt_fuel_1_cost" , "Biomass feedstock cost" , "$/unit" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_1_cost_escal" , "Biomass feedstock cost escalation" , "%/year" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_2_usage" , "Coal feedstock usage" , "unit" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_opt_fuel_2_cost" , "Coal feedstock cost" , "$/unit" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_2_cost_escal" , "Coal feedstock cost escalation" , "%/year" , "" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_1_usage" , "Biomass feedstock usage" , "unit" , "biomass" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_opt_fuel_1_cost" , "Biomass feedstock cost" , "$/unit" , "biomass" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_1_cost_escal" , "Biomass feedstock cost escalation" , "%/year" , "biomass" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_2_usage" , "Coal feedstock usage" , "unit" , "biomass" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_opt_fuel_2_cost" , "Coal feedstock cost" , "$/unit" , "biomass" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_NUMBER , "om_opt_fuel_2_cost_escal" , "Coal feedstock cost escalation" , "%/year" , "biomass" , "System Costs" , "?=0.0" , "" , ""}, // optional additional base o and m types -{ SSC_INPUT,SSC_NUMBER , "add_om_num_types" , "Number of O and M types" , "" , "" , "System Costs" , "?=0" , "INTEGER,MIN=0,MAX=2" , ""}, -{ SSC_INPUT,SSC_NUMBER , "om_batt_nameplate" , "Battery capacity for System Costs values" , "kW" , "" , "System Costs" , "?=0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_production1_values" , "Battery production for System Costs values" , "kWh" , "" , "System Costs" , "?=0" , "" , ""}, +{ SSC_INPUT,SSC_NUMBER , "add_om_num_types" , "Number of O and M types" , "" , "battery,fuelcell" , "System Costs" , "?=0" , "INTEGER,MIN=0,MAX=2" , ""}, +{ SSC_INPUT,SSC_NUMBER , "om_batt_nameplate" , "Battery capacity for System Costs values" , "kW", "battery" , "System Costs" , "?=0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_production1_values" , "Battery production for System Costs values" , "kWh", "battery" , "System Costs" , "?=0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_batt_fixed_cost" , "Battery fixed System Costs annual amount" , "$/year" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_batt_variable_cost" , "Battery production-based System Costs amount" , "$/MWh" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_batt_capacity_cost" , "Battery capacity-based System Costs amount" , "$/kWcap" , "" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_batt_fixed_cost" , "Battery fixed System Costs annual amount" , "$/year", "battery", "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_batt_variable_cost" , "Battery production-based System Costs amount" , "$/MWh", "battery" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_batt_capacity_cost" , "Battery capacity-based System Costs amount" , "$/kWcap", "battery" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_NUMBER , "om_fuelcell_nameplate" , "Fuel cell capacity for System Costs values" , "kW" , "" , "System Costs" , "?=0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_production2_values" , "Fuel cell production for System Costs values" , "kWh" , "" , "System Costs" , "?=0" , "" , ""}, +{ SSC_INPUT,SSC_NUMBER , "om_fuelcell_nameplate" , "Fuel cell capacity for System Costs values" , "kW", "fuelcell" , "System Costs" , "?=0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_production2_values" , "Fuel cell production for System Costs values" , "kWh", "fuelcell" , "System Costs" , "?=0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_fixed_cost" , "Fuel cell fixed System Costs annual amount" , "$/year" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_variable_cost" , "Fuel cell production-based System Costs amount" , "$/MWh" , "" , "System Costs" , "?=0.0" , "" , ""}, -{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_capacity_cost" , "Fuel cell capacity-based System Costs amount" , "$/kWcap" , "" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_fixed_cost" , "Fuel cell fixed System Costs annual amount" , "$/year", "fuelcell" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_variable_cost" , "Fuel cell production-based System Costs amount" , "$/MWh", "fuelcell" , "System Costs" , "?=0.0" , "" , ""}, +{ SSC_INPUT,SSC_ARRAY , "om_fuelcell_capacity_cost" , "Fuel cell capacity-based System Costs amount" , "$/kWcap", "fuelcell" , "System Costs" , "?=0.0" , "" , ""}, // optional land lease { SSC_INPUT, SSC_NUMBER, "land_area", "Total land area", "acres", "", "Land Lease", "?=0", "", "" }, @@ -961,35 +962,27 @@ void calculate_resilience_outputs(compute_module *cm, std::unique_ptrassign("avg_critical_load", resilience->get_avg_crit_load_kwh()); } -// for financial inputs required for each technology in cmod_hybrid -var_info vtab_hybrid_tech_om_inputs[] = { +// financial inputs required for technologies in cmod_hybrid, array is populated in ssc_module_hybridize +var_info vtab_oandm_hybrid[sizeof(vtab_oandm) / sizeof(var_info)] = {var_info_invalid}; + +var_info vtab_hybrid_tech_inputs[] = { /* VARTYPE DATATYPE NAME LABEL UNITS META GROUP REQUIRED_IF CONSTRAINTS UI_HINTS*/ - { SSC_INPUT, SSC_NUMBER, "total_installed_cost", "Total installed cost", "$", "", "HybridTech", "*", "", "" }, - { SSC_INPUT, SSC_ARRAY, "om_fixed", "Fixed O&M annual amount", "$/year", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_NUMBER, "om_fixed_escal", "Fixed O&M escalation", "%/year", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_ARRAY, "om_production", "Production-based O&M amount", "$/MWh", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_NUMBER, "om_production_escal", "Production-based O&M escalation", "%/year", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_ARRAY, "om_capacity", "Capacity-based O&M amount", "$/kWcap", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_NUMBER, "om_capacity_escal", "Capacity-based O&M escalation", "%/year", "", "HybridTech", "na:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_ARRAY, "om_fuelcell_fixed_cost", "Fuel cell fixed O&M annual amount", "$/year", "" , "HybridTech", "abt:fuelcell_unit_max_power", "", ""}, - { SSC_INPUT, SSC_ARRAY, "om_fuelcell_variable_cost", "Fuel cell production-based O&M amount", "$/MWh", "" , "HybridTech", "abt:fuelcell_unit_max_power", "", ""}, - { SSC_INPUT, SSC_ARRAY, "om_fuelcell_capacity_cost", "Fuel cell capacity-based O&M amount", "$/kWcap", "" , "HybridTech", "abt:fuelcell_unit_max_power", "", ""}, - { SSC_INPUT, SSC_ARRAY, "om_fuel_cost", "Fuel cost", "$/MMBtu", "", "HybridTech", "abt:fuelcell_unit_max_power", "", "" }, - { SSC_INPUT, SSC_ARRAY, "degradation", "Annual AC degradation", "%", "", "HybridTech", "?=0", "", "" }, + { SSC_INPUT, SSC_NUMBER, "total_installed_cost", "Total installed cost", "$", "", "HybridCosts", "*", "", "" }, + { SSC_INPUT, SSC_ARRAY, "degradation", "Annual AC degradation", "%", "", "HybridCosts", "?=0.0", "", "" }, var_info_invalid }; // for o and m cost outputs calculated in cmod_hybrid var_info vtab_hybrid_tech_om_outputs[] = { /* VARTYPE DATATYPE NAME LABEL UNITS META GROUP REQUIRED_IF CONSTRAINTS UI_HINTS*/ -// { SSC_INPUT, SSC_NUMBER, "is_hybrid", "hybrid configuration", "0/1", "0=singletech,1=hybrid", "HybridTech", "?=0", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_om_production", "production O&M costs", "$", "", "HybridTech", "", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_om_capacity", "capacity O&M costs", "$", "", "HybridTech", "", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_om_fixed", "fixed O&M costs", "$", "", "HybridTech", "", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_om_land_lease", "land lease O&M costs", "$", "", "HybridTech", "", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_om_fuel_cost", "fossil fuel O&M costs", "$", "", "HybridTech", "", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_battery_replacement_cost_schedule", "replacement O&M costs", "$", "", "HybridTech", "", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_fuelcell_replacement_cost_schedule", "replacement O&M costs", "$", "", "HybridTech", "", "", "" }, - { SSC_OUTPUT, SSC_ARRAY, "cf_energy_net", "annual energy", "kWh", "", "HybridTech", "", "", "" }, +// { SSC_INPUT, SSC_NUMBER, "is_hybrid", "hybrid configuration", "0/1", "0=singletech,1=hybrid", "HybridCosts", "?=0", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_om_production", "production O&M costs", "$", "", "HybridCosts", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_om_capacity", "capacity O&M costs", "$", "", "HybridCosts", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_om_fixed", "fixed O&M costs", "$", "", "HybridCosts", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_om_land_lease", "land lease O&M costs", "$", "", "HybridCosts", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_om_fuel_cost", "fossil fuel O&M costs", "$", "", "HybridCosts", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_battery_replacement_cost_schedule", "replacement O&M costs", "$", "", "HybridCosts", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_fuelcell_replacement_cost_schedule", "replacement O&M costs", "$", "", "HybridCosts", "", "", "" }, + { SSC_OUTPUT, SSC_ARRAY, "cf_energy_net", "annual energy", "kWh", "", "HybridCosts", "", "", "" }, var_info_invalid }; // for o and m cost outputs calculated in cmod_hybrid and added to operating expenses diff --git a/ssc/common.h b/ssc/common.h index 642c9573e..750a1ca8e 100644 --- a/ssc/common.h +++ b/ssc/common.h @@ -67,7 +67,7 @@ extern var_info vtab_resilience_outputs[]; extern var_info vtab_utility_rate_common[]; // hybrids -extern var_info vtab_hybrid_tech_om_inputs[]; +extern var_info vtab_hybrid_tech_inputs[]; extern var_info vtab_hybrid_tech_om_outputs[]; extern var_info vtab_hybrid_fin_om[]; diff --git a/ssc/core.cpp b/ssc/core.cpp index 3a38a89d7..7e883da2b 100644 --- a/ssc/core.cpp +++ b/ssc/core.cpp @@ -335,6 +335,15 @@ void compute_module::add_var_info(var_info vi[]) { } } +void compute_module::add_var_info(var_info* vi[]) { + int i = 0; + while (vi[i] != NULL && vi[i]->data_type != SSC_INVALID + && vi[i]->name != NULL ) { + m_varlist.push_back(vi[i]); + i++; + } +} + void compute_module::remove_var_info(var_info vi[]) { int i = 0; while (vi[i].data_type != SSC_INVALID diff --git a/ssc/core.h b/ssc/core.h index b957b40a5..66be4c0fd 100644 --- a/ssc/core.h +++ b/ssc/core.h @@ -208,7 +208,7 @@ class compute_module virtual bool on_extproc_output( const std::string & ) { return false; } void add_var_info(var_info vi[]); - + void add_var_info(var_info* vi[]); protected: diff --git a/ssc/sscapi.cpp b/ssc/sscapi.cpp index ad775f40a..a89589c9e 100644 --- a/ssc/sscapi.cpp +++ b/ssc/sscapi.cpp @@ -267,7 +267,9 @@ static module_entry_info *module_table[] = { &cm_entry_hybrid, 0 }; -extern var_info vtab_hybrid_tech_om_inputs[]; +extern var_info vtab_oandm[]; +extern var_info vtab_hybrid_tech_inputs[]; +extern var_info vtab_oandm_hybrid[]; SSCEXPORT ssc_module_t ssc_module_create( const char *name ) @@ -1309,7 +1311,73 @@ SSCEXPORT ssc_bool_t ssc_module_hybridize(ssc_module_t p_mod) if (!p_mod) return 0; - cmod->add_var_info(vtab_hybrid_tech_om_inputs); + if (vtab_oandm_hybrid[0].var_type == SSC_INVALID){ + memcpy(&vtab_oandm_hybrid, &vtab_oandm, 35 * sizeof(var_info)); + + for (size_t i=0; i < 35; i++){ + if (vtab_oandm_hybrid[i].var_type != 0) + vtab_oandm_hybrid[i].group = "HybridCosts"; + } + } + + // copy only the subset for the technology + std::string cmod_name = cmod->get_name(); + var_info* vtab_oandm_hybrid_tech[35]; + for (size_t i=0; i<35; i++) + vtab_oandm_hybrid_tech[i] = nullptr; + + size_t copy_counter = 0; + for (size_t i=0; i<35; i++){ + if (vtab_oandm_hybrid[i].var_type == SSC_INVALID) + break; + + std::string meta = std::string(vtab_oandm_hybrid[i].meta); + if (!meta.size()){ + // if no meta description on variable, apply it to all technologies + vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; + copy_counter++; + continue; + } + + size_t pos = 0; + std::vector tokens; + while ((pos = meta.find(',')) != std::string::npos){ + std::string token = meta.substr(0, pos); + tokens.push_back(token); + meta.erase(0, pos + 1); + } + if (meta.size()) + tokens.push_back(meta); + + if (tokens[0][0] == '!'){ + // apply blocklist + bool restricted = false; + for (std::string token:tokens){ + if (cmod_name == token.substr(1)){ + restricted = true; + break; + } + } + if (!restricted) { + vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; + copy_counter++; + continue; + } + } + else { + // apply allowlist + for (std::string token:tokens){ + if (cmod_name == token){ + vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; + copy_counter++; + break; + } + } + } + } + + cmod->add_var_info(vtab_oandm_hybrid_tech); + cmod->add_var_info(vtab_hybrid_tech_inputs); return 1; } diff --git a/test/CMakeLists.txt b/test/CMakeLists.txt index 7a854f87a..1b758c947 100644 --- a/test/CMakeLists.txt +++ b/test/CMakeLists.txt @@ -77,7 +77,7 @@ endif() if (CMAKE_BUILD_TYPE STREQUAL "Debug" OR MSVC) find_library( GTESTD_LIB - NAMES libgtestd.a gtest.lib libgtestd.so + NAMES libgtest.a gtest.lib libgtest.so PATHS $ENV{GTEST_DIR} ${GTDIR}/build/lib ${GTDIR}/build/lib/Debug) target_link_libraries(Test debug ${GTESTD_LIB}) endif() diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json index 78f369a23..0789c8d4c 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json @@ -1,28 +1,14 @@ { "input": { - "compute_modules" : ["pvwattsv8", "windpower", "battery", "grid", "utilityrate5", "singleowner"], + "compute_modules": [ + "pvwattsv8", + "windpower", + "battery", + "grid", + "utilityrate5", + "singleowner" + ], "pvwattsv8": { - "ac_nameplate": 13.6364, - "adjust": 0.0, - "adjust_constant": 0.0, - "adjust_en_periods": 0.0, - "adjust_en_timeindex": 0.0, - "adjust_periods": [ - [ - 0.0, - 0.0, - 0.0 - ] - ], - "adjust_timeindex": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], "albedo": [ 0.2, 0.2, @@ -38,133 +24,19 @@ 0.2 ], "analysis_period": 30.0, - "annual_albedo": 0.183951, - "annual_beam": 7.33564, - "annual_diffuse": 1.34843, - "annual_global": 5.79476, - "annual_snow": "nan", - "annual_tdry": 21.9385, - "annual_wspd": 1.78648, "array_type": 0.0, "azimuth": 180.0, "batt_simple_enable": 0.0, "bifaciality": 0.0, - "bos_equip_fixed": 0.0, - "bos_equip_perarea": 0.0, - "bos_equip_perwatt": 0.0, - "bos_equip_total": 0.0, - "capex_table_ac_cost_per_w": 0.0, - "capex_table_ac_inputs": [ - [ - 0.0 - ] - ], - "capex_table_cost_per_w": 0.45, - "capex_table_dc_inputs": [ - [ - 2.0, - 0.35 - ], - [ - 5.0, - 0.4 - ], - [ - 8.0, - 0.45 - ] - ], - "capex_table_land_cost_per_acre": 0.0, - "capex_table_land_inputs": [ - [ - 0.0 - ] - ], - "capex_table_total_installed_ac_cost": 0.0, - "capex_table_total_installed_dc_cost": 6750.0, - "capex_table_total_installed_land_cost": 0.0, - "city": "-", - "contingency": 0.0, - "contingency_percent": 0.0, - "country": "-", "dc_ac_ratio": 1.1, "degradation": [ 0.0 ], - "elev": 358.0, "en_snowloss": 0.0, - "en_user_spec_losses": 0.0, - "engr_fixed": 0.0, - "engr_per_watt": 0.0, - "engr_percent": 0.0, - "engr_total": 0.0, - "file_name": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "gcr": 0.4, - "grid_fixed": 0.0, - "grid_per_watt": 0.0, - "grid_percent": 0.0, - "grid_total": 0.0, - "in_location": "Type a location name, street address, or lat,lon in decimal degrees", - "in_location_list": "15458 Denver W Pkwy, Golden CO 804041|phoenix,az|45.5,-120.9|Manaus,Brazil", - "in_location_options": 0.0, - "in_nsrdb_options": 0.0, - "in_time_step": 0.0, - "inflation_rate": 2.5, - "install_labor_fixed": 0.0, - "install_labor_perarea": 0.0, - "install_labor_perwatt": 0.0, - "install_labor_total": 0.0, - "install_margin_fixed": 0.0, - "install_margin_perarea": 0.0, - "install_margin_perwatt": 0.0, - "install_margin_total": 0.0, - "installed_per_capacity": 5.48545, "inv_eff": 96.0, - "inverter_costunits": 0.0, - "inverter_num_units": 1.0, - "inverter_power": 13.6364, - "inverter_total": 9681.82, - "inverterarray_power": 13.6364, - "inverterarray_power_w": 13636.4, - "is_advanced": 0.0, - "land_area": 0.0, - "land_area_capex": 0.0724636, - "land_area_value": 0.0724636, - "land_fixed": 0.0, - "land_per_acre": 0.0, - "land_per_watt": 0.0, - "land_percent": 0.0, - "land_total": 0.0, - "landprep_fixed": 0.0, - "landprep_per_acre": 0.0, - "landprep_per_watt": 0.0, - "landprep_percent": 0.0, - "landprep_total": 0.0, - "lat": 33.45, - "library_folder_list": "x", - "library_paths": "", - "lon": -111.98, - "loss_age": 0.0, - "loss_avail": 3.0, - "loss_conn": 0.5, - "loss_lid": 1.5, - "loss_mismatch": 2.0, - "loss_nameplate": 1.0, - "loss_shading": 3.0, - "loss_snow": 0.0, - "loss_soiling": 2.0, - "loss_wiring": 2.0, "losses": 14.0757, - "losses_user": 14.0, - "module_costunits": 0.0, - "module_is_bifacial": 0.0, - "module_num_units": 1.0, - "module_power": 15.0, - "module_total": 72600.0, "module_type": 0.0, - "modulearray_area": 78.9474, - "modulearray_power": 15.0, - "modulearray_power_w": 15000.0, "om_capacity": [ 50.0 ], @@ -173,32 +45,10 @@ 0.0 ], "om_fixed_escal": 0.0, - "om_land_lease": [ - 0.0 - ], - "om_land_lease_escal": 0.0, "om_production": [ 0.0 ], "om_production_escal": 0.0, - "per_inverter": 0.71, - "per_module": 4.84, - "permitting_fixed": 0.0, - "permitting_per_watt": 0.0, - "permitting_percent": 0.0, - "permitting_total": 0.0, - "pv_capex_cost_choice": 0.0, - "pv_land_area_is_shown": 0.0, - "pvwatts.advanced.is_shown": 0.0, - "sales_tax_percent": 100.0, - "sales_tax_rate": 0.0, - "sales_tax_total": 0.0, - "sales_tax_value": 0.0, - "shading": 0.0, - "shading_3d_scene": { - "VV_TYPE": 6, - "DATA": "" - }, "shading_azal": [ [ 0.0 @@ -235,98 +85,25 @@ 0.0, 0.0 ], - "solar_data_file_name": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "solar_data_file_name_load": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "solar_data_source": "NSRDB", - "solar_resource": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", - "solar_resource_file": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "state": "-", - "station_id": "78208", - "step": 3600.0, - "subtotal_direct": 82281.8, "system_capacity": 15.0, "system_use_lifetime_output": 0.0, "tilt": 42.82, - "total_direct_cost": 82281.8, - "total_indirect_cost": 0.0, "total_installed_cost": 82281.8, - "total_land_area": 0.0724636, - "total_module_area": 78.9474, - "tz": -7.0, - "ui_ac_capacity": -999.0, - "ui_array_land_area_acres": 0.0724636, - "ui_array_land_area_ha": 0.029325, - "ui_array_land_area_multiplier": 1.0, - "ui_land_area_additional": 0.0, - "ui_land_area_additional_units": 0.0, - "ui_land_area_ha": 0.0, - "ui_land_area_multiplier": 0.0, - "ui_land_area_per_mw": 0.0, - "ui_land_area_units": 0.0, - "ui_land_lease": [ - 0.0 - ], - "ui_step_minutes": 60.0, - "ui_total_land_area_ha": 0.029325, - "ui_total_module_area": 78.9474, - "ui_total_module_area_acres": 0.0195083, - "ui_total_module_area_ha": 0.00789474, - "ui_use_acre_per_mw": 0.0, - "use_specific_weather_file": 0.0, - "use_wf_albedo": 1.0, - "user_specified_constant_albedo": 0.2, - "user_specified_weather_file": "", - "wf_nrecords": 8760.0 + "use_wf_albedo": 1.0 }, "windpower": { - "a_error_test_number": 17.345, - "a_error_test_string": "\"default err msg\"", - "adjust": 0.0, - "adjust_constant": 0.0, - "adjust_en_periods": 0.0, - "adjust_en_timeindex": 0.0, - "adjust_periods": [ - [ - 0.0, - 0.0, - 0.0 - ] - ], - "adjust_timeindex": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "analysis_period": 30.0, "avail_bop_loss": 0.0, "avail_grid_loss": 0.0, - "avail_loss_total": 0.0, "avail_turb_loss": 0.0, - "bos_cost_fixed": 0.0, - "bos_cost_per_kw": 0.0, - "bos_cost_per_turbine": 0.0, - "bos_cost_total": 0.0, - "cols": 1.0, "degradation": [ 0.0 ], - "desired_farm_size": 10.0, "elec_eff_loss": 0.0, - "elec_loss_total": 0.0, "elec_parasitic_loss": 0.0, "env_degrad_loss": 0.0, "env_env_loss": 0.0, "env_exposure_loss": 0.0, "env_icing_loss": 0.0, - "env_loss_total": 0.0, - "est_bos_cost": 0.0, - "est_turbine_cost": 0.0, - "inflation_rate": 2.5, - "install_type": 0.0, "om_capacity": [ 50.0 ], @@ -342,108 +119,36 @@ "ops_env_loss": 0.0, "ops_grid_loss": 0.0, "ops_load_loss": 0.0, - "ops_loss_total": 0.0, "ops_strategies_loss": 0.0, - "reference_capacity": 1.0, - "reference_number_turbines": 1.0, - "reference_resource_file": "WY Southern-Flat Lands.srw", - "reference_sales_tax_percent": 0.0, - "resource_definition_type": 0.0, - "rows": 1.0, - "sales_tax_basis": 100.0, - "sales_tax_rate": 0.0, - "sales_tax_total": 0.0, - "sizing_warning": 0.0, - "specify_label": 1.0, "system_capacity": 1.0, - "system_use_lifetime_output": 0.0, "total_installed_cost": 4000.0, - "total_installed_cost_per_kw": 4000.0, "turb_generic_loss": 0.0, "turb_hysteresis_loss": 0.0, - "turb_loss_total": 0.0, "turb_perf_loss": 0.0, "turb_specific_loss": 0.0, - "turbine_cost_fixed": 0.0, - "turbine_cost_per_kw": 4000.0, - "turbine_cost_per_turbine": 0.0, - "turbine_cost_total": 4000.0, - "use_specific_wf_wind": 0.0, - "user_specified_wf_wind": " ", "wake_ext_loss": 0.0, "wake_future_loss": 0.0, "wake_int_loss": 0.0, - "wake_loss": 0.0, - "wake_loss_total": 0.0, "weibull_k_factor": 2.0, "weibull_reference_height": 50.0, "weibull_wind_speed": 7.25, - "wind.turbine.blade_design": 0.0, - "wind.turbine.drive_train": 0.0, - "wind.turbine.dummy": 0.0, - "wind.turbine.elevation": 0.0, - "wind.turbine.max_tip_speed": 80.0, - "wind.turbine.max_tspeed_ratio": 8.0, - "wind.turbine.name_only": "0", - "wind.turbine.radio_list_or_design": 0.0, - "wind.turbine.region2nhalf_slope": 5.0, - "wind.turbine.tower_design": 0.0, - "wind_climate.avg_wind_speed_closest_to_hub_ht": 0.0, - "wind_climate.msg": "", - "wind_climate.msg_is_error": 0.0, - "wind_climate.url_info": "empty", - "wind_farm_num_turbines": 1.0, - "wind_farm_sizing_mode": 2.0, "wind_farm_wake_model": 0.0, - "wind_farm_xCoord_file": [ - 0.0 - ], "wind_farm_xCoordinates": [ 0.0 ], - "wind_farm_yCoord_file": [ - 0.0 - ], "wind_farm_yCoordinates": [ 0.0 ], - "wind_resource.city": "city??", - "wind_resource.closest_dir_meas_ht": 80.0, - "wind_resource.closest_speed_meas_ht": 80.0, - "wind_resource.country": "USA", - "wind_resource.description": "Southern WY - flat lands (NREL AWS Truepower representative file)", - "wind_resource.elev": 2088.0, - "wind_resource.file": "WY Southern-Flat Lands.srw", - "wind_resource.lat": 0.0, - "wind_resource.lat_requested": 0.0, - "wind_resource.location": "WY Southern-Flat Lands", - "wind_resource.location_id": "loc_id", - "wind_resource.lon": 0.0, - "wind_resource.lon_requested": 0.0, - "wind_resource.requested_ht": 80.0, - "wind_resource.state": "WY", - "wind_resource.year": 1900.0, "wind_resource_distribution": [ [ 0.0 ] ], - "wind_resource_filename": "WY Southern-Flat Lands.srw", "wind_resource_model_choice": 0.0, "wind_resource_shear": 0.14, "wind_resource_turbulence_coeff": 0.1, - "wind_turbine_IEC_Class_from_lib": "0", - "wind_turbine_cut_out": 25.0, - "wind_turbine_cutin": 4.0, "wind_turbine_hub_ht": 80.0, - "wind_turbine_kw_rating": 1.0, - "wind_turbine_kw_rating_from_lib": 1.0, - "wind_turbine_kw_rating_input": 1500.0, "wind_turbine_max_cp": 0.45, - "wind_turbine_powercurve_err_msg": "", - "wind_turbine_powercurve_hub_efficiency": [ - 0.0 - ], "wind_turbine_powercurve_powerout": [ 0.0, 0.0, @@ -461,23 +166,6 @@ 0.0, 0.0 ], - "wind_turbine_powercurve_powerout_from_lib": [ - 0.0, - 0.0, - 0.048, - 0.155, - 0.39, - 0.69, - 1.02, - 1.22, - 1.19, - 1.085, - 1.0, - 0.9, - 0.5, - 0.0, - 0.0 - ], "wind_turbine_powercurve_windspeeds": [ 0.0, 3.0, @@ -495,61 +183,20 @@ 23.0, 40.0 ], - "wind_turbine_powercurve_windspeeds_from_lib": [ - 0.0, - 3.0, - 3.6, - 5.4, - 7.2, - 8.9, - 10.7, - 12.5, - 14.3, - 16.1, - 17.9, - 19.7, - 21.0, - 23.0, - 40.0 - ], - "wind_turbine_rated_wind_speed": 1.0, - "wind_turbine_rotor_diameter": 2.5, - "wind_turbine_rotor_diameter_from_lib": 2.5, - "wind_turbine_rotor_diameter_input": 75.0, - "wind_turbine_selection": "Bergey BWC XL.1", - "windfarm.farm.layout_angle": 33.0, - "windfarm.farm.layout_slider": 33.0, - "windfarm.farm.number_of_rows": 1.0, - "windfarm.farm.offset": 0.0, - "windfarm.farm.offset_type": 0.0, - "windfarm.farm.row_spacing": 8.0, - "windfarm.farm.shape": 0.0, - "windfarm.farm.turbine_spacing": 8.0, - "windfarm.farm.turbines_per_row": 1.0, - "windfarm.layout.file_or_controls": 1.0 + "wind_turbine_rotor_diameter": 2.5 }, "battery": { - "LeadAcid_q10": 93.0, "LeadAcid_q10_computed": 18.6, - "LeadAcid_q20": 100.0, "LeadAcid_q20_computed": 20.0, - "LeadAcid_qn": 60.0, "LeadAcid_qn_computed": 12.0, "LeadAcid_tn": 1.0, - "add_om_num_types": 1.0, "analysis_period": 30.0, "batt_C_rate": 0.1, - "batt_C_rate_max_charge": 1.2, - "batt_C_rate_max_charge_input": 0.5, - "batt_C_rate_max_discharge": 1.2, - "batt_C_rate_max_discharge_input": 0.5, "batt_Cp": 4183.0, "batt_Qexp": 0.05, - "batt_Qexp_percent": 1.0, "batt_Qfull": 5.0, "batt_Qfull_flow": 20.0, "batt_Qnom": 4.75, - "batt_Qnom_percent": 95.0, "batt_Vcut": 1.452, "batt_Vexp": 2.05, "batt_Vfull": 2.2, @@ -557,17 +204,6 @@ "batt_Vnom_default": 3.2, "batt_ac_dc_efficiency": 99.0, "batt_ac_or_dc": 1.0, - "batt_bank_duration": 0.0, - "batt_bank_ncells_serial": 3.0, - "batt_bank_nseries_stacks": 1.0, - "batt_bank_nstrings": 1.0, - "batt_bank_power": 12.0, - "batt_bank_power_dc_ac": 0.0, - "batt_bank_size": 10.0, - "batt_bank_size_dc_ac": 0.0, - "batt_bank_size_specify": 100.0, - "batt_bank_size_ui": 10.0, - "batt_bank_voltage": 450.0, "batt_calendar_a": 0.00266, "batt_calendar_b": -7280.0, "batt_calendar_c": 939.0, @@ -587,16 +223,10 @@ ] ], "batt_calendar_q0": 1.02, - "batt_cell_current_charge_max": 10.0, - "batt_cell_current_discharge_max": 10.0, - "batt_cell_power_charge_max": 2.0, - "batt_cell_power_discharge_max": 2.0, "batt_chem": 0.0, "batt_computed_bank_capacity": 9.024, "batt_computed_series": 141.0, - "batt_computed_stacks_series": 0.0, "batt_computed_strings": 4.0, - "batt_computed_voltage": 451.2, "batt_current_charge_max": 24.0, "batt_current_choice": 1.0, "batt_current_discharge_max": 24.0, @@ -609,55 +239,31 @@ "batt_cycle_cost_choice": 0.0, "batt_dc_ac_efficiency": 99.0, "batt_dc_dc_efficiency": 99.0, - "batt_discharge_percent_1": 25.0, - "batt_discharge_percent_2": 25.0, - "batt_discharge_percent_3": 25.0, - "batt_discharge_percent_4": 25.0, - "batt_discharge_percent_5": 25.0, - "batt_discharge_percent_6": 25.0, "batt_dispatch_auto_can_charge": 0.0, "batt_dispatch_auto_can_clipcharge": 0.0, "batt_dispatch_auto_can_fuelcellcharge": 0.0, "batt_dispatch_auto_can_gridcharge": 0.0, "batt_dispatch_choice": 3.0, - "batt_dispatch_choice_ui": 3.0, - "batt_dispatch_excl": 3.0, "batt_dispatch_pvs_ac_lb": -0.1, "batt_dispatch_pvs_ac_lb_enable": 0.0, "batt_dispatch_pvs_ac_ub": 1.05, "batt_dispatch_pvs_ac_ub_enable": 0.0, - "batt_dispatch_pvs_battery_energy": 9.024, - "batt_dispatch_pvs_battery_power": 10.9382, - "batt_dispatch_pvs_battery_rte": 98.01, "batt_dispatch_pvs_curtail_as_control": 0.0, "batt_dispatch_pvs_curtail_if_violation": 0.0, "batt_dispatch_pvs_forecast_shift_periods": 3.0, - "batt_dispatch_pvs_interconnection_limit": 0.0, "batt_dispatch_pvs_kf": 0.3, "batt_dispatch_pvs_ki": 1.8, "batt_dispatch_pvs_kp": 1.2, "batt_dispatch_pvs_max_ramp": 10.0, - "batt_dispatch_pvs_nameplate": 15.0, "batt_dispatch_pvs_nameplate_ac": 15.0, - "batt_dispatch_pvs_ramp_interval": 180.0, "batt_dispatch_pvs_short_forecast_enable": 0.0, "batt_dispatch_pvs_soc_rest": 50.0, "batt_dispatch_pvs_timestep_multiplier": 3.0, - "batt_dispatch_pvs_wf_forecast_choice": 0.0, - "batt_dispatch_pvs_wf_timestep": 60.0, "batt_dispatch_update_frequency_hours": 1.0, "batt_dispatch_wf_forecast_choice": 0.0, - "batt_duration_choice": 0.0, - "batt_gridcharge_percent_1": 100.0, - "batt_gridcharge_percent_2": 100.0, - "batt_gridcharge_percent_3": 100.0, - "batt_gridcharge_percent_4": 100.0, - "batt_gridcharge_percent_5": 100.0, - "batt_gridcharge_percent_6": 100.0, "batt_h_to_ambient": 5.0, "batt_initial_SOC": 50.0, "batt_inverter_efficiency_cutoff": 90.0, - "batt_life_excl": 0.0, "batt_life_model": 0.0, "batt_lifetime_matrix": [ [ @@ -725,7 +331,6 @@ "batt_meter_position": 1.0, "batt_minimum_SOC": 10.0, "batt_minimum_modetime": 10.0, - "batt_num_cells": 564.0, "batt_power_charge_max_kwac": 10.9382, "batt_power_charge_max_kwdc": 10.8288, "batt_power_discharge_max_kwac": 10.7205, @@ -733,16 +338,9 @@ "batt_pv_ac_forecast": [ 0.0 ], - "batt_pv_ac_forecast_fom_auto": [ - 0.0 - ], "batt_pv_clipping_forecast": [ 0.0 ], - "batt_pvs_pv_ac_forecast": [ - 0.0 - ], - "batt_pvs_user_specified_weather_file": "", "batt_replacement_capacity": 0.0, "batt_replacement_option": 0.0, "batt_replacement_schedule_percent": [ @@ -9511,24 +9109,7 @@ 20.0, 20.0 ], - "batt_room_temperature_single": 20.0, - "batt_room_temperature_vector": [ - 0.0 - ], - "batt_size_choice": 0.0, - "batt_specific_energy_per_mass": 150.0, - "batt_specific_energy_per_volume": 300.0, "batt_surface_area": 0.580323, - "batt_thermal_choice": 0.0, - "batt_time_capacity": 0.833333, - "batt_type": 0.0, - "batt_ui_bank_voltage": 451.2, - "batt_ui_cell_voltage": 3.2, - "batt_ui_inverter_eff": 96.0, - "batt_ui_nominal_bank_power": 10.8288, - "batt_unit_capacity": 400.0, - "batt_unit_surface_area": 30.0, - "batt_user_specified_weather_file": "", "batt_voltage_choice": 0.0, "batt_voltage_matrix": [ [ @@ -9556,17 +9137,6 @@ 0.5 ] ], - "batt_volume": 0.03008, - "battery_energy": 9.024, - "battery_indirect_cost_percent": 0.0, - "battery_losses_shown": 0.0, - "battery_per_kW": 0.0, - "battery_per_kWh": 10.0, - "battery_power": 10.8288, - "battery_thermal_shown": 0.0, - "battery_total": 90.24, - "battery_total_cost_lcos": 90.24, - "battery_voltage_shown": 0.0, "cap_vs_temp": [ [ -15.0, @@ -9585,7 +9155,6 @@ 104.0 ] ], - "compute_as_cube": 1.0, "dispatch_manual_btm_discharge_to_grid": [ 0.0, 0.0, @@ -10897,21 +10466,6 @@ "en_batt": 1.0, "en_standalone_batt": 0.0, "en_wave_batt": 0.0, - "genericsys.cost.contingency": 0.0, - "genericsys.cost.contingency_percent": 0.0, - "genericsys.cost.epc.fixed": 0.0, - "genericsys.cost.epc.nonfixed": 0.0, - "genericsys.cost.epc.percent": 0.0, - "genericsys.cost.epc.total": 0.0, - "genericsys.cost.installed_per_capacity": 0.00841751, - "genericsys.cost.per_watt": 0.0, - "genericsys.cost.plm.fixed": 0.0, - "genericsys.cost.plm.nonfixed": 0.0, - "genericsys.cost.plm.percent": 0.0, - "genericsys.cost.plm.total": 0.0, - "genericsys.cost.sales_tax.percent": 100.0, - "genericsys.cost.sales_tax.total": 0.0, - "genericsys.cost.sales_tax.value": 0.0, "grid_interconnection_limit_kwac": 20000.0, "inflation_rate": 2.5, "om_batt_capacity_cost": [ @@ -10936,53 +10490,12 @@ "ppa_price_input": [ 0.0 ], - "pv.storage.p1.charge": 1.0, - "pv.storage.p1.discharge": 0.0, - "pv.storage.p1.dischargetogrid": 0.0, - "pv.storage.p1.gridcharge": 0.0, - "pv.storage.p2.charge": 1.0, - "pv.storage.p2.discharge": 0.0, - "pv.storage.p2.dischargetogrid": 0.0, - "pv.storage.p2.gridcharge": 1.0, - "pv.storage.p3.charge": 1.0, - "pv.storage.p3.discharge": 1.0, - "pv.storage.p3.dischargetogrid": 0.0, - "pv.storage.p3.gridcharge": 0.0, - "pv.storage.p4.charge": 0.0, - "pv.storage.p4.discharge": 0.0, - "pv.storage.p4.dischargetogrid": 0.0, - "pv.storage.p4.gridcharge": 0.0, - "pv.storage.p5.charge": 0.0, - "pv.storage.p5.discharge": 0.0, - "pv.storage.p5.dischargetogrid": 0.0, - "pv.storage.p5.gridcharge": 0.0, - "pv.storage.p6.charge": 0.0, - "pv.storage.p6.discharge": 0.0, - "pv.storage.p6.dischargetogrid": 0.0, - "pv.storage.p6.gridcharge": 0.0, - "pv_system_capacity": 15.0, - "sales_tax_rate": 0.0, - "solar_resource_file": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "system_capacity": 10.7205, - "total_direct_cost": 90.24, - "total_indirect_cost": 0.0, - "total_installed_cost": 90.24, - "ui_batt_life_model": 0.0, - "ui_copy_batt_discharge_percent_1": 25.0, - "ui_copy_batt_discharge_percent_2": 25.0, - "ui_copy_batt_discharge_percent_3": 25.0, - "ui_copy_batt_discharge_percent_4": 25.0, - "ui_copy_batt_discharge_percent_5": 25.0, - "ui_copy_batt_discharge_percent_6": 25.0, - "ui_step_minutes": 60.0 + "total_installed_cost": 90.24 }, "Hybrid": { "analysis_period": 30.0, - "analysis_period_warning": "", "batt_replacement_option": 0.0, "batt_salvage_percentage": 0.0, - "batt_salvage_value": 0.0, - "battery_system_capacity": 10.7205, "battery_total_installed_cost": 90.24, "cbi_fed_amount": 0.0, "cbi_fed_deprbas_fed": 0.0, @@ -11008,50 +10521,6 @@ "cbi_uti_maxvalue": 0.0, "cbi_uti_tax_fed": 1.0, "cbi_uti_tax_sta": 1.0, - "chk_update_peaks": 0.0, - "const_per_interest1": 0.0, - "const_per_interest2": 0.0, - "const_per_interest3": 0.0, - "const_per_interest4": 0.0, - "const_per_interest5": 0.0, - "const_per_interest_rate1": 0.0, - "const_per_interest_rate2": 0.0, - "const_per_interest_rate3": 0.0, - "const_per_interest_rate4": 0.0, - "const_per_interest_rate5": 0.0, - "const_per_interest_total": 0.0, - "const_per_months1": 0.0, - "const_per_months2": 0.0, - "const_per_months3": 0.0, - "const_per_months4": 0.0, - "const_per_months5": 0.0, - "const_per_name1": "Loan 1", - "const_per_name2": "Loan 2", - "const_per_name3": "Loan 3", - "const_per_name4": "Loan 4", - "const_per_name5": "Loan 5", - "const_per_percent1": 0.0, - "const_per_percent2": 0.0, - "const_per_percent3": 0.0, - "const_per_percent4": 0.0, - "const_per_percent5": 0.0, - "const_per_percent_total": 0.0, - "const_per_principal1": 0.0, - "const_per_principal2": 0.0, - "const_per_principal3": 0.0, - "const_per_principal4": 0.0, - "const_per_principal5": 0.0, - "const_per_principal_total": 0.0, - "const_per_total1": 0.0, - "const_per_total2": 0.0, - "const_per_total3": 0.0, - "const_per_total4": 0.0, - "const_per_total5": 0.0, - "const_per_upfront_rate1": 0.0, - "const_per_upfront_rate2": 0.0, - "const_per_upfront_rate3": 0.0, - "const_per_upfront_rate4": 0.0, - "const_per_upfront_rate5": 0.0, "construction_financing_cost": 0.0, "cost_debt_closing": 0.0, "cost_debt_fee": 0.0, @@ -11066,13 +10535,11 @@ "cp_capacity_payment_esc": 0.0, "cp_capacity_payment_type": 0.0, "cp_system_nameplate": 0.0267205, - "debt_message": "", "debt_option": 1.0, "debt_percent": 50.0, "depr_alloc_custom_percent": 0.0, "depr_alloc_macrs_15_percent": 0.0, "depr_alloc_macrs_5_percent": 100.0, - "depr_alloc_none": 0.0, "depr_alloc_sl_15_percent": 0.0, "depr_alloc_sl_20_percent": 0.0, "depr_alloc_sl_39_percent": 0.0, @@ -11112,7 +10579,6 @@ "depr_itc_sta_sl_39": 0.0, "depr_itc_sta_sl_5": 0.0, "depr_stabas_method": 1.0, - "dispatch_data_filename": "", "dispatch_factors_ts": [ 0.0, 0.7, @@ -28687,10 +28153,6 @@ ], "grid_curtailment_price_esc": 0.0, "grid_interconnection_limit_kwac": 20000.0, - "hybrid_capital_cost": 0.0, - "hybrid_om_capacity_cost": 0.0, - "hybrid_om_fixed_cost": 0.0, - "ibi_fed_amount": 0.0, "ibi_fed_amount_deprbas_fed": 0.0, "ibi_fed_amount_deprbas_sta": 0.0, "ibi_fed_amount_tax_fed": 1.0, @@ -28736,7 +28198,6 @@ "ibi_uti_percent_tax_sta": 1.0, "inflation_rate": 2.5, "insurance_rate": 1.0, - "is_btm": 0.0, "itc_fed_amount": [ 0.0 ], @@ -28763,16 +28224,161 @@ "itc_sta_percent_maxvalue": [ 1.0 ], - "lib_dispatch_factor1": 1.0, - "lib_dispatch_factor2": 1.0, - "lib_dispatch_factor3": 1.0, - "lib_dispatch_factor4": 1.0, - "lib_dispatch_factor5": 1.0, - "lib_dispatch_factor6": 1.0, - "lib_dispatch_factor7": 1.0, - "lib_dispatch_factor8": 1.0, - "lib_dispatch_factor9": 1.0, - "lib_dispatch_sched_weekday": [ + "payment_option": 0.0, + "pbi_fed_amount": [ + 0.0 + ], + "pbi_fed_escal": 0.0, + "pbi_fed_for_ds": 0.0, + "pbi_fed_tax_fed": 1.0, + "pbi_fed_tax_sta": 1.0, + "pbi_fed_term": 0.0, + "pbi_oth_amount": [ + 0.0 + ], + "pbi_oth_escal": 0.0, + "pbi_oth_for_ds": 0.0, + "pbi_oth_tax_fed": 1.0, + "pbi_oth_tax_sta": 1.0, + "pbi_oth_term": 0.0, + "pbi_sta_amount": [ + 0.0 + ], + "pbi_sta_escal": 0.0, + "pbi_sta_for_ds": 0.0, + "pbi_sta_tax_fed": 1.0, + "pbi_sta_tax_sta": 1.0, + "pbi_sta_term": 0.0, + "pbi_uti_amount": [ + 0.0 + ], + "pbi_uti_escal": 0.0, + "pbi_uti_for_ds": 0.0, + "pbi_uti_tax_fed": 1.0, + "pbi_uti_tax_sta": 1.0, + "pbi_uti_term": 0.0, + "ppa_escalation": 1.0, + "ppa_multiplier_model": 0.0, + "ppa_price_input": [ + 0.1 + ], + "ppa_soln_mode": 1.0, + "prop_tax_assessed_decline": 0.0, + "prop_tax_cost_assessed_percent": 100.0, + "property_tax_rate": 1.0, + "ptc_fed_amount": [ + 0.0 + ], + "ptc_fed_escal": 0.0, + "ptc_fed_term": 10.0, + "ptc_sta_amount": [ + 0.0 + ], + "ptc_sta_escal": 0.0, + "ptc_sta_term": 10.0, + "pv_total_installed_cost": 82281.8, + "rate_escalation": [ + 0.0 + ], + "real_discount_rate": 5.5, + "reserves_interest": 1.75, + "salvage_percentage": 10.0, + "state_tax_rate": [ + 7.0 + ], + "system_capacity": 26.7205, + "term_int_rate": 0.0, + "term_tenor": 0.0, + "total_installed_cost": 86372.0, + "ur_annual_min_charge": 0.0, + "ur_billing_demand_lookback_percentages": [ + [ + 0.0 + ] + ], + "ur_billing_demand_lookback_period": 0.0, + "ur_billing_demand_minimum": 0.0, + "ur_dc_billing_demand_periods": [ + [ + 0.0 + ] + ], + "ur_dc_enable": 0.0, + "ur_dc_flat_mat": [ + [ + 0.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 1.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 2.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 3.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 4.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 5.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 6.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 7.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 8.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 9.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 10.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 11.0, + 1.0, + 1e+38, + 0.0 + ] + ], + "ur_dc_sched_weekday": [ [ 1.0, 1.0, @@ -29086,7 +28692,7 @@ 1.0 ] ], - "lib_dispatch_sched_weekend": [ + "ur_dc_sched_weekend": [ [ 1.0, 1.0, @@ -29400,849 +29006,20 @@ 1.0 ] ], - "loan_moratorium": 0.0, - "mera_cost1": 0.0, - "mera_cost2": 0.0, - "mera_cost3": 0.0, - "mera_name1": "Replacement Reserve 1", - "mera_name2": "Replacement Reserve 2", - "mera_name3": "Replacement Reserve 3", - "months_receivables_reserve": 0.0, - "months_working_reserve": 6.0, - "nominal_discount_rate": 8.1375, - "payment_option": 0.0, - "pbi_fed_amount": [ - 0.0 + "ur_dc_tou_mat": [ + [ + 1.0, + 1.0, + 1e+38, + 0.0 + ], + [ + 2.0, + 1.0, + 1e+38, + 0.0 + ] ], - "pbi_fed_escal": 0.0, - "pbi_fed_for_ds": 0.0, - "pbi_fed_tax_fed": 1.0, - "pbi_fed_tax_sta": 1.0, - "pbi_fed_term": 0.0, - "pbi_oth_amount": [ - 0.0 - ], - "pbi_oth_escal": 0.0, - "pbi_oth_for_ds": 0.0, - "pbi_oth_tax_fed": 1.0, - "pbi_oth_tax_sta": 1.0, - "pbi_oth_term": 0.0, - "pbi_sta_amount": [ - 0.0 - ], - "pbi_sta_escal": 0.0, - "pbi_sta_for_ds": 0.0, - "pbi_sta_tax_fed": 1.0, - "pbi_sta_tax_sta": 1.0, - "pbi_sta_term": 0.0, - "pbi_uti_amount": [ - 0.0 - ], - "pbi_uti_escal": 0.0, - "pbi_uti_for_ds": 0.0, - "pbi_uti_tax_fed": 1.0, - "pbi_uti_tax_sta": 1.0, - "pbi_uti_term": 0.0, - "ppa_escalation": 1.0, - "ppa_multiplier_model": 0.0, - "ppa_price_input": [ - 0.1 - ], - "ppa_soln_mode": 1.0, - "prop_tax_assessed_decline": 0.0, - "prop_tax_cost_assessed_percent": 100.0, - "property_assessed_value": 86372.0, - "property_tax_rate": 1.0, - "ptc_fed_amount": [ - 0.0 - ], - "ptc_fed_escal": 0.0, - "ptc_fed_term": 10.0, - "ptc_sta_amount": [ - 0.0 - ], - "ptc_sta_escal": 0.0, - "ptc_sta_term": 10.0, - "pv_system_capacity": 15.0, - "pv_total_installed_cost": 82281.8, - "rate_escalation": [ - 0.0 - ], - "real_discount_rate": 5.5, - "reserves_interest": 1.75, - "revenue_TOD_is_shown": 1.0, - "revenue_capacity_payments_is_shown": 0.0, - "revenue_curtailment_is_shown": 0.0, - "sales_tax_rate": 0.0, - "salvage_percentage": 10.0, - "salvage_value": 8637.2, - "show_CapitalCostSO": 0.0, - "show_DebtConstDSCR": 1.0, - "show_ReserveAccounts": 1.0, - "show_construction_period": 1.0, - "solution_mode_message": "", - "state_tax_rate": [ - 7.0 - ], - "system_capacity": 26.7205, - "term_int_rate": 0.0, - "term_tenor": 0.0, - "tod_library": "Uniform Dispatch", - "total_installed_cost": 86372.0, - "ui_electricity_rate_option": 0.0, - "ui_system_nameplate_standalone": 0.0, - "ui_wacc": 4.06875, - "ur_annual_min_charge": 0.0, - "ur_billing_demand_is_shown": 0.0, - "ur_billing_demand_lookback_percentages": [ - [ - 0.0 - ] - ], - "ur_billing_demand_lookback_period": 0.0, - "ur_billing_demand_minimum": 0.0, - "ur_cr_sched": [ - [ - 0.0 - ] - ], - "ur_cr_tou_mat": [ - [ - 0.0 - ] - ], - "ur_dc_billing_demand_periods": [ - [ - 0.0 - ] - ], - "ur_dc_enable": 0.0, - "ur_dc_flat_mat": [ - [ - 0.0, - 1.0, - 1e38, - 0.0 - ], - [ - 1.0, - 1.0, - 1e38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e38, - 0.0 - ], - [ - 3.0, - 1.0, - 1e38, - 0.0 - ], - [ - 4.0, - 1.0, - 1e38, - 0.0 - ], - [ - 5.0, - 1.0, - 1e38, - 0.0 - ], - [ - 6.0, - 1.0, - 1e38, - 0.0 - ], - [ - 7.0, - 1.0, - 1e38, - 0.0 - ], - [ - 8.0, - 1.0, - 1e38, - 0.0 - ], - [ - 9.0, - 1.0, - 1e38, - 0.0 - ], - [ - 10.0, - 1.0, - 1e38, - 0.0 - ], - [ - 11.0, - 1.0, - 1e38, - 0.0 - ] - ], - "ur_dc_is_shown": 1.0, - "ur_dc_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_tou_mat": [ - [ - 1.0, - 1.0, - 1e38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e38, - 0.0 - ] - ], - "ur_demand_attrs": "", - "ur_demand_history": 0.0, - "ur_demand_max": 0.0, - "ur_demand_min": 0.0, - "ur_demand_reactive_power_charge": 0.0, - "ur_demand_window": 0.0, - "ur_desc_is_shown": 0.0, - "ur_description": "", - "ur_ec_is_shown": 1.0, "ur_ec_sched_weekday": [ [ 1.0, @@ -30899,7 +29676,7 @@ [ 1.0, 4.0, - 1e38, + 1e+38, 2.0, 0.45718, 0.0 @@ -30931,7 +29708,7 @@ [ 2.0, 4.0, - 1e38, + 1e+38, 2.0, 0.34283, 0.0 @@ -30963,7 +29740,7 @@ [ 3.0, 4.0, - 1e38, + 1e+38, 2.0, 0.35231, 0.0 @@ -30995,7 +29772,7 @@ [ 4.0, 4.0, - 1e38, + 1e+38, 2.0, 0.34241, 0.0 @@ -31004,47 +29781,21 @@ "ur_en_ts_buy_rate": 0.0, "ur_en_ts_sell_rate": 0.0, "ur_enable_billing_demand": 0.0, - "ur_end_date": "empty", - "ur_energy_attrs": "", - "ur_energy_history": 0.0, - "ur_energy_max": 0.0, - "ur_energy_min": 0.0, - "ur_fixed_attrs": "", - "ur_fuel_adjustments_monthly": [ - 0.0 - ], - "ur_has_unused_items": 0.0, - "ur_is_default": 0.0, "ur_metering_option": 0.0, "ur_monthly_fixed_charge": 0.0, "ur_monthly_min_charge": 0.0, - "ur_name": "", "ur_nm_credit_month": 0.0, "ur_nm_credit_rollover": 0.0, "ur_nm_yearend_sell_rate": 0.0, - "ur_phase_wiring": "", - "ur_rate_notes": "", - "ur_ratedata_filename": "", - "ur_schedule_name": "empty", - "ur_service_type": "", - "ur_source": "empty", - "ur_start_date": "empty", "ur_ts_buy_rate": [ 0.0 ], "ur_ts_sell_rate": [ 0.0 ], - "ur_unused_is_shown": 0.0, - "ur_uri": "empty", - "ur_utility": "empty", - "ur_voltage_category": "", - "ur_voltage_max": 0.0, - "ur_voltage_min": 0.0, "ur_yearzero_usage_peaks": [ 0.0 ], - "wind_system_capacity": 1.0, "wind_total_installed_cost": 4000.0 } } diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 2b9d636d7..1d73b311b 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -65,19 +65,39 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatterySingleOwner) { EXPECT_FALSE(errors); if (!errors) { - ssc_number_t pvannualenergy, windannualenergy, npv; + int len; + + ssc_number_t pvannualenergy, windannualenergy, battannualenergy, npv; auto outputs = ssc_data_get_table(dat, "output"); + auto inputs = ssc_data_get_table(dat, "input"); auto pv_outputs = ssc_data_get_table(outputs, "pvwattsv8"); + auto pv_inputs = ssc_data_get_table(inputs, "pvwattsv8"); ssc_data_get_number(pv_outputs, "annual_energy", &pvannualenergy); EXPECT_NEAR(pvannualenergy, 25970, 25970 * 0.01); auto wind_outputs = ssc_data_get_table(outputs, "windpower"); + auto wind_inputs = ssc_data_get_table(inputs, "windpower"); ssc_data_get_number(wind_outputs, "annual_energy", &windannualenergy); EXPECT_NEAR(windannualenergy, 5927, 5927 * 0.01); + auto batt_outputs = ssc_data_get_table(outputs, "battery"); + auto batt_inputs = ssc_data_get_table(inputs, "battery"); + ssc_data_get_number(batt_outputs, "annual_energy", &battannualenergy); + EXPECT_NEAR(battannualenergy, 31893, 31893 * 0.01); + auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); + + ssc_number_t value; + + auto ebitda = ssc_data_get_array(hybrid_outputs, "cf_ebitda", &len); + auto revenue = ssc_data_get_array(hybrid_outputs, "cf_total_revenue", &len); + auto om_expenses = ssc_data_get_array(hybrid_outputs, "cf_operating_expenses", &len); ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); + + EXPECT_NEAR(om_expenses[1], 2527, 1); + EXPECT_NEAR(revenue[1], 3189, 1); + EXPECT_NEAR(ebitda[1], 662, 1); EXPECT_NEAR(npv, -61506, 61506 * 0.001); } ssc_data_free(dat); From 5a5dfae032c8453cb760e6af9f93c6ecc8868749 Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Wed, 28 Feb 2024 11:00:02 -0600 Subject: [PATCH 47/79] Update lifetime wave mode for wave battery module --- ssc/cmod_mhk_wave.cpp | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/ssc/cmod_mhk_wave.cpp b/ssc/cmod_mhk_wave.cpp index 0a0ec0aae..8c1bed015 100644 --- a/ssc/cmod_mhk_wave.cpp +++ b/ssc/cmod_mhk_wave.cpp @@ -551,6 +551,7 @@ class cm_mhk_wave : public compute_module size_t nyears = 1; std::vector sys_degradation; size_t number_records_gen = number_records; + if (number_records == 2920) number_records_gen *= 3.0; /* @@ -584,7 +585,7 @@ class cm_mhk_wave : public compute_module sys_degradation.push_back(1); // single year mode - degradation handled in financial models. } ssc_number_t* energy_hourly_kWh = allocate("energy_hourly_kWh", number_records_gen); - ssc_number_t* energy_hourly_kW = allocate("energy_hourly_kW", 8760); //8760 of kW values + ssc_number_t* energy_hourly_kW = allocate("energy_hourly_kW", number_records_gen); //8760 of kW values ssc_number_t* energy_hourly_gen = allocate("gen", number_records_gen); ssc_number_t* sig_wave_height_index_mat = allocate("sig_wave_height_index_mat", number_records); ssc_number_t* sig_wave_height_data = allocate("sig_wave_height_data", number_records); From 8741fe45c3dc33cf460b41d39976699ad4c62ccd Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Wed, 28 Feb 2024 16:41:44 -0600 Subject: [PATCH 48/79] Add check for three hour data for output hourly power array used for battery --- ssc/cmod_mhk_wave.cpp | 11 ++++++++--- 1 file changed, 8 insertions(+), 3 deletions(-) diff --git a/ssc/cmod_mhk_wave.cpp b/ssc/cmod_mhk_wave.cpp index 8c1bed015..d89280630 100644 --- a/ssc/cmod_mhk_wave.cpp +++ b/ssc/cmod_mhk_wave.cpp @@ -686,9 +686,14 @@ class cm_mhk_wave : public compute_module energy_hourly_gen[y * number_records + i] = (ssc_number_t)(wave_power_matrix.at(size_t(sig_wave_height_index_mat[i]), size_t(energy_period_index_mat[i]))) * (1 - total_loss / 100) * sys_degradation[y] * number_devices; //Store in gen to use in heatmap output (probably don't need two variables) //energy_hourly_gen[i*3+1] = energy_hourly[i]; //Store in gen to use in heatmap output (probably don't need two variables) //energy_hourly_gen[i*3+2] = energy_hourly[i]; //Store in gen to use in heatmap output (probably don't need two variables) - energy_hourly_kW[y * (number_records * 3) + (i * 3)] = energy_hourly_gen[y * number_records + i]; - energy_hourly_kW[y * (number_records * 3) + (i * 3) + 1] = energy_hourly_gen[y * number_records + i]; - energy_hourly_kW[y * (number_records * 3) + (i * 3) + 2] = energy_hourly_gen[y * number_records + i]; + if (number_records == 2920) { + energy_hourly_kW[y * (number_records * 3) + (i * 3)] = energy_hourly_gen[y * number_records + i]; + energy_hourly_kW[y * (number_records * 3) + (i * 3) + 1] = energy_hourly_gen[y * number_records + i]; + energy_hourly_kW[y * (number_records * 3) + (i * 3) + 2] = energy_hourly_gen[y * number_records + i]; + } + else { + energy_hourly_kW[y * (number_records)+i] = energy_hourly_gen[y * number_records + i]; + } //iday = floor(double(i * 3) / 24); //Calculate day of year if (y == 0) { if (month[i] == 1) From bad6f5c7602941bd01e6f46e16ec1f9b21ced2ec Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Wed, 28 Feb 2024 16:56:42 -0600 Subject: [PATCH 49/79] Remove custom snow slide coefficient from pvwatts --- ssc/cmod_pvwattsv7.cpp | 2 +- ssc/cmod_pvwattsv8.cpp | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/ssc/cmod_pvwattsv7.cpp b/ssc/cmod_pvwattsv7.cpp index 3e64306fb..f1c08d186 100644 --- a/ssc/cmod_pvwattsv7.cpp +++ b/ssc/cmod_pvwattsv7.cpp @@ -601,7 +601,7 @@ class cm_pvwattsv7 : public compute_module // if tracking mode is 1-axis tracking, // don't need to limit tilt angles if (snowmodel.setup(pv.nmody, - (float)pv.tilt, as_double("snow_slide_coefficient"), + (float)pv.tilt, , pv.type == FIXED_RACK || pv.type == FIXED_ROOF)) { if (!snowmodel.good) { diff --git a/ssc/cmod_pvwattsv8.cpp b/ssc/cmod_pvwattsv8.cpp index 57fccb3c7..25106848f 100644 --- a/ssc/cmod_pvwattsv8.cpp +++ b/ssc/cmod_pvwattsv8.cpp @@ -658,7 +658,7 @@ class cm_pvwattsv8 : public compute_module // if tracking mode is 1-axis tracking, // don't need to limit tilt angles if (snowmodel.setup(pv.nmody, - (float)pv.tilt, as_double("snow_slide_coefficient"), + (float)pv.tilt, , pv.type == FIXED_RACK || pv.type == FIXED_ROOF)) { if (!snowmodel.good) { From fa4509aafa65f9471fbc04a45123107abe34108e Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Wed, 28 Feb 2024 16:57:18 -0600 Subject: [PATCH 50/79] Update vartables --- ssc/cmod_pvwattsv7.cpp | 1 - ssc/cmod_pvwattsv8.cpp | 1 - 2 files changed, 2 deletions(-) diff --git a/ssc/cmod_pvwattsv7.cpp b/ssc/cmod_pvwattsv7.cpp index f1c08d186..9e9325259 100644 --- a/ssc/cmod_pvwattsv7.cpp +++ b/ssc/cmod_pvwattsv7.cpp @@ -149,7 +149,6 @@ static var_info _cm_vtab_pvwattsv7[] = { { SSC_INPUT, SSC_NUMBER, "wind_stow_angle", "Tracker angle for wind stow", "deg", "", "System Design", "?=30.0", "", "" }, { SSC_INPUT, SSC_NUMBER, "en_snowloss", "Enable snow loss model", "0/1", "", "System Design", "?=0", "BOOLEAN", "" }, - { SSC_INPUT, SSC_NUMBER, "snow_slide_coefficient", "Snow Slide Coefficient", "", "", "System Design", "?=1.97", "", "" }, { SSC_INPUT, SSC_NUMBER, "inv_eff", "Inverter efficiency at rated power", "%", "", "System Design", "?=96", "MIN=90,MAX=99.5", "" }, diff --git a/ssc/cmod_pvwattsv8.cpp b/ssc/cmod_pvwattsv8.cpp index 25106848f..861ab8a41 100644 --- a/ssc/cmod_pvwattsv8.cpp +++ b/ssc/cmod_pvwattsv8.cpp @@ -151,7 +151,6 @@ static var_info _cm_vtab_pvwattsv8[] = { { SSC_INPUT, SSC_NUMBER, "wind_stow_angle", "Tracker angle for wind stow", "degrees", "", "System Design", "?=30.0", "", "" }, { SSC_INPUT, SSC_NUMBER, "en_snowloss", "Enable snow loss model", "0/1", "", "System Design", "?=0", "BOOLEAN", "" }, - { SSC_INPUT, SSC_NUMBER, "snow_slide_coefficient", "Snow Slide Coefficient", "", "", "System Design", "?=1.97", "", "" }, { SSC_INPUT, SSC_NUMBER, "inv_eff", "Inverter efficiency at rated power", "%", "", "System Design", "?=96", "MIN=90,MAX=99.5", "" }, From 58e2bccd54f2b1b7e47e0b64bffaa7d0b6710fde Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Wed, 28 Feb 2024 17:44:34 -0600 Subject: [PATCH 51/79] Replace blanks with snow slide coefficient default value in pvwatts function calls --- ssc/cmod_pvwattsv7.cpp | 2 +- ssc/cmod_pvwattsv8.cpp | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/ssc/cmod_pvwattsv7.cpp b/ssc/cmod_pvwattsv7.cpp index 9e9325259..2603d36cc 100644 --- a/ssc/cmod_pvwattsv7.cpp +++ b/ssc/cmod_pvwattsv7.cpp @@ -600,7 +600,7 @@ class cm_pvwattsv7 : public compute_module // if tracking mode is 1-axis tracking, // don't need to limit tilt angles if (snowmodel.setup(pv.nmody, - (float)pv.tilt, , + (float)pv.tilt, 1.97, pv.type == FIXED_RACK || pv.type == FIXED_ROOF)) { if (!snowmodel.good) { diff --git a/ssc/cmod_pvwattsv8.cpp b/ssc/cmod_pvwattsv8.cpp index 861ab8a41..24fdba116 100644 --- a/ssc/cmod_pvwattsv8.cpp +++ b/ssc/cmod_pvwattsv8.cpp @@ -657,7 +657,7 @@ class cm_pvwattsv8 : public compute_module // if tracking mode is 1-axis tracking, // don't need to limit tilt angles if (snowmodel.setup(pv.nmody, - (float)pv.tilt, , + (float)pv.tilt, 1.97, pv.type == FIXED_RACK || pv.type == FIXED_ROOF)) { if (!snowmodel.good) { From 4e55a3ae9cd84ac707419c7605f8259b105ccb04 Mon Sep 17 00:00:00 2001 From: Darice Date: Thu, 29 Feb 2024 07:38:54 -0700 Subject: [PATCH 52/79] sales_tax_rate optional --- ssc/cmod_hybrid.cpp | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index cd40bc265..9be906873 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -125,7 +125,10 @@ class cm_hybrid : public compute_module var_data* financial_compute_modules = input_table->table.lookup("hybrid"); int analysisPeriod = (int)financial_compute_modules->table.lookup("analysis_period")->num; ssc_number_t inflation_rate = financial_compute_modules->table.lookup("inflation_rate")->num * 0.01; - ssc_number_t sales_tax_rate = financial_compute_modules->table.lookup("sales_tax_rate")->num * 0.01; + ssc_number_t sales_tax_rate = 0; + if (financial_compute_modules->table.is_assigned("sales_tax_rate")){ + sales_tax_rate = financial_compute_modules->table.lookup("sales_tax_rate")->num * 0.01; + } for (size_t i = 0; i < vec_cms.size(); i++) { std::string computemodulename = vec_cms[i].str; From ef8311246df9d21ca16e6e99372f9958515fb50a Mon Sep 17 00:00:00 2001 From: Darice Date: Thu, 29 Feb 2024 08:32:33 -0700 Subject: [PATCH 53/79] add checks for existing var_info --- ssc/cmod_hybrid.cpp | 2 +- ssc/core.cpp | 17 +++++++++++++++++ ssc/core.h | 3 ++- ssc/sscapi.cpp | 20 +++++++++++++------- 4 files changed, 33 insertions(+), 9 deletions(-) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index 9be906873..da543dbe3 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -242,7 +242,7 @@ class cm_hybrid : public compute_module escal_or_annual(input, pOMLandLease, analysisPeriod, "om_land_lease", inflation_rate, total_land_area, false, input.as_double("om_land_lease_escal") * 0.01); } // optional fossil fuel costs - if (compute_module_inputs->table.lookup("system_heat_rate")) { + if (compute_module_inputs->table.lookup("om_fuel_cost") && compute_module_inputs->table.lookup("system_heat_rate") && compute_module_inputs->table.lookup("annual_fuel_usage")) { ssc_number_t* pOMFuelCost = ((var_table*)compute_module_outputs)->allocate("cf_om_fuel_cost", analysisPeriod + 1); ssc_number_t system_heat_rate = compute_module_inputs->table.lookup("system_heat_rate")->num; ssc_number_t year1_fuel_use = ((var_table*)compute_module_outputs)->as_double("annual_fuel_usage"); // kWht diff --git a/ssc/core.cpp b/ssc/core.cpp index 7e883da2b..7460dec3f 100644 --- a/ssc/core.cpp +++ b/ssc/core.cpp @@ -396,6 +396,23 @@ compute_module::log_item *compute_module::log(int index) { return NULL; } +bool compute_module::has_info(const std::string &name) { + // if there is an info lookup table, use it + if (m_infomap != NULL) { + unordered_map::iterator pos = m_infomap->find(name); + if (pos != m_infomap->end()) + return true; + } + + // otherwise search + std::vector::iterator it; + for (it = m_varlist.begin(); it != m_varlist.end(); ++it) { + if ((*it)->name == name) + return true; + } + return false; +} + var_info *compute_module::info(int index) { if (index >= 0 && index < (int) m_varlist.size()) return m_varlist[index]; diff --git a/ssc/core.h b/ssc/core.h index 66be4c0fd..289eaefa7 100644 --- a/ssc/core.h +++ b/ssc/core.h @@ -189,7 +189,8 @@ class compute_module void clear_log(); log_item *log(int index); var_info *info(int index); - + bool has_info(const std::string &name); + virtual bool compute( handler_interface *handler, var_table *data ); diff --git a/ssc/sscapi.cpp b/ssc/sscapi.cpp index a89589c9e..8cf510c1f 100644 --- a/ssc/sscapi.cpp +++ b/ssc/sscapi.cpp @@ -1330,12 +1330,14 @@ SSCEXPORT ssc_bool_t ssc_module_hybridize(ssc_module_t p_mod) for (size_t i=0; i<35; i++){ if (vtab_oandm_hybrid[i].var_type == SSC_INVALID) break; - + std::string name = std::string(vtab_oandm_hybrid[i].name); std::string meta = std::string(vtab_oandm_hybrid[i].meta); if (!meta.size()){ // if no meta description on variable, apply it to all technologies - vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; - copy_counter++; + if (!cmod->has_info(name)) { + vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; + copy_counter++; + } continue; } @@ -1359,8 +1361,10 @@ SSCEXPORT ssc_bool_t ssc_module_hybridize(ssc_module_t p_mod) } } if (!restricted) { - vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; - copy_counter++; + if (!cmod->has_info(name)){ + vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; + copy_counter++; + } continue; } } @@ -1368,8 +1372,10 @@ SSCEXPORT ssc_bool_t ssc_module_hybridize(ssc_module_t p_mod) // apply allowlist for (std::string token:tokens){ if (cmod_name == token){ - vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; - copy_counter++; + if (!cmod->has_info(name)){ + vtab_oandm_hybrid_tech[copy_counter] = &vtab_oandm_hybrid[i]; + copy_counter++; + } break; } } From bfb76c9f557d682f528266e8525dd5db957d0df4 Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Thu, 29 Feb 2024 12:05:38 -0600 Subject: [PATCH 54/79] Updates for subhourly wave resource data, hourly model, time matrix output --- ssc/cmod_mhk_wave.cpp | 31 ++++++++++++++++--------------- ssc/cmod_wavefile.cpp | 7 ++++--- 2 files changed, 20 insertions(+), 18 deletions(-) diff --git a/ssc/cmod_mhk_wave.cpp b/ssc/cmod_mhk_wave.cpp index d89280630..bc05ec6f7 100644 --- a/ssc/cmod_mhk_wave.cpp +++ b/ssc/cmod_mhk_wave.cpp @@ -472,8 +472,8 @@ class cm_mhk_wave : public compute_module } bool is_annual = true; if (wave_resource_model_choice==1) { //Time series wave resource option - size_t number_records = 2920;//Initialize number of records to 2920 (3 hour annual dataset) - size_t number_hours = 8760; //Initialize number of hours to 8760 (hours in annual dataset) + double number_records = 2920;//Initialize number of records to 2920 (3 hour annual dataset) + double number_hours = 8760; //Initialize number of hours to 8760 (hours in annual dataset) std::vector wave_height_input; std::vector wave_period_input; std::vector year; @@ -605,7 +605,7 @@ class cm_mhk_wave : public compute_module days_in_month = { 31, 60, 91, 121, 152, 182, 213, 244, 274, 305, 335, 366 }; days_in_year = 367; } - size_t hour_step = 1.0; + double hour_step = 1.0; if (is_annual) { hour_step = number_hours / number_records; } @@ -613,7 +613,7 @@ class cm_mhk_wave : public compute_module ssc_number_t sig_wave_height_index = 0; ssc_number_t energy_period_index = 0; - ssc_number_t* p_annual_energy_dist_time = allocate("annual_energy_distribution_time", 9, days_in_year); //Annual energy distribution 24 hr (3 hour time step) x 365 days for Summary page heatmap + ssc_number_t* p_annual_energy_dist_time = allocate("annual_energy_distribution_time", 24 / std::ceil(hour_step) + 1.0, days_in_year); //Annual energy distribution 24 hr (3 hour time step) x 365 days for Summary page heatmap for (size_t j = 0; j < (size_t)wave_power_matrix.nrows(); j++) { //Build row and column labels of height x period energy distribution matrix p_annual_energy_dist[size_t(j) * 22] = (ssc_number_t)wave_power_matrix.at(j, 0); } @@ -621,8 +621,9 @@ class cm_mhk_wave : public compute_module p_annual_energy_dist[size_t(m)] = (ssc_number_t)wave_power_matrix.at(0, m); } for (size_t m = 0; m < days_in_year; m++) { //Build row and column lables for time energy distribution matrix - for (size_t h = 0; h < 9; h++) { - p_annual_energy_dist_time[h * days_in_year] = (ssc_number_t)3 * (h - 1); + for (size_t h = 0; h < 24 / std::ceil(hour_step) + 1.0; h++) { + size_t h_index = h * std::ceil(hour_step); + p_annual_energy_dist_time[h * days_in_year] = h_index - std::ceil(hour_step); p_annual_energy_dist_time[m] = (ssc_number_t)m; } } @@ -680,19 +681,19 @@ class cm_mhk_wave : public compute_module throw exec_error("mhk_wave", "The device power calculated from the wave height and wave period exceeds the maximum power matrix value at index" + to_string(i) + ". Please check the wave conditions."); } - energy_hourly_kWh[y * number_records + i] = (ssc_number_t)(wave_power_matrix.at(size_t(sig_wave_height_index), size_t(energy_period_index))) * hour_step * (1 - total_loss / 100) * sys_degradation[y] * number_devices; + energy_hourly_kWh[y * size_t(number_records) + i] = (ssc_number_t)(wave_power_matrix.at(size_t(sig_wave_height_index), size_t(energy_period_index))) * hour_step * (1 - total_loss / 100) * sys_degradation[y] * number_devices; if (y == 0) p_annual_energy_dist[size_t(sig_wave_height_index_mat[i]) * 22 + size_t(energy_period_index_mat[i])] += energy_hourly_kWh[i]; //Add energy for given time step to height x period distribution matrix at specified grid point - energy_hourly_gen[y * number_records + i] = (ssc_number_t)(wave_power_matrix.at(size_t(sig_wave_height_index_mat[i]), size_t(energy_period_index_mat[i]))) * (1 - total_loss / 100) * sys_degradation[y] * number_devices; //Store in gen to use in heatmap output (probably don't need two variables) + energy_hourly_gen[y * size_t(number_records) + i] = (ssc_number_t)(wave_power_matrix.at(size_t(sig_wave_height_index_mat[i]), size_t(energy_period_index_mat[i]))) * (1 - total_loss / 100) * sys_degradation[y] * number_devices; //Store in gen to use in heatmap output (probably don't need two variables) //energy_hourly_gen[i*3+1] = energy_hourly[i]; //Store in gen to use in heatmap output (probably don't need two variables) //energy_hourly_gen[i*3+2] = energy_hourly[i]; //Store in gen to use in heatmap output (probably don't need two variables) if (number_records == 2920) { - energy_hourly_kW[y * (number_records * 3) + (i * 3)] = energy_hourly_gen[y * number_records + i]; - energy_hourly_kW[y * (number_records * 3) + (i * 3) + 1] = energy_hourly_gen[y * number_records + i]; - energy_hourly_kW[y * (number_records * 3) + (i * 3) + 2] = energy_hourly_gen[y * number_records + i]; + energy_hourly_kW[y * size_t(number_records * 3) + (i * 3)] = energy_hourly_gen[y * size_t(number_records) + i]; + energy_hourly_kW[y * size_t(number_records * 3) + (i * 3) + 1] = energy_hourly_gen[y * size_t(number_records) + i]; + energy_hourly_kW[y * size_t(number_records * 3) + (i * 3) + 2] = energy_hourly_gen[y * size_t(number_records) + i]; } else { - energy_hourly_kW[y * (number_records)+i] = energy_hourly_gen[y * number_records + i]; + energy_hourly_kW[y * size_t(number_records)+i] = energy_hourly_gen[y * size_t(number_records) + i]; } //iday = floor(double(i * 3) / 24); //Calculate day of year if (y == 0) { @@ -703,9 +704,9 @@ class cm_mhk_wave : public compute_module //ihour = fmod(i * 3, 24); //Calculate hour of day ihour = hour[i]; for (size_t d = 0; d < days_in_year; d++) { - for (size_t h = 0; h < 9; h++) { - if (iday == d && ihour == size_t(3 * (h - 1))) { - p_annual_energy_dist_time[h * days_in_year + d] += energy_hourly_kWh[i]; //Add energy for time step to time distribution matrix at day and hour of current timestep + for (size_t h = 0; h < 24 / std::ceil(hour_step) + 1.0; h++) { + if (iday == d && ihour == std::ceil(hour_step) * h) { + p_annual_energy_dist_time[(h+1) * days_in_year + d] += energy_hourly_kWh[i]; //Add energy for time step to time distribution matrix at day and hour of current timestep break; //Get out of loop once day and hour match is found } } diff --git a/ssc/cmod_wavefile.cpp b/ssc/cmod_wavefile.cpp index 5720715f6..b9ecd0930 100644 --- a/ssc/cmod_wavefile.cpp +++ b/ssc/cmod_wavefile.cpp @@ -316,7 +316,7 @@ class cm_wave_file_reader : public compute_module for (size_t m = 0; m < 22; m++) { mat[m] = m - 0.5; } - ssc_number_t* month = allocate("month", numberRecords); + ssc_number_t* p_month = allocate("month", numberRecords); std::vector timecheck(numberRecords); timecheck[0] = 0; ssc_number_t* wave_heights = allocate("significant_wave_height", numberRecords); @@ -325,7 +325,7 @@ class cm_wave_file_reader : public compute_module getline(ifs, buf); values.clear(); values = split(buf); - + /* if (r == 0) { //value_0 = split(buf); hour0 = (ssc_number_t)std::stod(values[hour_index]); @@ -341,11 +341,12 @@ class cm_wave_file_reader : public compute_module if (timecheck[r] - timecheck[r - 1] != hourdiff && timecheck[r] != 0) { throw exec_error("wave_file_reader", "Time steps are nonuniform"); } - } + }*/ p_year[r] = (ssc_number_t)std::stod(values[year_index]); p_hour[r] = (ssc_number_t)std::stod(values[hour_index]); p_day[r] = (ssc_number_t)std::stod(values[day_index]); p_minute[r] = (ssc_number_t)std::stod(values[minute_index]); + p_month[r] = (ssc_number_t)std::stod(values[month_index]); wave_heights[r] = (ssc_number_t)std::stod(values[height_index]); wave_periods[r] = (ssc_number_t)std::stod(values[period_index]); From 7c32ac614456ae65e6121c27244228e2aee9f18f Mon Sep 17 00:00:00 2001 From: Paul Gilman Date: Thu, 29 Feb 2024 12:18:15 -0800 Subject: [PATCH 55/79] Improve batt temp timestep message for https://github.com/NREL/SAM/issues/1691 (#1138) --- ssc/cmod_battery.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/ssc/cmod_battery.cpp b/ssc/cmod_battery.cpp index d65fff4fe..8cd4e8174 100644 --- a/ssc/cmod_battery.cpp +++ b/ssc/cmod_battery.cpp @@ -1111,7 +1111,7 @@ battstor::battstor(var_table& vt, bool setup_model, size_t nrec, double dt_hr, c } if (batt_vars->T_room.size() != nrec) { - throw exec_error("battery", "Length of battery environment temperature batt_room_temperature_celsius must equal number of weather file and/or electric load data records."); + throw exec_error("battery", util::format("Length of battery environment temperature batt_room_temperature_celsius must equal number of weather file and/or electric load data records: %d records or %d-minute time steps.", nrec, 60/(nrec/8760))); } if (batt_vars->batt_life_model == lifetime_params::NMC) { From 3715a30cf579a4f2cf9bd53c192fe50e32698fb6 Mon Sep 17 00:00:00 2001 From: Paul Gilman Date: Thu, 29 Feb 2024 12:20:36 -0800 Subject: [PATCH 56/79] Time zone fix for NSRDB MSG V1.0.0 (#1140) Handle NSRDB weather files with both Local Time Zone and Time Zone in header where one has valid value and the other is empty. --- shared/lib_weatherfile.cpp | 8 +++++--- 1 file changed, 5 insertions(+), 3 deletions(-) diff --git a/shared/lib_weatherfile.cpp b/shared/lib_weatherfile.cpp index 623bde8d4..806381920 100644 --- a/shared/lib_weatherfile.cpp +++ b/shared/lib_weatherfile.cpp @@ -116,7 +116,7 @@ static float col_or_nan(const std::string& s) } } else - return std::numeric_limits::quiet_NaN();; + return std::numeric_limits::quiet_NaN(); } static double conv_deg_min_sec(double degrees, @@ -824,9 +824,11 @@ bool weatherfile::open(const std::string& file, bool header_only) { m_hdr.lon = col_or_nan(value); } - else if (name == "tz" || name == "timezone" || name == "time zone") // require "time zone" and "local time zone" columns in NSRDB files are the same + else if (name == "tz" || name == "timezone" || name == "time zone" || name == "local time zone") // require "time zone" and "local time zone" columns in NSRDB files are the same { - m_hdr.tz = col_or_nan(value); + // some nsrdb endpoints like nsrdb-msg-v1-0-0 have both "local time zone" and "time zone" with "time zone" empty, so we need to read "local time zone" and ignore "time zone" + if (std::isnan(m_hdr.tz)) // only assign value if one was not assigned in an earlier pass + m_hdr.tz = col_or_nan(value); } else if (name == "el" || name == "elev" || name == "elevation" || name == "site elevation" || name == "altitude") { From a1a0399b59529b70a9bb0bfda1f69fda993f45bb Mon Sep 17 00:00:00 2001 From: Brian Mirletz Date: Thu, 29 Feb 2024 14:29:42 -0700 Subject: [PATCH 57/79] Add debt percent warning for <0 case --- ssc/cmod_singleowner.cpp | 2 -- ssc/common_financial.cpp | 2 ++ 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/ssc/cmod_singleowner.cpp b/ssc/cmod_singleowner.cpp index f59c6e23a..82b8d144c 100644 --- a/ssc/cmod_singleowner.cpp +++ b/ssc/cmod_singleowner.cpp @@ -3171,8 +3171,6 @@ class cm_singleowner : public compute_module if ((size_of_debt + size_of_equity) > 0) debt_fraction = size_of_debt / (size_of_debt + size_of_equity); - - double wacc = 0.0; wacc = (1.0 - debt_fraction)*analysis_period_irr + debt_fraction*term_int_rate*(1.0 - cf.at(CF_effective_tax_frac, 1)); diff --git a/ssc/common_financial.cpp b/ssc/common_financial.cpp index a97d9911a..bd271f032 100644 --- a/ssc/common_financial.cpp +++ b/ssc/common_financial.cpp @@ -3297,6 +3297,8 @@ void check_financial_metrics::check_debt_percentage(compute_module* cm, ssc_numb { if (debt_percentage > 100.0) cm->log(util::format("Debt percent is %lg. A debt percent greater than 100%% may indicate that revenues are higher than necessary to cover project costs.", debt_percentage), SSC_WARNING); + if (debt_percentage < 0.0) + cm->log(util::format("Debt percent is %lg. A debt percent less than 0%% may indicate the mininum EBITDA cannot support any debt in at least one year.", debt_percentage), SSC_WARNING); } From 8a3773f656deb9704341a240b3a002ef92b6e6f5 Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Thu, 29 Feb 2024 16:15:56 -0600 Subject: [PATCH 58/79] Address PR comments --- shared/lib_irradproc.cpp | 1 - shared/lib_pv_io_manager.cpp | 10 +++++--- shared/lib_shared_inverter.cpp | 5 +--- shared/lib_shared_inverter.h | 1 - ssc/cmod_pvsamv1.cpp | 45 ++++++++++++---------------------- 5 files changed, 22 insertions(+), 40 deletions(-) diff --git a/shared/lib_irradproc.cpp b/shared/lib_irradproc.cpp index 57ff48ff3..7476c1584 100644 --- a/shared/lib_irradproc.cpp +++ b/shared/lib_irradproc.cpp @@ -2193,7 +2193,6 @@ int irrad::calc() { } //clearsky - //Walker boulder 3.44 ineichen(clearskyIrradiance, RTOD * sunAnglesRadians[1], month, day, pressure * 100.0, 1.0, elevation, 0, true); diff --git a/shared/lib_pv_io_manager.cpp b/shared/lib_pv_io_manager.cpp index 01f786c11..085d795e4 100644 --- a/shared/lib_pv_io_manager.cpp +++ b/shared/lib_pv_io_manager.cpp @@ -976,10 +976,12 @@ void PVSystem_IO::AllocateOutputs(compute_module* cm) p_systemACPowerMax = cm->allocate("ac_csky_max", numberOfLifetimeRecords); p_systemDCPowerCS = cm->allocate("dc_net_clearsky", numberOfLifetimeRecords); - p_subhourlyClippingLoss = cm->allocate("subhourly_clipping_loss", numberOfLifetimeRecords); - //p_subhourlyClippingLossFactor = cm->allocate("subhourly_clipping_loss_factor", numberOfLifetimeRecords); - - p_DistributionClippingLoss = cm->allocate("distribution_clipping_loss", numberOfLifetimeRecords); + if (cm->as_boolean("enable_subhourly_clipping")) { + p_subhourlyClippingLoss = cm->allocate("subhourly_clipping_loss", numberOfLifetimeRecords); + } + if (cm->as_boolean("enable_subinterval_distribution")) { + p_DistributionClippingLoss = cm->allocate("distribution_clipping_loss", numberOfLifetimeRecords); + } if (Simulation->useLifetimeOutput) { diff --git a/shared/lib_shared_inverter.cpp b/shared/lib_shared_inverter.cpp index 7984de00d..6155c3d21 100644 --- a/shared/lib_shared_inverter.cpp +++ b/shared/lib_shared_inverter.cpp @@ -230,7 +230,7 @@ void SharedInverter::calculateTempDerate(double V, double tempC, double& p_dc_ra double SharedInverter::getInverterDCMaxPower(double p_dc_rated) { - double inv_dc_max_power = p_dc_rated * util::kilowatt_to_watt; + double inv_dc_max_power = p_dc_rated * util::kilowatt_to_watt; //if the inverter type isn't one of the following, assume that max power is equal to rated power" if (m_inverterType == SANDIA_INVERTER || m_inverterType == DATASHEET_INVERTER || m_inverterType == COEFFICIENT_GENERATOR) //m_sandiaInverter->acpower(std::fabs(powerDC_Watts) / m_numInverters, DCStringVoltage, &powerAC_Watts, &P_par, &P_lr, &efficiencyAC, &powerClipLoss_kW, &powerConsumptionLoss_kW, &powerNightLoss_kW); inv_dc_max_power = m_sandiaInverter->Pdco; @@ -240,9 +240,6 @@ double SharedInverter::getInverterDCMaxPower(double p_dc_rated) else if (m_inverterType == OND_INVERTER) //m_ondInverter->acpower(std::fabs(powerDC_Watts) / m_numInverters, DCStringVoltage, tempC, &powerAC_Watts, &P_par, &P_lr, &efficiencyAC, &powerClipLoss_kW, &powerConsumptionLoss_kW, &powerNightLoss_kW, &dcWiringLoss_ond_kW, &acWiringLoss_ond_kW); inv_dc_max_power = m_ondInverter->PMaxDC; - else if (m_inverterType == NONE) { - inv_dc_max_power = p_dc_rated * util::kilowatt_to_watt; - } return inv_dc_max_power; } diff --git a/shared/lib_shared_inverter.h b/shared/lib_shared_inverter.h index e92519e9f..b8bd526b4 100644 --- a/shared/lib_shared_inverter.h +++ b/shared/lib_shared_inverter.h @@ -73,7 +73,6 @@ class SharedInverter void calculateACPower(const double powerDC_kW, const double DCStringVoltage, double tempC); void calculateACPower(const double powerDC_kW, const double DCStringVoltage, double tempC, bool clippingEnabled); - void calculateACPower(const double powerDC_kW, const double powerDC_kW_in_max, const double powerDC_kW_in_min, const double DCStringVoltage, double tempC); /// Given the combined PV plus battery DC power (kW), voltage and ambient T, compute the AC power (kW) for a single inverter with multiple MPPT inputs void calculateACPower(const std::vector powerDC_kW, const std::vector DCStringVoltage, double tempC); diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index 747a30759..fdd995795 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -1134,7 +1134,6 @@ void cm_pvsamv1::exec() double ref_area_m2 = Subarrays[0]->Module->referenceArea; double module_watts_stc = Subarrays[0]->Module->moduleWattsSTC; SharedInverter* sharedInverter = PVSystem->m_sharedInverter.get(); - SharedInverter* sharedInverter_clipping = PVSystem->m_sharedInverter.get(); @@ -2622,6 +2621,10 @@ void cm_pvsamv1::exec() double annual_distribution_clipping_loss = 0; util::matrix_t sub_clipping_matrix(21, 21); if (as_boolean("enable_subhourly_clipping")) { + //check that both clipping models are not enabled + if (as_boolean("enable_subinterval_distribution")) { + throw exec_error("pvsamv1", "The Allen and Walker methods cannot be used at the same time. Please set either enable_subhourly_clipping or enable_subinterval_distribution to 0."); + } if (is_assigned("subhourly_clipping_matrix")) { sub_clipping_matrix = as_matrix("subhourly_clipping_matrix"); } @@ -2638,6 +2641,11 @@ void cm_pvsamv1::exec() assign("nominal_annual_clipping_output", nominal_annual_clipping_output); } if (as_boolean("enable_subinterval_distribution")) { + //check that both clipping models are not enabled + if (as_boolean("enable_subhourly_clipping")) { + throw exec_error("pvsamv1", "The Allen and Walker methods cannot be used at the same time. Please set either enable_subhourly_clipping or enable_subinterval_distribution to 0."); + } + for (size_t inrec = 0; inrec < nrec; inrec++) { idx = inrec; double dcPower_kW_max = PVSystem->p_systemDCPowerCS[idx]; @@ -2816,35 +2824,24 @@ void cm_pvsamv1::exec() double acPower_kW_avg = sharedInverter->powerAC_kW + sharedInverter->powerClipLoss_kW; acpwr_gross += sharedInverter->powerClipLoss_kW; //add clipping loss back in sharedInverter->powerClipLoss_kW = 0; //set standard clipping to zero; - //sharedInverter_clipping->calculateACPower(dcPower_kW_csky, dcVoltagePerMppt[0], Irradiance->weatherRecord.tdry); acPower_kW_max = std::max(PVSystem->p_systemACPowerMax[idx], acPower_kW_avg); - log(util::format("dcPower max is %lg", acPower_kW_max), SSC_NOTICE); double alt_angle = Irradiance->p_sunAltitudeAngle[idx] * M_PI / 180; if (Irradiance->p_sunAltitudeAngle[idx] < 0.001) alt_angle = 0.001 * M_PI / 180; //For negative alt angle double AM = 1.0 / sin(alt_angle); if (AM > 38.0) AM = 38.0; double acPower_kW_min = std::min(acPower_kW_max * 0.045 / AM, acPower_kW_avg); //AM? - log(util::format("dcPower min is %lg", acPower_kW_min), SSC_NOTICE); //double acPower_kW_avg = sharedInverter->powerAC_kW + sharedInverter->powerClipLoss_kW; double CF = (acPower_kW_max - acPower_kW_min) > 0.0 ? (acPower_kW_avg - acPower_kW_min) / (acPower_kW_max - acPower_kW_min) : 0.0; if (CF == 1.0) CF = 0.999999; double n = CF / (1 - CF); - log(util::format("n is %lg", n), SSC_NOTICE); - int inverter_count = as_integer("inverter_count"); - //int inverter_count = 99; - //sharedInverter->calculateACPower(dcPower_kW_csky, dcVoltagePerMppt[0], Irradiance->weatherRecord.tdry, as_boolean("enable_subhourly_clipping")); double inv_ac_max = sharedInverter->getACNameplateCapacitykW(); - //log(util::format("Inverter DC Max is %lg kW", inv_dc_max), SSC_NOTICE); double T = 1.0; double log_test = (acPower_kW_max - inv_ac_max) > 0.0 ? (1.0 - (inv_ac_max - acPower_kW_min) / (acPower_kW_max - acPower_kW_min)) : 0.0; - log(util::format("log_test is %lg", log_test), SSC_NOTICE); ssc_number_t t_lm = 0.0; if (log_test > 0.0 && n > 0.0) { t_lm = T * std::exp(std::log(1.0 - (inv_ac_max - acPower_kW_min) / (acPower_kW_max - acPower_kW_min)) / n); //fraction of hours } - log(util::format("t_lm is %lg", t_lm), SSC_NOTICE); double E_clipped = acPower_kW_max * t_lm - ((acPower_kW_max - acPower_kW_min) * pow(t_lm, n + 1) / ((n + 1) * pow(T, n))) - inv_ac_max * t_lm; - log(util::format("E_clipped is %lg kW", E_clipped), SSC_NOTICE); double E_remaining = (inv_ac_max - acPower_kW_max) * T + ((acPower_kW_max - acPower_kW_min) * pow(T, n + 1) / ((n + 1) * pow(T, n))) - (inv_ac_max - acPower_kW_max) * t_lm - ((acPower_kW_max - acPower_kW_min) * pow(t_lm, n + 1) / ((n + 1) * pow(T, n))); if (E_clipped > 0.0 && E_clipped < 1.0e38) { @@ -2853,22 +2850,6 @@ void cm_pvsamv1::exec() else { ac_subinterval_clipping_loss = 0.0; } - /* - if (E_clipped > 0.0 && E_clipped < 1.0e38) { - for (size_t m = 0; m < PVSystem->Inverter->nMpptInputs; m++) - { - dcPowerNetPerMppt_kW[m] -= E_clipped * dcPowerNetPerMppt_kW[m] / dcPower_kW; - } - dcPower_kW -= E_clipped; - PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)E_clipped; - if (iyear == 0) { - annual_distribution_clipping_loss += E_clipped; - } - } - else { - PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)0; - } - */ } else { ac_subinterval_clipping_loss = (ssc_number_t)0; @@ -2902,8 +2883,12 @@ void cm_pvsamv1::exec() PVSystem->p_inverterPowerConsumptionLoss[idx] = (ssc_number_t)(sharedInverter->powerConsumptionLoss_kW); PVSystem->p_inverterThermalLoss[idx] = (ssc_number_t)(sharedInverter->powerTempLoss_kW); PVSystem->p_acWiringLoss[idx] = (ssc_number_t)(ac_wiringloss); - PVSystem->p_subhourlyClippingLoss[idx] = (ssc_number_t)(ac_subhourlyclipping_loss); - PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)(ac_subinterval_clipping_loss); + if (as_boolean("enable_subhourly_clipping")) { + PVSystem->p_subhourlyClippingLoss[idx] = (ssc_number_t)(ac_subhourlyclipping_loss); + } + if (as_boolean("enable_subinterval_distribution")) { + PVSystem->p_DistributionClippingLoss[idx] = (ssc_number_t)(ac_subinterval_clipping_loss); + } if (offline) { PVSystem->p_inverterNightTimeLoss[idx] = 0.0; From de1a3a0e67196ab695095434ecd9a22831b275ec Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Fri, 1 Mar 2024 05:36:05 -0700 Subject: [PATCH 59/79] JSON code generator test now fails with patch branch. Code generation for hybrids limited to only compute module variables. --- ...atts_Wind_Battery_Hybrid_Single_Owner.json | 629 +---------- ...ry_Hybrid_Single_Owner_all_SAM_inputs.json | 976 ++++++++++++++++++ 2 files changed, 1017 insertions(+), 588 deletions(-) create mode 100644 test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_all_SAM_inputs.json diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json index 25448e6a0..f370714c3 100644 --- a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json +++ b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json @@ -2,672 +2,232 @@ "input" : { "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], "windpower" : { - "step" : 0, - "total_installed_cost_per_kw" : 1460.1600000000001, + "turb_specific_loss" : 0.81000000000000005, "wind_resource_distribution" : [ [ 0 ] ], - "ui_step_minutes" : 60, - "bos_cost_total" : 69552000, + "avail_bop_loss" : 0.5, "weibull_wind_speed" : 7.25, - "bos_cost_per_kw" : 347.75999999999999, - "wind_climate.url_info" : "empty", - "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, + "wind_resource_model_choice" : 0, + "wind_turbine_max_cp" : 0.45000000000000001, "env_degrad_loss" : 1.8, - "wind_climate.msg_is_error" : 0, - "wind.turbine.elevation" : 0, - "wind_resource.requested_ht" : 80, - "degradation" : [ 0 ], + "adjust_en_timeindex" : 0, "weibull_reference_height" : 50, - "wind.turbine.radio_list_or_design" : 0, "avail_grid_loss" : 1.5, - "a_error_test_number" : 17.344999999999999, "wind_turbine_rotor_diameter" : 100, - "use_specific_wf_wind" : 0, - "adjust_en_timeindex" : 0, - "wind_resource.country" : "USA", - "user_specified_wf_wind" : " ", - "wind_resource.elev" : 1829, - "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", - "wind_climate.msg" : "", - "wind_resource.closest_speed_meas_ht" : 80, - "wind_turbine_iec_class_from_lib" : "IIb|IIIa", - "wind_resource.city" : "city??", - "wind_resource.closest_dir_meas_ht" : 80, - "wind.turbine.max_tip_speed" : 80, - "wind.turbine.dummy" : 0, - "wind.turbine.max_tspeed_ratio" : 8, - "wind_resource.file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_resource.lon_requested" : 0, - "wind_turbine_max_cp" : 0.45000000000000001, - "est_bos_cost" : 0, - "wind_resource_model_choice" : 0, - "resource_definition_type" : 0, - "wind_turbine_powercurve_windspeeds_from_lib" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_resource.location" : "AZ Eastern-Rolling Hills", - "wind_turbine_rated_wind_speed" : 2500, - "wind.turbine.tower_design" : 0, - "windfarm.farm.offset" : 4, - "wind_resource.lat_requested" : 0, - "wind_turbine_kw_rating_input" : 1500, - "wind_resource.lon" : 0, - "wind_farm_sizing_mode" : 2, - "wind_turbine_rotor_diameter_from_lib" : 100, - "wind_resource.lat" : 0, + "turb_perf_loss" : 1.1000000000000001, "wind_turbine_hub_ht" : 80, - "wind_resource.year" : 1900, - "wind_resource.location_id" : "loc_id", - "wind.turbine.name_only" : "0", - "wind.turbine.blade_design" : 0, - "wind_turbine_rotor_diameter_input" : 75, - "wind_resource.state" : "AZ", - "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "avail_turb_loss" : 3.5800000000000001, "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", - "turbine_cost_per_kw" : 1112.4000000000001, - "wind_turbine_cutin" : 4, - "wind_turbine_kw_rating_from_lib" : 2500, "adjust_constant" : 0, "weibull_k_factor" : 2, - "a_error_test_string" : "\"default err msg\"", - "wind.turbine.drive_train" : 0, - "wind.turbine.region2nhalf_slope" : 5, - "wind_turbine_cut_out" : 25, - "reference_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", "wind_resource_shear" : 0.14000000000000001, - "wind_turbine_powercurve_err_msg" : "", - "wind_turbine_kw_rating" : 2500, - "wind_turbine_powercurve_hub_efficiency" : [ 0 ], "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_turbine_selection" : "GE 2.5xl", "wind_farm_wake_model" : 0, - "om_production_escal" : 0, - "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wake_loss" : 0, - "desired_farm_size" : 10, - "adjust" : 0, "adjust_en_periods" : 0, - "cols" : 1, "adjust_periods" : [ [ 0, 0, 0 ] ], - "sales_tax_basis" : 0, - "turbine_cost_per_turbine" : 0, "adjust_timeindex" : [ 0 ], - "rows" : 1, - "sizing_warning" : 0, - "specify_label" : 1, "system_capacity" : 200000, - "wind_farm_num_turbines" : 80, - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wind_farm_xcoord_file" : [ 0 ], "turb_hysteresis_loss" : 0.40000000000000002, - "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "windfarm.farm.layout_angle" : 0, - "bos_cost_per_turbine" : 0, - "wind_farm_ycoord_file" : [ 0 ], - "analysis_period" : 25, "ops_load_loss" : 0.98999999999999999, - "windfarm.farm.layout_slider" : 33, - "windfarm.farm.number_of_rows" : 10, - "windfarm.farm.offset_type" : 0, - "windfarm.farm.row_spacing" : 8, - "windfarm.layout.file_or_controls" : 1, - "windfarm.farm.shape" : 0, - "windfarm.farm.turbine_spacing" : 8, - "windfarm.farm.turbines_per_row" : 8, - "avail_bop_loss" : 0.5, - "avail_turb_loss" : 3.5800000000000001, - "avail_loss_total" : 5.5011684999999977, "wake_future_loss" : 0, "elec_parasitic_loss" : 0.10000000000000001, + "ops_strategies_loss" : 0, "elec_eff_loss" : 1.9099999999999999, - "elec_loss_total" : 2.0080899999999957, + "turb_generic_loss" : 1.7, "env_env_loss" : 0.40000000000000002, "env_exposure_loss" : 0, + "ops_grid_loss" : 0.83999999999999997, "env_icing_loss" : 0.20999999999999999, - "ops_loss_total" : 2.8034671600000061, - "wake_loss_total" : 1.100000000000001, - "turb_loss_total" : 3.9544963841199987, - "turb_generic_loss" : 1.7, - "env_loss_total" : 2.3981951200000018, "ops_env_loss" : 1, - "ops_grid_loss" : 0.83999999999999997, - "ops_strategies_loss" : 0, - "turb_perf_loss" : 1.1000000000000001, - "turb_specific_loss" : 0.81000000000000005, - "turbine_cost_total" : 222480000.00000003, "wake_ext_loss" : 1.1000000000000001, "wake_int_loss" : 0, - "system_use_lifetime_output" : 0, - "install_type" : 0, - "sales_tax_total" : 0, - "bos_cost_fixed" : 0, - "reference_capacity" : 200000, - "turbine_cost_fixed" : 0, - "est_turbine_cost" : 0, - "reference_number_turbines" : 80, - "reference_sales_tax_percent" : 5, - "total_installed_cost" : 292032000, - "om_capacity" : [ 40 ], - "om_capacity_escal" : 0, - "om_fixed" : [ 0 ], - "om_production" : [ 0 ], - "inflation_rate" : 2.5, - "om_fixed_escal" : 0, - "sales_tax_rate" : 5, - "number table entries" : 150 + "number table entries" : 40 }, "pvwattsv8" : { - "ui_land_area_ha" : 263.15847360564504, - "annual_twet" : "nan", "array_type" : 2, - "use_specific_weather_file" : 0, "dc_ac_ratio" : 1.3, - "in_nsrdb_options" : 0, - "annual_global" : 5.7947616438356171, - "solar_data_file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "shading_timestep" : [ [ 0 ] ], + "shading_en_diff" : 0, "losses" : 14.075660688264469, - "annual_albedo" : 0.18395068539332038, "shading_azal" : [ [ 0 ] ], - "ui_step_minutes" : 60, - "module_total" : 39000000, - "annual_beam" : 7.3356438356164393, - "state" : "-", - "annual_diffuse" : 1.3484328767123288, - "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", - "inverter_total" : 5000000, - "country" : "-", "adjust_en_periods" : 0, - "loss_snow" : 0, - "ui_array_land_area_acres" : 650.27774620322919, - "inv_eff" : 96, - "ui_total_module_area_ha" : 52.631578947368418, - "in_time_step" : 0, - "shading_en_diff" : 0, - "annual_snow" : "nan", - "module_costunits" : 0, - "loss_lid" : 1.5, - "loss_soiling" : 2, - "library_folder_list" : "x", - "landprep_fixed" : 0, - "annual_tdry" : 21.938470319634703, - "loss_mismatch" : 2, - "permitting_fixed" : 0, "shading_string_option" : 0, - "total_land_area" : 650.27774620322919, - "solar_data_source" : "NSRDB", - "annual_wspd" : 1.7864840188646289, - "city" : "-", - "station_id" : "78208", - "ui_land_area_multiplier" : 0, - "elev" : 358, - "in_location_options" : 0, - "total_module_area" : 526315.78947368416, - "landprep_total" : 1000000, - "loss_avail" : 3, - "step" : 3600, - "install_margin_perwatt" : 0.12, - "subtotal_direct" : 103000000, - "file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", + "inv_eff" : 96, "analysis_period" : 25, - "in_location_list" : "", - "is_advanced" : 0, - "lat" : 33.450000000000003, - "ui_land_area_per_mw" : 0, - "library_paths" : "", "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "per_inverter" : 0.050000000000000003, - "loss_age" : 0, - "om_production_escal" : 0, - "lon" : -111.98, - "solar_data_file_name_load" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "engr_total" : 2000000, - "ac_nameplate" : 76923.076923076922, "batt_simple_enable" : 0, - "tz" : -7, - "land_percent" : 0, - "grid_percent" : 0, - "user_specified_weather_file" : "", - "wf_nrecords" : 8760, "azimuth" : 180, - "permitting_total" : 0, "gcr" : 0.29999999999999999, - "grid_fixed" : 0, - "en_user_spec_losses" : 0, "shading_mxh" : [ [ 0 ] ], - "loss_conn" : 0.5, - "loss_nameplate" : 1, - "loss_shading" : 3, - "loss_wiring" : 2, "tilt" : 0, - "losses_user" : 14, "module_type" : 0, "system_capacity" : 100000, - "ui_array_land_area_multiplier" : 1, - "installed_per_capacity" : 1.163945, + "shading_diff" : 0, "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "ui_ac_capacity" : 76.92307692307692, - "om_capacity" : [ 15 ], - "module_num_units" : 1, - "ui_use_acre_per_mw" : 0, - "ui_land_area_additional_units" : 0, - "ui_array_land_area_ha" : 263.15847360564504, - "total_installed_cost" : 116394500, - "pvwatts.advanced.is_shown" : 0, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "shading_en_timestep" : 0, - "ui_land_area_additional" : 0, - "ui_total_land_area_ha" : 263.15847360564504, - "inverter_num_units" : 1, - "ui_total_module_area" : 526315.78947368416, "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "land_per_acre" : 0, - "inflation_rate" : 2.5, - "ui_total_module_area_acres" : 130.05534895540842, - "pv_land_area_is_shown" : 0, "adjust_en_timeindex" : 0, - "adjust" : 0, - "shading_diff" : 0, "adjust_constant" : 0, "en_snowloss" : 0, "adjust_periods" : [ [ 0, 0, 0 ] ], - "contingency" : 3090000, "shading_en_azal" : 0, "bifaciality" : 0, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "module_is_bifacial" : 0, - "shading" : 0, - "land_per_watt" : 0, "shading_en_mxh" : 0, "shading_en_string_option" : 0, - "sales_tax_rate" : 5, - "shading_timestep" : [ [ 0 ] ], - "user_specified_constant_albedo" : 0.20000000000000001, - "landprep_per_watt" : 0.01, "use_wf_albedo" : 1, "system_use_lifetime_output" : 0, - "degradation" : [ 0.5 ], - "install_margin_perarea" : 0, - "bos_equip_perarea" : 0, - "bos_equip_fixed" : 0, - "bos_equip_perwatt" : 0.28999999999999998, - "landprep_per_acre" : 0, - "contingency_percent" : 3, - "bos_equip_total" : 28999999.999999996, - "engr_fixed" : 0, - "om_fixed" : [ 0 ], - "engr_per_watt" : 0.02, - "engr_percent" : 0, - "sales_tax_percent" : 100, - "om_fixed_escal" : 0, - "grid_per_watt" : 0.02, - "permitting_percent" : 0, - "grid_total" : 2000000, - "install_labor_total" : 18000000, - "install_labor_fixed" : 0, - "install_labor_perarea" : 0, - "install_labor_perwatt" : 0.17999999999999999, - "install_margin_fixed" : 0, - "install_margin_total" : 12000000, - "inverter_costunits" : 1, - "inverter_power" : 76923.076923076922, - "inverterarray_power" : 76923.076923076922, - "land_area_value" : 650.27774620322919, - "land_fixed" : 0, - "land_total" : 0, - "landprep_percent" : 0, - "module_power" : 100000, - "modulearray_area" : 526315.78947368416, - "modulearray_power" : 100000, - "per_module" : 0.39000000000000001, - "total_direct_cost" : 106090000, - "sales_tax_total" : 5304500, - "permitting_per_watt" : 0, - "sales_tax_value" : 5, - "total_indirect_cost" : 5000000, - "om_capacity_escal" : 0, - "om_production" : [ 0 ], - "land_area" : 650.27774620322919, - "om_land_lease" : [ 0 ], - "om_land_lease_escal" : 0, - "ui_land_area_units" : 0, - "ui_land_lease" : [ 0 ], - "number table entries" : 175 + "number table entries" : 33 }, "battery" : { - "battery_voltage_shown" : 0, - "compute_as_cube" : 0, "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_bank_power_dc_ac" : 0, - "pv.storage.p4.charge" : 0, - "batt_qnom_percent" : 97.6875, - "leadacid_q20_computed" : 479616, - "batt_qexp" : 2.5840000000000005, - "leadacid_q10_computed" : 446042.88, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_wf_forecast_choice" : 0, "batt_dispatch_auto_can_fuelcellcharge" : 0, - "leadacid_q10" : 93, + "batt_dispatch_pvs_kp" : 1.2, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], "batt_cycle_cost_choice" : 0, - "batt_room_temperature_single" : 25, - "batt_bank_nstrings" : 1, - "batt_room_temperature_celsius" : [ 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25 ], - "leadacid_q20" : 100, - "leadacid_qn" : 60, - "battery_per_kw" : 236, - "batt_dispatch_pvs_kf" : 0.29999999999999999, - "ppa_price_input" : [ 0.050000000000000003 ], - "leadacid_tn" : 1, - "pv.storage.p3.discharge" : 0, - "leadacid_qn_computed" : 287769.59999999998, "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "batt_dispatch_pvs_ramp_interval" : 180, - "batt_c_rate_max_discharge" : 0.25, - "pv.storage.p6.dischargetogrid" : 0, - "batt_volume" : 3428.5692342857146, - "batt_ui_inverter_eff" : 96, - "batt_qnom" : 3.1260000000000003, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_dispatch_pvs_kp" : 1.2, + "batt_room_temperature_celsius" : [ 25 ], + "batt_resistance" : 0.001155, + "ppa_price_input" : [ 0.050000000000000003 ], + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_computed_bank_capacity" : 239999.84640000004, "batt_power_discharge_max_kwdc" : 59999.96160000001, - "pv.storage.p3.charge" : 0, - "add_om_num_types" : 1, "batt_chem" : 1, - "batt_cell_current_charge_max" : 10, + "inflation_rate" : 2.5, + "batt_replacement_capacity" : 50, "om_replacement_cost_escal" : 0, - "genericsys.cost.contingency_percent" : 3, - "pv.storage.p5.gridcharge" : 0, "batt_life_model" : 1, "batt_dispatch_pvs_ac_ub" : 1.05, "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_computed_series" : 139, - "batt_c_rate_max_charge_input" : 0.5, + "batt_h_to_ambient" : 100, "om_batt_variable_cost" : [ 0 ], - "genericsys.cost.plm.nonfixed" : 3305061.884759041, - "om_batt_nameplate" : 239999.84640000004, - "batt_type" : 5, - "batt_bank_duration" : 0, + "ppa_escalation" : 1, "batt_surface_area" : 17999.988480000004, "batt_loss_choice" : 0, - "batt_unit_capacity" : 400, "batt_meter_position" : 1, - "batt_maximum_soc" : 95, - "batt_cell_power_charge_max" : 2, - "batt_bank_size_specify" : 100, - "batt_bank_nseries_stacks" : 1, - "batt_bank_size" : 240000, - "batt_discharge_percent_1" : 50, + "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_update_frequency_hours" : 1, "batt_dispatch_auto_can_gridcharge" : 0, - "batt_c_rate_max_discharge_input" : 0.5, - "batt_computed_voltage" : 500.40000000000003, - "batt_vcut" : 2.7719999999999998, - "batt_vnom_default" : 3.6000000000000001, - "batt_discharge_percent_2" : 25, - "batt_vfull" : 4.2000000000000002, - "batt_bank_ncells_serial" : 3, "batt_computed_strings" : 149880, "en_standalone_batt" : 0, "batt_current_discharge_max" : 119904, - "pv.storage.p3.dischargetogrid" : 0, - "batt_bank_voltage" : 500, - "ui_copy_batt_discharge_percent_5" : 25, - "batt_qfull_flow" : 479616.00000000006, - "batt_size_choice" : 0, + "batt_dc_dc_efficiency" : 99, "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], - "batt_bank_power" : 60000, - "battery_total" : 91679941.324800014, - "batt_initial_soc" : 50, - "total_direct_cost" : 94430339.564544022, - "batt_cell_current_discharge_max" : 10, - "batt_c_rate_max_charge" : 0.25, - "batt_duration_choice" : 0, - "genericsys.cost.epc.fixed" : 0, "batt_inverter_efficiency_cutoff" : 90, - "genericsys.cost.epc.total" : 9443033.9564544018, - "batt_thermal_choice" : 0, - "batt_bank_size_dc_ac" : 0, - "batt_bank_size_ui" : 240000, - "batt_qfull" : 3.2000000000000002, - "batt_cell_power_discharge_max" : 2, - "batt_time_capacity" : 4, - "batt_computed_stacks_series" : 0, "batt_current_charge_max" : 119904, - "batt_dispatch_wf_forecast_choice" : 0, - "batt_dc_ac_efficiency" : 96, - "batt_dispatch_pvs_battery_energy" : 239999.84640000004, - "batt_discharge_percent_6" : 25, "batt_current_choice" : 1, - "om_capacity_escal" : 0, - "ui_copy_batt_discharge_percent_4" : 25, - "batt_num_cells" : 20833320, "batt_ac_or_dc" : 1, "batt_power_charge_max_kwac" : 62499.960000000014, + "batt_calendar_c" : 939, "batt_ac_dc_efficiency" : 96, "batt_power_charge_max_kwdc" : 59999.96160000001, "batt_power_discharge_max_kwac" : 57599.963136000006, - "batt_ui_nominal_bank_power" : 59999.96160000001, - "batt_dc_dc_efficiency" : 99, - "batt_c_rate" : 0.20000000000000001, "batt_voltage_choice" : 0, - "batt_qexp_percent" : 80.75, - "batt_vexp" : 3.5299999999999998, - "batt_resistance" : 0.001155, "dispatch_manual_percent_discharge" : [ 25, 0 ], "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_gridcharge_percent_3" : 100, - "batt_vnom" : 3.3420000000000001, - "batt_ui_bank_voltage" : 500.40000000000003, - "genericsys.cost.epc.nonfixed" : 9443033.9564544018, - "batt_ui_cell_voltage" : 3.6000000000000001, - "pv.storage.p6.discharge" : 0, "batt_losses" : [ 0 ], "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_replacement_schedule_percent" : [ 0 ], - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "battery_losses_shown" : 0, "dispatch_manual_system_charge_first" : 0, - "batt_cp" : 1500, - "batt_room_temperature_vector" : [ 0 ], "batt_mass" : 2376236.1029702974, "batt_dispatch_pvs_ac_lb_enable" : 0, - "batt_h_to_ambient" : 100, - "batt_specific_energy_per_mass" : 101, + "batt_calendar_choice" : 1, "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_specific_energy_per_volume" : 70, - "batt_unit_surface_area" : 30, - "battery_thermal_shown" : 0, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], "batt_calendar_a" : 0.00266, - "genericsys.cost.sales_tax.total" : 4721516.9782272009, "batt_calendar_b" : -7280, - "battery_indirect_cost_percent" : 0, "batt_calendar_q0" : 1.02, - "inflation_rate" : 2.5, - "batt_pvs_user_specified_weather_file" : "", - "om_fixed_escal" : 0, - "batt_calendar_c" : 939, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_calendar_choice" : 1, - "ppa_escalation" : 1, "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], - "batt_life_excl" : 1, - "batt_replacement_capacity" : 50, "batt_replacement_option" : 1, - "pv.storage.p2.charge" : 0, - "ui_batt_life_model" : 0, "batt_cycle_cost" : [ 0 ], - "genericsys.cost.epc.percent" : 10, - "batt_pv_ac_forecast" : [ 0 ], - "batt_dispatch_update_frequency_hours" : 1, - "batt_pv_ac_forecast_fom_auto" : [ 0 ], "batt_look_ahead_hours" : 18, - "pv.storage.p1.discharge" : 0, - "batt_user_specified_weather_file" : "", "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_dispatch_pvs_battery_rte" : 92.159999999999997, - "genericsys.cost.per_watt" : 0, "batt_dispatch_pvs_ac_ub_enable" : 0, - "pv.storage.p4.gridcharge" : 0, - "batt_dispatch_pvs_battery_power" : 62499.960000000014, - "om_batt_fixed_cost" : [ 0 ], - "pv.storage.p5.discharge" : 0, - "batt_dispatch_pvs_wf_forecast_choice" : 0, "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_gridcharge_percent_1" : 100, - "battery_energy" : 239999.84640000004, "batt_dispatch_pvs_curtail_if_violation" : 0, "batt_dispatch_pvs_forecast_shift_periods" : 3, - "batt_dispatch_pvs_interconnection_limit" : 20000, "batt_dispatch_pvs_ki" : 1.8, "batt_dispatch_pvs_max_ramp" : 10, - "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "batt_dispatch_pvs_nameplate" : 276923.07692307694, "batt_dispatch_pvs_nameplate_ac" : 20000, - "total_installed_cost" : 111899952.38398466, "batt_dispatch_pvs_short_forecast_enable" : 0, "batt_dispatch_pvs_soc_rest" : 50, "batt_dispatch_pvs_timestep_multiplier" : 3, - "batt_dispatch_pvs_wf_timestep" : 60, "batt_pv_clipping_forecast" : [ 0 ], - "batt_pvs_pv_ac_forecast" : [ 0 ], - "batt_gridcharge_percent_6" : 100, "batt_custom_dispatch" : [ 0 ], - "batt_discharge_percent_3" : 25, - "ui_copy_batt_discharge_percent_3" : 25, - "batt_discharge_percent_4" : 25, "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_discharge_percent_5" : 25, - "genericsys.cost.sales_tax.value" : 5, - "batt_gridcharge_percent_2" : 100, - "batt_gridcharge_percent_4" : 100, - "batt_gridcharge_percent_5" : 100, "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], "analysis_period" : 25, "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], "batt_dispatch_auto_can_clipcharge" : 0, "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "pv.storage.p1.charge" : 1, - "pv.storage.p1.dischargetogrid" : 0, - "pv.storage.p5.charge" : 0, - "pv.storage.p1.gridcharge" : 0, - "pv.storage.p2.discharge" : 1, - "pv.storage.p2.dischargetogrid" : 0, - "ui_copy_batt_discharge_percent_2" : 25, - "pv.storage.p2.gridcharge" : 0, - "ui_copy_batt_discharge_percent_1" : 50, - "pv.storage.p3.gridcharge" : 0, - "pv.storage.p4.discharge" : 0, - "pv.storage.p4.dischargetogrid" : 0, - "pv.storage.p5.dischargetogrid" : 0, - "pv.storage.p6.charge" : 0, - "pv.storage.p6.gridcharge" : 0, - "ui_copy_batt_discharge_percent_6" : 25, - "batt_dispatch_excl" : 3, - "batt_minimum_soc" : 10, "batt_minimum_modetime" : 10, "om_production_escal" : 0, "grid_interconnection_limit_kwac" : 20000, "batt_dispatch_auto_can_charge" : 1, "batt_dispatch_choice" : 3, - "batt_dispatch_choice_ui" : 3, - "genericsys.cost.contingency" : 2750398.2397440001, - "battery_per_kwh" : 323, - "battery_power" : 59999.96160000001, - "battery_total_cost_lcos" : 111899952.38398466, - "sales_tax_rate" : 5, - "genericsys.cost.installed_per_capacity" : 1.94270875, - "pv_ui_step_minutes" : 60, - "genericsys.cost.plm.fixed" : 0, - "genericsys.cost.plm.percent" : 3.5, - "genericsys.cost.plm.total" : 3305061.884759041, - "genericsys.cost.sales_tax.percent" : 100, - "total_indirect_cost" : 12748095.841213442, "om_batt_replacement_cost" : [ 323 ], - "system_capacity" : 57599.963136000006, - "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "wind_ui_step_minutes" : 60, "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "ppa_multiplier_model" : 0, - "hybrid_system_capacity" : 276923.07692307694, - "number table entries" : 253 + "number table entries" : 93 }, "hybrid" : { - "ui_generic_capacity" : 0, - "flip_target_year" : 20, "depr_bonus_fed_custom" : 0, - "ui_wacc" : 5.3872800000000014, - "ui_wind_cost" : 292032000, - "ui_battery_capacity" : 57599.963136000006, - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_source" : "empty", - "wind_system_capacity" : 200000, + "flip_target_year" : 20, "om_capacity" : 0, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ibi_sta_amount_deprbas_fed" : 0, "ibi_oth_amount_tax_sta" : 1, - "const_per_months3" : 0, "cost_other_financing" : 0, - "ui_generic_cost" : 0, "cp_capacity_payment_type" : 0, "inflation_rate" : 2.5, "depr_bonus_fed" : 0, "flip_target_percent" : 11, "cp_capacity_payment_esc" : 0, - "ur_name" : "", - "const_per_principal1" : 520326452.38398468, "term_int_rate" : 4, "property_tax_rate" : 0, "ptc_fed_escal" : 0, "months_working_reserve" : 6, "system_capacity" : 276923.07692307694, "ibi_fed_percent_maxvalue" : 0, - "ui_fuel_cell_cost" : 0, "pbi_oth_tax_sta" : 1, - "const_per_interest_rate3" : 0, "grid_interconnection_limit_kwac" : 20000, "cost_debt_fee" : 2.75, "enable_interconnection_limit" : 0, "equip3_reserve_freq" : 0, - "ui_battery_cost" : 111899952.38398466, "ibi_oth_percent_tax_fed" : 1, "dscr_maximum_debt_fraction" : 100, - "nominal_discount_rate" : 9.0600000000000023, - "mera_name2" : "Replacement Reserve 2", - "ui_fuel_cell_capacity" : 0, "ptc_sta_amount" : [ 0 ], - "const_per_interest4" : 0, "itc_fed_percent_deprbas_fed" : 1, "cbi_fed_deprbas_fed" : 0, - "const_per_interest3" : 0, "debt_option" : 1, "real_discount_rate" : 6.4000000000000004, "itc_sta_amount" : [ 0 ], "depr_alloc_sl_15_percent" : 0, "rate_escalation" : [ 0 ], - "lib_dispatch_factor4" : 1, - "const_per_total2" : 0, "prop_tax_assessed_decline" : 0, "pbi_oth_for_ds" : 0, - "mera_cost2" : 0, - "hybrid_capital_cost" : 0, "cbi_fed_deprbas_sta" : 0, "itc_fed_percent_deprbas_sta" : 1, "depr_itc_sta_macrs_15" : 0, "ur_enable_billing_demand" : 0, "debt_percent" : 60, - "const_per_interest2" : 0, - "battery_system_capacity" : 57599.963136000006, - "ui_hyb_pv_capacity" : 76923.076923076922, "batt_salvage_percentage" : 0, "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], "ibi_fed_amount_deprbas_sta" : 0, "salvage_percentage" : 0, "ibi_oth_amount_deprbas_sta" : 0, "depr_alloc_macrs_5_percent" : 100, - "const_per_name3" : "Loan 3", "total_installed_cost" : 520326452.38398468, - "property_assessed_value" : 520326452.38398468, "pbi_uti_tax_sta" : 1, "itc_sta_amount_deprbas_sta" : 0, - "ui_pv_cost" : 116394500, "cbi_uti_deprbas_fed" : 0, "depr_bonus_fed_macrs_15" : 0, - "ur_dc_is_shown" : 1, "om_fixed" : 0, "om_production" : 0, "term_tenor" : 18, @@ -675,13 +235,10 @@ "state_tax_rate" : [ 7 ], "analysis_period" : 25, "ibi_uti_amount_deprbas_fed" : 0, - "analysis_period_warning" : "", - "mera_name1" : "Replacement Reserve 1", "federal_tax_rate" : [ 21 ], "equip_reserve_depr_sta" : 0, "equip3_reserve_cost" : 0, "dscr" : 1.3, - "ur_rate_notes" : "", "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], "cbi_fed_maxvalue" : 0, "ibi_sta_percent" : 0, @@ -692,111 +249,51 @@ "prop_tax_cost_assessed_percent" : 100, "depr_itc_sta_sl_15" : 0, "ibi_fed_amount" : 0, - "sales_tax_rate" : 5, - "const_per_name5" : "Loan 5", "ptc_fed_amount" : [ 0 ], "ibi_uti_percent_deprbas_sta" : 0, "cbi_fed_tax_sta" : 1, - "solution_mode_message" : "", "pbi_fed_tax_fed" : 1, - "salvage_value" : 0, "depr_alloc_sl_5_percent" : 0, "cbi_sta_amount" : 0, "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "batt_salvage_value" : 0, - "ur_demand_history" : 0, "payment_option" : 0, "pbi_sta_for_ds" : 0, "pbi_fed_escal" : 0, "grid_curtailment_price_esc" : 0, "depr_custom_schedule" : [ 0 ], "dscr_limit_debt_fraction" : 0, - "const_per_upfront_rate2" : 0, "cost_debt_closing" : 0, - "ur_voltage_max" : 0, "ibi_oth_percent_tax_sta" : 1, "ibi_fed_amount_deprbas_fed" : 0, - "debt_message" : "", "cp_capacity_payment_amount" : [ 0 ], "loan_moratorium" : 0, "cbi_oth_tax_fed" : 1, - "show_debtconstdscr" : 1, - "show_capitalcostso" : 1, - "const_per_interest1" : 8455304.851239752, "batt_replacement_option" : 1, - "const_per_interest_rate1" : 6.5, "itc_fed_amount_deprbas_fed" : 1, - "const_per_principal_total" : 520326452.38398468, "ibi_sta_percent_deprbas_fed" : 0, - "const_per_name2" : "Loan 2", - "dispatch_data_filename" : "", - "ur_demand_reactive_power_charge" : 0, - "const_per_upfront_rate1" : 1, "pbi_fed_amount" : [ 0 ], - "const_per_interest_rate2" : 0, "ibi_oth_percent_deprbas_sta" : 0, - "const_per_upfront_rate4" : 0, - "const_per_interest5" : 0, - "const_per_interest_total" : 8455304.851239752, - "const_per_interest_rate4" : 0, - "const_per_interest_rate5" : 0, - "const_per_upfront_rate5" : 0, "depr_bonus_sta_sl_39" : 0, - "const_per_months1" : 6, "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "const_per_upfront_rate3" : 0, - "ur_cr_tou_mat" : [ [ 0 ] ], "ibi_oth_amount_tax_fed" : 1, "ur_nm_credit_rollover" : 0, - "lib_dispatch_factor5" : 1, - "const_per_total3" : 0, "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "const_per_months2" : 0, - "const_per_months4" : 0, - "lib_dispatch_factor7" : 1, - "const_per_total1" : 13658569.375079598, - "const_per_months5" : 0, - "ur_is_default" : 0, - "const_per_name1" : "Loan 1", "ibi_uti_percent_maxvalue" : 0, "ibi_uti_percent_deprbas_fed" : 0, "construction_financing_cost" : 13658569.375079598, - "const_per_name4" : "Loan 4", "cbi_uti_maxvalue" : 0, "pbi_uti_escal" : 0, "depr_fedbas_method" : 1, - "const_per_percent4" : 0, - "ur_cr_sched" : [ [ 0 ] ], - "show_reserveaccounts" : 1, - "ur_billing_demand_is_shown" : 0, "ibi_uti_amount_tax_sta" : 1, "ptc_sta_escal" : 0, - "const_per_percent1" : 100, - "const_per_percent2" : 0, "depr_bonus_sta_macrs_5" : 0, "itc_sta_percent_deprbas_fed" : 0, - "const_per_percent3" : 0, "pbi_oth_escal" : 0, - "const_per_percent_total" : 100, "months_receivables_reserve" : 0, "cbi_sta_tax_sta" : 1, "ibi_sta_amount" : 0, - "mera_name3" : "Replacement Reserve 3", - "ur_desc_is_shown" : 0, - "const_per_percent5" : 0, - "const_per_principal2" : 0, - "is_btm" : 0, "equip1_reserve_cost" : 0.10000000000000001, - "const_per_principal3" : 0, - "const_per_principal4" : 0, - "const_per_principal5" : 0, - "lib_dispatch_factor2" : 1, - "const_per_total4" : 0, - "lib_dispatch_factor3" : 1, - "const_per_total5" : 0, - "show_construction_period" : 1, "ibi_oth_amount" : 0, - "ur_unused_is_shown" : 0, "ibi_sta_percent_tax_fed" : 1, "dscr_reserve_months" : 6, "equip1_reserve_freq" : 15, @@ -804,11 +301,7 @@ "equip2_reserve_cost" : 0, "equip_reserve_depr_fed" : 0, "equip2_reserve_freq" : 0, - "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "wind_total_installed_cost" : 292032000, - "mera_cost1" : 27692307.692307696, "depr_bonus_fed_sl_39" : 0, - "mera_cost3" : 0, "reserves_interest" : 1.25, "depr_itc_sta_custom" : 0, "ppa_escalation" : 1, @@ -819,31 +312,18 @@ "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], "depr_alloc_sl_20_percent" : 0, "en_electricity_rates" : 1, - "battery_total_installed_cost" : 111899952.38398466, "ibi_sta_amount_deprbas_sta" : 0, - "revenue_tod_is_shown" : 1, "itc_fed_amount" : [ 0 ], "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "ibi_fed_percent_tax_fed" : 1, - "ur_end_date" : "empty", "depr_bonus_sta_custom" : 0, "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "lib_dispatch_factor1" : 1, "pbi_sta_tax_fed" : 1, "depr_bonus_fed_sl_15" : 0, - "ac_nameplate" : 76923.076923076922, "pbi_sta_amount" : [ 0 ], - "tod_library" : "Uniform Dispatch", "ur_nm_yearend_sell_rate" : 0, "depr_itc_sta_macrs_5" : 0, - "lib_dispatch_factor6" : 1, - "lib_dispatch_factor8" : 1, - "lib_dispatch_factor9" : 1, - "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ppa_multiplier_model" : 0, - "revenue_capacity_payments_is_shown" : 0, - "ur_energy_history" : 0, - "ui_system_nameplate_standalone" : 0, "cp_battery_nameplate" : 0, "ptc_fed_term" : 10, "cp_capacity_credit_percent" : [ 0 ], @@ -852,7 +332,6 @@ "cp_system_nameplate" : 276.92307692307696, "grid_curtailment_price" : [ 0 ], "depr_bonus_fed_sl_5" : 0, - "revenue_curtailment_is_shown" : 0, "itc_fed_amount_deprbas_sta" : 1, "itc_fed_percent" : [ 30 ], "ptc_sta_term" : 10, @@ -861,7 +340,6 @@ "pbi_fed_for_ds" : 0, "itc_sta_percent" : [ 0 ], "itc_sta_percent_deprbas_sta" : 0, - "ur_fuel_adjustments_monthly" : [ 0 ], "ibi_sta_amount_tax_sta" : 1, "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], "depr_alloc_macrs_15_percent" : 0, @@ -876,17 +354,13 @@ "cbi_oth_amount" : 0, "depr_bonus_fed_sl_20" : 0, "cbi_oth_deprbas_fed" : 0, - "batt_power_discharge_max_kwac" : 57599.963136000006, "cbi_oth_deprbas_sta" : 0, "pbi_fed_tax_sta" : 1, "cbi_oth_maxvalue" : 0, - "ui_electricity_rate_option" : 1, "cbi_oth_tax_sta" : 1, "cbi_sta_deprbas_fed" : 0, "cbi_sta_deprbas_sta" : 0, - "ur_demand_min" : 0, "cbi_sta_maxvalue" : 0, - "ur_voltage_min" : 0, "ibi_fed_amount_tax_fed" : 1, "cbi_uti_amount" : 0, "ibi_uti_percent" : 0, @@ -896,8 +370,6 @@ "ibi_fed_percent_deprbas_sta" : 0, "ibi_fed_amount_tax_sta" : 1, "ibi_fed_percent" : 0, - "ur_ratedata_filename" : "", - "ur_energy_attrs" : "", "ibi_fed_percent_tax_sta" : 1, "ibi_sta_amount_tax_fed" : 1, "ibi_oth_amount_deprbas_fed" : 0, @@ -905,21 +377,16 @@ "ibi_oth_percent" : 0, "ibi_uti_amount_tax_fed" : 1, "ibi_oth_percent_deprbas_fed" : 0, - "ur_fixed_attrs" : "", "ibi_sta_percent_maxvalue" : 0, "ibi_uti_amount" : 0, "ur_nm_credit_month" : 0, "ibi_uti_percent_tax_sta" : 1, - "ur_energy_max" : 0, "depr_itc_sta_sl_5" : 0, - "chk_update_peaks" : 0, "pbi_fed_term" : 0, "pbi_oth_tax_fed" : 1, "pbi_oth_term" : 0, - "ur_ec_is_shown" : 1, "depr_itc_fed_sl_15" : 0, "depr_itc_sta_sl_20" : 0, - "ur_voltage_category" : "", "pbi_sta_escal" : 0, "pbi_sta_tax_sta" : 1, "pbi_uti_amount" : [ 0 ], @@ -928,8 +395,6 @@ "depr_alloc_custom_percent" : 0, "depr_bonus_sta_sl_20" : 0, "depr_itc_sta_sl_39" : 0, - "depr_alloc_none" : 0, - "ur_demand_window" : 0, "depr_alloc_sl_39_percent" : 0, "depr_bonus_fed_macrs_5" : 1, "depr_bonus_sta" : 0, @@ -947,26 +412,14 @@ "ur_en_ts_buy_rate" : 0, "ur_ts_buy_rate" : [ 0 ], "ur_annual_min_charge" : 0, - "ur_has_unused_items" : 0, "ur_monthly_min_charge" : 0, - "ur_demand_max" : 0, - "ur_description" : "", - "ur_energy_min" : 0, - "ur_utility" : "empty", - "ur_phase_wiring" : "", - "ur_schedule_name" : "empty", - "ur_start_date" : "empty", - "ur_uri" : "empty", "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ur_yearzero_usage_peaks" : [ 0 ], "ur_billing_demand_minimum" : 0, "ur_dc_enable" : 0, "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_demand_attrs" : "", - "ur_service_type" : "", - "pv_total_installed_cost" : 116394500, - "number table entries" : 378 + "number table entries" : 242 }, "number table entries" : 5 }, diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_all_SAM_inputs.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_all_SAM_inputs.json new file mode 100644 index 000000000..25448e6a0 --- /dev/null +++ b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_all_SAM_inputs.json @@ -0,0 +1,976 @@ +{ + "input" : { + "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], + "windpower" : { + "step" : 0, + "total_installed_cost_per_kw" : 1460.1600000000001, + "wind_resource_distribution" : [ [ 0 ] ], + "ui_step_minutes" : 60, + "bos_cost_total" : 69552000, + "weibull_wind_speed" : 7.25, + "bos_cost_per_kw" : 347.75999999999999, + "wind_climate.url_info" : "empty", + "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, + "env_degrad_loss" : 1.8, + "wind_climate.msg_is_error" : 0, + "wind.turbine.elevation" : 0, + "wind_resource.requested_ht" : 80, + "degradation" : [ 0 ], + "weibull_reference_height" : 50, + "wind.turbine.radio_list_or_design" : 0, + "avail_grid_loss" : 1.5, + "a_error_test_number" : 17.344999999999999, + "wind_turbine_rotor_diameter" : 100, + "use_specific_wf_wind" : 0, + "adjust_en_timeindex" : 0, + "wind_resource.country" : "USA", + "user_specified_wf_wind" : " ", + "wind_resource.elev" : 1829, + "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", + "wind_climate.msg" : "", + "wind_resource.closest_speed_meas_ht" : 80, + "wind_turbine_iec_class_from_lib" : "IIb|IIIa", + "wind_resource.city" : "city??", + "wind_resource.closest_dir_meas_ht" : 80, + "wind.turbine.max_tip_speed" : 80, + "wind.turbine.dummy" : 0, + "wind.turbine.max_tspeed_ratio" : 8, + "wind_resource.file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_resource.lon_requested" : 0, + "wind_turbine_max_cp" : 0.45000000000000001, + "est_bos_cost" : 0, + "wind_resource_model_choice" : 0, + "resource_definition_type" : 0, + "wind_turbine_powercurve_windspeeds_from_lib" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_resource.location" : "AZ Eastern-Rolling Hills", + "wind_turbine_rated_wind_speed" : 2500, + "wind.turbine.tower_design" : 0, + "windfarm.farm.offset" : 4, + "wind_resource.lat_requested" : 0, + "wind_turbine_kw_rating_input" : 1500, + "wind_resource.lon" : 0, + "wind_farm_sizing_mode" : 2, + "wind_turbine_rotor_diameter_from_lib" : 100, + "wind_resource.lat" : 0, + "wind_turbine_hub_ht" : 80, + "wind_resource.year" : 1900, + "wind_resource.location_id" : "loc_id", + "wind.turbine.name_only" : "0", + "wind.turbine.blade_design" : 0, + "wind_turbine_rotor_diameter_input" : 75, + "wind_resource.state" : "AZ", + "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "turbine_cost_per_kw" : 1112.4000000000001, + "wind_turbine_cutin" : 4, + "wind_turbine_kw_rating_from_lib" : 2500, + "adjust_constant" : 0, + "weibull_k_factor" : 2, + "a_error_test_string" : "\"default err msg\"", + "wind.turbine.drive_train" : 0, + "wind.turbine.region2nhalf_slope" : 5, + "wind_turbine_cut_out" : 25, + "reference_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_resource_shear" : 0.14000000000000001, + "wind_turbine_powercurve_err_msg" : "", + "wind_turbine_kw_rating" : 2500, + "wind_turbine_powercurve_hub_efficiency" : [ 0 ], + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_turbine_selection" : "GE 2.5xl", + "wind_farm_wake_model" : 0, + "om_production_escal" : 0, + "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wake_loss" : 0, + "desired_farm_size" : 10, + "adjust" : 0, + "adjust_en_periods" : 0, + "cols" : 1, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "sales_tax_basis" : 0, + "turbine_cost_per_turbine" : 0, + "adjust_timeindex" : [ 0 ], + "rows" : 1, + "sizing_warning" : 0, + "specify_label" : 1, + "system_capacity" : 200000, + "wind_farm_num_turbines" : 80, + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wind_farm_xcoord_file" : [ 0 ], + "turb_hysteresis_loss" : 0.40000000000000002, + "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "windfarm.farm.layout_angle" : 0, + "bos_cost_per_turbine" : 0, + "wind_farm_ycoord_file" : [ 0 ], + "analysis_period" : 25, + "ops_load_loss" : 0.98999999999999999, + "windfarm.farm.layout_slider" : 33, + "windfarm.farm.number_of_rows" : 10, + "windfarm.farm.offset_type" : 0, + "windfarm.farm.row_spacing" : 8, + "windfarm.layout.file_or_controls" : 1, + "windfarm.farm.shape" : 0, + "windfarm.farm.turbine_spacing" : 8, + "windfarm.farm.turbines_per_row" : 8, + "avail_bop_loss" : 0.5, + "avail_turb_loss" : 3.5800000000000001, + "avail_loss_total" : 5.5011684999999977, + "wake_future_loss" : 0, + "elec_parasitic_loss" : 0.10000000000000001, + "elec_eff_loss" : 1.9099999999999999, + "elec_loss_total" : 2.0080899999999957, + "env_env_loss" : 0.40000000000000002, + "env_exposure_loss" : 0, + "env_icing_loss" : 0.20999999999999999, + "ops_loss_total" : 2.8034671600000061, + "wake_loss_total" : 1.100000000000001, + "turb_loss_total" : 3.9544963841199987, + "turb_generic_loss" : 1.7, + "env_loss_total" : 2.3981951200000018, + "ops_env_loss" : 1, + "ops_grid_loss" : 0.83999999999999997, + "ops_strategies_loss" : 0, + "turb_perf_loss" : 1.1000000000000001, + "turb_specific_loss" : 0.81000000000000005, + "turbine_cost_total" : 222480000.00000003, + "wake_ext_loss" : 1.1000000000000001, + "wake_int_loss" : 0, + "system_use_lifetime_output" : 0, + "install_type" : 0, + "sales_tax_total" : 0, + "bos_cost_fixed" : 0, + "reference_capacity" : 200000, + "turbine_cost_fixed" : 0, + "est_turbine_cost" : 0, + "reference_number_turbines" : 80, + "reference_sales_tax_percent" : 5, + "total_installed_cost" : 292032000, + "om_capacity" : [ 40 ], + "om_capacity_escal" : 0, + "om_fixed" : [ 0 ], + "om_production" : [ 0 ], + "inflation_rate" : 2.5, + "om_fixed_escal" : 0, + "sales_tax_rate" : 5, + "number table entries" : 150 + }, + "pvwattsv8" : { + "ui_land_area_ha" : 263.15847360564504, + "annual_twet" : "nan", + "array_type" : 2, + "use_specific_weather_file" : 0, + "dc_ac_ratio" : 1.3, + "in_nsrdb_options" : 0, + "annual_global" : 5.7947616438356171, + "solar_data_file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "losses" : 14.075660688264469, + "annual_albedo" : 0.18395068539332038, + "shading_azal" : [ [ 0 ] ], + "ui_step_minutes" : 60, + "module_total" : 39000000, + "annual_beam" : 7.3356438356164393, + "state" : "-", + "annual_diffuse" : 1.3484328767123288, + "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", + "inverter_total" : 5000000, + "country" : "-", + "adjust_en_periods" : 0, + "loss_snow" : 0, + "ui_array_land_area_acres" : 650.27774620322919, + "inv_eff" : 96, + "ui_total_module_area_ha" : 52.631578947368418, + "in_time_step" : 0, + "shading_en_diff" : 0, + "annual_snow" : "nan", + "module_costunits" : 0, + "loss_lid" : 1.5, + "loss_soiling" : 2, + "library_folder_list" : "x", + "landprep_fixed" : 0, + "annual_tdry" : 21.938470319634703, + "loss_mismatch" : 2, + "permitting_fixed" : 0, + "shading_string_option" : 0, + "total_land_area" : 650.27774620322919, + "solar_data_source" : "NSRDB", + "annual_wspd" : 1.7864840188646289, + "city" : "-", + "station_id" : "78208", + "ui_land_area_multiplier" : 0, + "elev" : 358, + "in_location_options" : 0, + "total_module_area" : 526315.78947368416, + "landprep_total" : 1000000, + "loss_avail" : 3, + "step" : 3600, + "install_margin_perwatt" : 0.12, + "subtotal_direct" : 103000000, + "file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", + "analysis_period" : 25, + "in_location_list" : "", + "is_advanced" : 0, + "lat" : 33.450000000000003, + "ui_land_area_per_mw" : 0, + "library_paths" : "", + "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "per_inverter" : 0.050000000000000003, + "loss_age" : 0, + "om_production_escal" : 0, + "lon" : -111.98, + "solar_data_file_name_load" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "engr_total" : 2000000, + "ac_nameplate" : 76923.076923076922, + "batt_simple_enable" : 0, + "tz" : -7, + "land_percent" : 0, + "grid_percent" : 0, + "user_specified_weather_file" : "", + "wf_nrecords" : 8760, + "azimuth" : 180, + "permitting_total" : 0, + "gcr" : 0.29999999999999999, + "grid_fixed" : 0, + "en_user_spec_losses" : 0, + "shading_mxh" : [ [ 0 ] ], + "loss_conn" : 0.5, + "loss_nameplate" : 1, + "loss_shading" : 3, + "loss_wiring" : 2, + "tilt" : 0, + "losses_user" : 14, + "module_type" : 0, + "system_capacity" : 100000, + "ui_array_land_area_multiplier" : 1, + "installed_per_capacity" : 1.163945, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "ui_ac_capacity" : 76.92307692307692, + "om_capacity" : [ 15 ], + "module_num_units" : 1, + "ui_use_acre_per_mw" : 0, + "ui_land_area_additional_units" : 0, + "ui_array_land_area_ha" : 263.15847360564504, + "total_installed_cost" : 116394500, + "pvwatts.advanced.is_shown" : 0, + "shading_en_timestep" : 0, + "ui_land_area_additional" : 0, + "ui_total_land_area_ha" : 263.15847360564504, + "inverter_num_units" : 1, + "ui_total_module_area" : 526315.78947368416, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "land_per_acre" : 0, + "inflation_rate" : 2.5, + "ui_total_module_area_acres" : 130.05534895540842, + "pv_land_area_is_shown" : 0, + "adjust_en_timeindex" : 0, + "adjust" : 0, + "shading_diff" : 0, + "adjust_constant" : 0, + "en_snowloss" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "contingency" : 3090000, + "shading_en_azal" : 0, + "bifaciality" : 0, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "module_is_bifacial" : 0, + "shading" : 0, + "land_per_watt" : 0, + "shading_en_mxh" : 0, + "shading_en_string_option" : 0, + "sales_tax_rate" : 5, + "shading_timestep" : [ [ 0 ] ], + "user_specified_constant_albedo" : 0.20000000000000001, + "landprep_per_watt" : 0.01, + "use_wf_albedo" : 1, + "system_use_lifetime_output" : 0, + "degradation" : [ 0.5 ], + "install_margin_perarea" : 0, + "bos_equip_perarea" : 0, + "bos_equip_fixed" : 0, + "bos_equip_perwatt" : 0.28999999999999998, + "landprep_per_acre" : 0, + "contingency_percent" : 3, + "bos_equip_total" : 28999999.999999996, + "engr_fixed" : 0, + "om_fixed" : [ 0 ], + "engr_per_watt" : 0.02, + "engr_percent" : 0, + "sales_tax_percent" : 100, + "om_fixed_escal" : 0, + "grid_per_watt" : 0.02, + "permitting_percent" : 0, + "grid_total" : 2000000, + "install_labor_total" : 18000000, + "install_labor_fixed" : 0, + "install_labor_perarea" : 0, + "install_labor_perwatt" : 0.17999999999999999, + "install_margin_fixed" : 0, + "install_margin_total" : 12000000, + "inverter_costunits" : 1, + "inverter_power" : 76923.076923076922, + "inverterarray_power" : 76923.076923076922, + "land_area_value" : 650.27774620322919, + "land_fixed" : 0, + "land_total" : 0, + "landprep_percent" : 0, + "module_power" : 100000, + "modulearray_area" : 526315.78947368416, + "modulearray_power" : 100000, + "per_module" : 0.39000000000000001, + "total_direct_cost" : 106090000, + "sales_tax_total" : 5304500, + "permitting_per_watt" : 0, + "sales_tax_value" : 5, + "total_indirect_cost" : 5000000, + "om_capacity_escal" : 0, + "om_production" : [ 0 ], + "land_area" : 650.27774620322919, + "om_land_lease" : [ 0 ], + "om_land_lease_escal" : 0, + "ui_land_area_units" : 0, + "ui_land_lease" : [ 0 ], + "number table entries" : 175 + }, + "battery" : { + "battery_voltage_shown" : 0, + "compute_as_cube" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_bank_power_dc_ac" : 0, + "pv.storage.p4.charge" : 0, + "batt_qnom_percent" : 97.6875, + "leadacid_q20_computed" : 479616, + "batt_qexp" : 2.5840000000000005, + "leadacid_q10_computed" : 446042.88, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "leadacid_q10" : 93, + "batt_cycle_cost_choice" : 0, + "batt_room_temperature_single" : 25, + "batt_bank_nstrings" : 1, + "batt_room_temperature_celsius" : [ 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25 ], + "leadacid_q20" : 100, + "leadacid_qn" : 60, + "battery_per_kw" : 236, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "ppa_price_input" : [ 0.050000000000000003 ], + "leadacid_tn" : 1, + "pv.storage.p3.discharge" : 0, + "leadacid_qn_computed" : 287769.59999999998, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "batt_dispatch_pvs_ramp_interval" : 180, + "batt_c_rate_max_discharge" : 0.25, + "pv.storage.p6.dischargetogrid" : 0, + "batt_volume" : 3428.5692342857146, + "batt_ui_inverter_eff" : 96, + "batt_qnom" : 3.1260000000000003, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_kp" : 1.2, + "batt_computed_bank_capacity" : 239999.84640000004, + "batt_power_discharge_max_kwdc" : 59999.96160000001, + "pv.storage.p3.charge" : 0, + "add_om_num_types" : 1, + "batt_chem" : 1, + "batt_cell_current_charge_max" : 10, + "om_replacement_cost_escal" : 0, + "genericsys.cost.contingency_percent" : 3, + "pv.storage.p5.gridcharge" : 0, + "batt_life_model" : 1, + "batt_dispatch_pvs_ac_ub" : 1.05, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_computed_series" : 139, + "batt_c_rate_max_charge_input" : 0.5, + "om_batt_variable_cost" : [ 0 ], + "genericsys.cost.plm.nonfixed" : 3305061.884759041, + "om_batt_nameplate" : 239999.84640000004, + "batt_type" : 5, + "batt_bank_duration" : 0, + "batt_surface_area" : 17999.988480000004, + "batt_loss_choice" : 0, + "batt_unit_capacity" : 400, + "batt_meter_position" : 1, + "batt_maximum_soc" : 95, + "batt_cell_power_charge_max" : 2, + "batt_bank_size_specify" : 100, + "batt_bank_nseries_stacks" : 1, + "batt_bank_size" : 240000, + "batt_discharge_percent_1" : 50, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_c_rate_max_discharge_input" : 0.5, + "batt_computed_voltage" : 500.40000000000003, + "batt_vcut" : 2.7719999999999998, + "batt_vnom_default" : 3.6000000000000001, + "batt_discharge_percent_2" : 25, + "batt_vfull" : 4.2000000000000002, + "batt_bank_ncells_serial" : 3, + "batt_computed_strings" : 149880, + "en_standalone_batt" : 0, + "batt_current_discharge_max" : 119904, + "pv.storage.p3.dischargetogrid" : 0, + "batt_bank_voltage" : 500, + "ui_copy_batt_discharge_percent_5" : 25, + "batt_qfull_flow" : 479616.00000000006, + "batt_size_choice" : 0, + "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], + "batt_bank_power" : 60000, + "battery_total" : 91679941.324800014, + "batt_initial_soc" : 50, + "total_direct_cost" : 94430339.564544022, + "batt_cell_current_discharge_max" : 10, + "batt_c_rate_max_charge" : 0.25, + "batt_duration_choice" : 0, + "genericsys.cost.epc.fixed" : 0, + "batt_inverter_efficiency_cutoff" : 90, + "genericsys.cost.epc.total" : 9443033.9564544018, + "batt_thermal_choice" : 0, + "batt_bank_size_dc_ac" : 0, + "batt_bank_size_ui" : 240000, + "batt_qfull" : 3.2000000000000002, + "batt_cell_power_discharge_max" : 2, + "batt_time_capacity" : 4, + "batt_computed_stacks_series" : 0, + "batt_current_charge_max" : 119904, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_pvs_battery_energy" : 239999.84640000004, + "batt_discharge_percent_6" : 25, + "batt_current_choice" : 1, + "om_capacity_escal" : 0, + "ui_copy_batt_discharge_percent_4" : 25, + "batt_num_cells" : 20833320, + "batt_ac_or_dc" : 1, + "batt_power_charge_max_kwac" : 62499.960000000014, + "batt_ac_dc_efficiency" : 96, + "batt_power_charge_max_kwdc" : 59999.96160000001, + "batt_power_discharge_max_kwac" : 57599.963136000006, + "batt_ui_nominal_bank_power" : 59999.96160000001, + "batt_dc_dc_efficiency" : 99, + "batt_c_rate" : 0.20000000000000001, + "batt_voltage_choice" : 0, + "batt_qexp_percent" : 80.75, + "batt_vexp" : 3.5299999999999998, + "batt_resistance" : 0.001155, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_gridcharge_percent_3" : 100, + "batt_vnom" : 3.3420000000000001, + "batt_ui_bank_voltage" : 500.40000000000003, + "genericsys.cost.epc.nonfixed" : 9443033.9564544018, + "batt_ui_cell_voltage" : 3.6000000000000001, + "pv.storage.p6.discharge" : 0, + "batt_losses" : [ 0 ], + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "battery_losses_shown" : 0, + "dispatch_manual_system_charge_first" : 0, + "batt_cp" : 1500, + "batt_room_temperature_vector" : [ 0 ], + "batt_mass" : 2376236.1029702974, + "batt_dispatch_pvs_ac_lb_enable" : 0, + "batt_h_to_ambient" : 100, + "batt_specific_energy_per_mass" : 101, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_specific_energy_per_volume" : 70, + "batt_unit_surface_area" : 30, + "battery_thermal_shown" : 0, + "batt_calendar_a" : 0.00266, + "genericsys.cost.sales_tax.total" : 4721516.9782272009, + "batt_calendar_b" : -7280, + "battery_indirect_cost_percent" : 0, + "batt_calendar_q0" : 1.02, + "inflation_rate" : 2.5, + "batt_pvs_user_specified_weather_file" : "", + "om_fixed_escal" : 0, + "batt_calendar_c" : 939, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_calendar_choice" : 1, + "ppa_escalation" : 1, + "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], + "batt_life_excl" : 1, + "batt_replacement_capacity" : 50, + "batt_replacement_option" : 1, + "pv.storage.p2.charge" : 0, + "ui_batt_life_model" : 0, + "batt_cycle_cost" : [ 0 ], + "genericsys.cost.epc.percent" : 10, + "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_update_frequency_hours" : 1, + "batt_pv_ac_forecast_fom_auto" : [ 0 ], + "batt_look_ahead_hours" : 18, + "pv.storage.p1.discharge" : 0, + "batt_user_specified_weather_file" : "", + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_dispatch_pvs_battery_rte" : 92.159999999999997, + "genericsys.cost.per_watt" : 0, + "batt_dispatch_pvs_ac_ub_enable" : 0, + "pv.storage.p4.gridcharge" : 0, + "batt_dispatch_pvs_battery_power" : 62499.960000000014, + "om_batt_fixed_cost" : [ 0 ], + "pv.storage.p5.discharge" : 0, + "batt_dispatch_pvs_wf_forecast_choice" : 0, + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_gridcharge_percent_1" : 100, + "battery_energy" : 239999.84640000004, + "batt_dispatch_pvs_curtail_if_violation" : 0, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "batt_dispatch_pvs_interconnection_limit" : 20000, + "batt_dispatch_pvs_ki" : 1.8, + "batt_dispatch_pvs_max_ramp" : 10, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "batt_dispatch_pvs_nameplate" : 276923.07692307694, + "batt_dispatch_pvs_nameplate_ac" : 20000, + "total_installed_cost" : 111899952.38398466, + "batt_dispatch_pvs_short_forecast_enable" : 0, + "batt_dispatch_pvs_soc_rest" : 50, + "batt_dispatch_pvs_timestep_multiplier" : 3, + "batt_dispatch_pvs_wf_timestep" : 60, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_pvs_pv_ac_forecast" : [ 0 ], + "batt_gridcharge_percent_6" : 100, + "batt_custom_dispatch" : [ 0 ], + "batt_discharge_percent_3" : 25, + "ui_copy_batt_discharge_percent_3" : 25, + "batt_discharge_percent_4" : 25, + "dispatch_manual_percent_gridcharge" : [ 0 ], + "batt_discharge_percent_5" : 25, + "genericsys.cost.sales_tax.value" : 5, + "batt_gridcharge_percent_2" : 100, + "batt_gridcharge_percent_4" : 100, + "batt_gridcharge_percent_5" : 100, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "analysis_period" : 25, + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "pv.storage.p1.charge" : 1, + "pv.storage.p1.dischargetogrid" : 0, + "pv.storage.p5.charge" : 0, + "pv.storage.p1.gridcharge" : 0, + "pv.storage.p2.discharge" : 1, + "pv.storage.p2.dischargetogrid" : 0, + "ui_copy_batt_discharge_percent_2" : 25, + "pv.storage.p2.gridcharge" : 0, + "ui_copy_batt_discharge_percent_1" : 50, + "pv.storage.p3.gridcharge" : 0, + "pv.storage.p4.discharge" : 0, + "pv.storage.p4.dischargetogrid" : 0, + "pv.storage.p5.dischargetogrid" : 0, + "pv.storage.p6.charge" : 0, + "pv.storage.p6.gridcharge" : 0, + "ui_copy_batt_discharge_percent_6" : 25, + "batt_dispatch_excl" : 3, + "batt_minimum_soc" : 10, + "batt_minimum_modetime" : 10, + "om_production_escal" : 0, + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_charge" : 1, + "batt_dispatch_choice" : 3, + "batt_dispatch_choice_ui" : 3, + "genericsys.cost.contingency" : 2750398.2397440001, + "battery_per_kwh" : 323, + "battery_power" : 59999.96160000001, + "battery_total_cost_lcos" : 111899952.38398466, + "sales_tax_rate" : 5, + "genericsys.cost.installed_per_capacity" : 1.94270875, + "pv_ui_step_minutes" : 60, + "genericsys.cost.plm.fixed" : 0, + "genericsys.cost.plm.percent" : 3.5, + "genericsys.cost.plm.total" : 3305061.884759041, + "genericsys.cost.sales_tax.percent" : 100, + "total_indirect_cost" : 12748095.841213442, + "om_batt_replacement_cost" : [ 323 ], + "system_capacity" : 57599.963136000006, + "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "wind_ui_step_minutes" : 60, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ppa_multiplier_model" : 0, + "hybrid_system_capacity" : 276923.07692307694, + "number table entries" : 253 + }, + "hybrid" : { + "ui_generic_capacity" : 0, + "flip_target_year" : 20, + "depr_bonus_fed_custom" : 0, + "ui_wacc" : 5.3872800000000014, + "ui_wind_cost" : 292032000, + "ui_battery_capacity" : 57599.963136000006, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_source" : "empty", + "wind_system_capacity" : 200000, + "om_capacity" : 0, + "ibi_sta_amount_deprbas_fed" : 0, + "ibi_oth_amount_tax_sta" : 1, + "const_per_months3" : 0, + "cost_other_financing" : 0, + "ui_generic_cost" : 0, + "cp_capacity_payment_type" : 0, + "inflation_rate" : 2.5, + "depr_bonus_fed" : 0, + "flip_target_percent" : 11, + "cp_capacity_payment_esc" : 0, + "ur_name" : "", + "const_per_principal1" : 520326452.38398468, + "term_int_rate" : 4, + "property_tax_rate" : 0, + "ptc_fed_escal" : 0, + "months_working_reserve" : 6, + "system_capacity" : 276923.07692307694, + "ibi_fed_percent_maxvalue" : 0, + "ui_fuel_cell_cost" : 0, + "pbi_oth_tax_sta" : 1, + "const_per_interest_rate3" : 0, + "grid_interconnection_limit_kwac" : 20000, + "cost_debt_fee" : 2.75, + "enable_interconnection_limit" : 0, + "equip3_reserve_freq" : 0, + "ui_battery_cost" : 111899952.38398466, + "ibi_oth_percent_tax_fed" : 1, + "dscr_maximum_debt_fraction" : 100, + "nominal_discount_rate" : 9.0600000000000023, + "mera_name2" : "Replacement Reserve 2", + "ui_fuel_cell_capacity" : 0, + "ptc_sta_amount" : [ 0 ], + "const_per_interest4" : 0, + "itc_fed_percent_deprbas_fed" : 1, + "cbi_fed_deprbas_fed" : 0, + "const_per_interest3" : 0, + "debt_option" : 1, + "real_discount_rate" : 6.4000000000000004, + "itc_sta_amount" : [ 0 ], + "depr_alloc_sl_15_percent" : 0, + "rate_escalation" : [ 0 ], + "lib_dispatch_factor4" : 1, + "const_per_total2" : 0, + "prop_tax_assessed_decline" : 0, + "pbi_oth_for_ds" : 0, + "mera_cost2" : 0, + "hybrid_capital_cost" : 0, + "cbi_fed_deprbas_sta" : 0, + "itc_fed_percent_deprbas_sta" : 1, + "depr_itc_sta_macrs_15" : 0, + "ur_enable_billing_demand" : 0, + "debt_percent" : 60, + "const_per_interest2" : 0, + "battery_system_capacity" : 57599.963136000006, + "ui_hyb_pv_capacity" : 76923.076923076922, + "batt_salvage_percentage" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "ibi_fed_amount_deprbas_sta" : 0, + "salvage_percentage" : 0, + "ibi_oth_amount_deprbas_sta" : 0, + "depr_alloc_macrs_5_percent" : 100, + "const_per_name3" : "Loan 3", + "total_installed_cost" : 520326452.38398468, + "property_assessed_value" : 520326452.38398468, + "pbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_sta" : 0, + "ui_pv_cost" : 116394500, + "cbi_uti_deprbas_fed" : 0, + "depr_bonus_fed_macrs_15" : 0, + "ur_dc_is_shown" : 1, + "om_fixed" : 0, + "om_production" : 0, + "term_tenor" : 18, + "ur_billing_demand_lookback_period" : 0, + "state_tax_rate" : [ 7 ], + "analysis_period" : 25, + "ibi_uti_amount_deprbas_fed" : 0, + "analysis_period_warning" : "", + "mera_name1" : "Replacement Reserve 1", + "federal_tax_rate" : [ 21 ], + "equip_reserve_depr_sta" : 0, + "equip3_reserve_cost" : 0, + "dscr" : 1.3, + "ur_rate_notes" : "", + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_fed_maxvalue" : 0, + "ibi_sta_percent" : 0, + "pbi_sta_term" : 0, + "ur_monthly_fixed_charge" : 0, + "insurance_rate" : 0, + "ibi_uti_percent_tax_fed" : 1, + "prop_tax_cost_assessed_percent" : 100, + "depr_itc_sta_sl_15" : 0, + "ibi_fed_amount" : 0, + "sales_tax_rate" : 5, + "const_per_name5" : "Loan 5", + "ptc_fed_amount" : [ 0 ], + "ibi_uti_percent_deprbas_sta" : 0, + "cbi_fed_tax_sta" : 1, + "solution_mode_message" : "", + "pbi_fed_tax_fed" : 1, + "salvage_value" : 0, + "depr_alloc_sl_5_percent" : 0, + "cbi_sta_amount" : 0, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "batt_salvage_value" : 0, + "ur_demand_history" : 0, + "payment_option" : 0, + "pbi_sta_for_ds" : 0, + "pbi_fed_escal" : 0, + "grid_curtailment_price_esc" : 0, + "depr_custom_schedule" : [ 0 ], + "dscr_limit_debt_fraction" : 0, + "const_per_upfront_rate2" : 0, + "cost_debt_closing" : 0, + "ur_voltage_max" : 0, + "ibi_oth_percent_tax_sta" : 1, + "ibi_fed_amount_deprbas_fed" : 0, + "debt_message" : "", + "cp_capacity_payment_amount" : [ 0 ], + "loan_moratorium" : 0, + "cbi_oth_tax_fed" : 1, + "show_debtconstdscr" : 1, + "show_capitalcostso" : 1, + "const_per_interest1" : 8455304.851239752, + "batt_replacement_option" : 1, + "const_per_interest_rate1" : 6.5, + "itc_fed_amount_deprbas_fed" : 1, + "const_per_principal_total" : 520326452.38398468, + "ibi_sta_percent_deprbas_fed" : 0, + "const_per_name2" : "Loan 2", + "dispatch_data_filename" : "", + "ur_demand_reactive_power_charge" : 0, + "const_per_upfront_rate1" : 1, + "pbi_fed_amount" : [ 0 ], + "const_per_interest_rate2" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "const_per_upfront_rate4" : 0, + "const_per_interest5" : 0, + "const_per_interest_total" : 8455304.851239752, + "const_per_interest_rate4" : 0, + "const_per_interest_rate5" : 0, + "const_per_upfront_rate5" : 0, + "depr_bonus_sta_sl_39" : 0, + "const_per_months1" : 6, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "const_per_upfront_rate3" : 0, + "ur_cr_tou_mat" : [ [ 0 ] ], + "ibi_oth_amount_tax_fed" : 1, + "ur_nm_credit_rollover" : 0, + "lib_dispatch_factor5" : 1, + "const_per_total3" : 0, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "const_per_months2" : 0, + "const_per_months4" : 0, + "lib_dispatch_factor7" : 1, + "const_per_total1" : 13658569.375079598, + "const_per_months5" : 0, + "ur_is_default" : 0, + "const_per_name1" : "Loan 1", + "ibi_uti_percent_maxvalue" : 0, + "ibi_uti_percent_deprbas_fed" : 0, + "construction_financing_cost" : 13658569.375079598, + "const_per_name4" : "Loan 4", + "cbi_uti_maxvalue" : 0, + "pbi_uti_escal" : 0, + "depr_fedbas_method" : 1, + "const_per_percent4" : 0, + "ur_cr_sched" : [ [ 0 ] ], + "show_reserveaccounts" : 1, + "ur_billing_demand_is_shown" : 0, + "ibi_uti_amount_tax_sta" : 1, + "ptc_sta_escal" : 0, + "const_per_percent1" : 100, + "const_per_percent2" : 0, + "depr_bonus_sta_macrs_5" : 0, + "itc_sta_percent_deprbas_fed" : 0, + "const_per_percent3" : 0, + "pbi_oth_escal" : 0, + "const_per_percent_total" : 100, + "months_receivables_reserve" : 0, + "cbi_sta_tax_sta" : 1, + "ibi_sta_amount" : 0, + "mera_name3" : "Replacement Reserve 3", + "ur_desc_is_shown" : 0, + "const_per_percent5" : 0, + "const_per_principal2" : 0, + "is_btm" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "const_per_principal3" : 0, + "const_per_principal4" : 0, + "const_per_principal5" : 0, + "lib_dispatch_factor2" : 1, + "const_per_total4" : 0, + "lib_dispatch_factor3" : 1, + "const_per_total5" : 0, + "show_construction_period" : 1, + "ibi_oth_amount" : 0, + "ur_unused_is_shown" : 0, + "ibi_sta_percent_tax_fed" : 1, + "dscr_reserve_months" : 6, + "equip1_reserve_freq" : 15, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "equip2_reserve_cost" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "wind_total_installed_cost" : 292032000, + "mera_cost1" : 27692307.692307696, + "depr_bonus_fed_sl_39" : 0, + "mera_cost3" : 0, + "reserves_interest" : 1.25, + "depr_itc_sta_custom" : 0, + "ppa_escalation" : 1, + "ppa_price_input" : [ 0.050000000000000003 ], + "ibi_oth_percent_maxvalue" : 0, + "ppa_soln_mode" : 1, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "depr_alloc_sl_20_percent" : 0, + "en_electricity_rates" : 1, + "battery_total_installed_cost" : 111899952.38398466, + "ibi_sta_amount_deprbas_sta" : 0, + "revenue_tod_is_shown" : 1, + "itc_fed_amount" : [ 0 ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ibi_fed_percent_tax_fed" : 1, + "ur_end_date" : "empty", + "depr_bonus_sta_custom" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "lib_dispatch_factor1" : 1, + "pbi_sta_tax_fed" : 1, + "depr_bonus_fed_sl_15" : 0, + "ac_nameplate" : 76923.076923076922, + "pbi_sta_amount" : [ 0 ], + "tod_library" : "Uniform Dispatch", + "ur_nm_yearend_sell_rate" : 0, + "depr_itc_sta_macrs_5" : 0, + "lib_dispatch_factor6" : 1, + "lib_dispatch_factor8" : 1, + "lib_dispatch_factor9" : 1, + "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ppa_multiplier_model" : 0, + "revenue_capacity_payments_is_shown" : 0, + "ur_energy_history" : 0, + "ui_system_nameplate_standalone" : 0, + "cp_battery_nameplate" : 0, + "ptc_fed_term" : 10, + "cp_capacity_credit_percent" : [ 0 ], + "pbi_oth_amount" : [ 0 ], + "ibi_sta_percent_deprbas_sta" : 0, + "cp_system_nameplate" : 276.92307692307696, + "grid_curtailment_price" : [ 0 ], + "depr_bonus_fed_sl_5" : 0, + "revenue_curtailment_is_shown" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "itc_fed_percent" : [ 30 ], + "ptc_sta_term" : 10, + "pbi_uti_tax_fed" : 1, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_for_ds" : 0, + "itc_sta_percent" : [ 0 ], + "itc_sta_percent_deprbas_sta" : 0, + "ur_fuel_adjustments_monthly" : [ 0 ], + "ibi_sta_amount_tax_sta" : 1, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "depr_alloc_macrs_15_percent" : 0, + "cbi_uti_tax_fed" : 1, + "ibi_uti_amount_deprbas_sta" : 0, + "cbi_fed_amount" : 0, + "cbi_fed_tax_fed" : 1, + "cbi_sta_tax_fed" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], + "ibi_fed_percent_deprbas_fed" : 0, + "depr_itc_fed_sl_39" : 0, + "cbi_oth_amount" : 0, + "depr_bonus_fed_sl_20" : 0, + "cbi_oth_deprbas_fed" : 0, + "batt_power_discharge_max_kwac" : 57599.963136000006, + "cbi_oth_deprbas_sta" : 0, + "pbi_fed_tax_sta" : 1, + "cbi_oth_maxvalue" : 0, + "ui_electricity_rate_option" : 1, + "cbi_oth_tax_sta" : 1, + "cbi_sta_deprbas_fed" : 0, + "cbi_sta_deprbas_sta" : 0, + "ur_demand_min" : 0, + "cbi_sta_maxvalue" : 0, + "ur_voltage_min" : 0, + "ibi_fed_amount_tax_fed" : 1, + "cbi_uti_amount" : 0, + "ibi_uti_percent" : 0, + "cbi_uti_deprbas_sta" : 0, + "depr_bonus_sta_macrs_15" : 0, + "cbi_uti_tax_sta" : 1, + "ibi_fed_percent_deprbas_sta" : 0, + "ibi_fed_amount_tax_sta" : 1, + "ibi_fed_percent" : 0, + "ur_ratedata_filename" : "", + "ur_energy_attrs" : "", + "ibi_fed_percent_tax_sta" : 1, + "ibi_sta_amount_tax_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ibi_oth_percent" : 0, + "ibi_uti_amount_tax_fed" : 1, + "ibi_oth_percent_deprbas_fed" : 0, + "ur_fixed_attrs" : "", + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_amount" : 0, + "ur_nm_credit_month" : 0, + "ibi_uti_percent_tax_sta" : 1, + "ur_energy_max" : 0, + "depr_itc_sta_sl_5" : 0, + "chk_update_peaks" : 0, + "pbi_fed_term" : 0, + "pbi_oth_tax_fed" : 1, + "pbi_oth_term" : 0, + "ur_ec_is_shown" : 1, + "depr_itc_fed_sl_15" : 0, + "depr_itc_sta_sl_20" : 0, + "ur_voltage_category" : "", + "pbi_sta_escal" : 0, + "pbi_sta_tax_sta" : 1, + "pbi_uti_amount" : [ 0 ], + "pbi_uti_for_ds" : 0, + "pbi_uti_term" : 0, + "depr_alloc_custom_percent" : 0, + "depr_bonus_sta_sl_20" : 0, + "depr_itc_sta_sl_39" : 0, + "depr_alloc_none" : 0, + "ur_demand_window" : 0, + "depr_alloc_sl_39_percent" : 0, + "depr_bonus_fed_macrs_5" : 1, + "depr_bonus_sta" : 0, + "depr_bonus_sta_sl_15" : 0, + "depr_itc_fed_sl_5" : 0, + "depr_itc_fed_macrs_15" : 0, + "depr_bonus_sta_sl_5" : 0, + "depr_itc_fed_macrs_5" : 0, + "depr_itc_fed_custom" : 0, + "depr_itc_fed_sl_20" : 0, + "depr_stabas_method" : 1, + "ur_ts_sell_rate" : [ 0 ], + "ur_en_ts_sell_rate" : 0, + "ur_metering_option" : 4, + "ur_en_ts_buy_rate" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ur_annual_min_charge" : 0, + "ur_has_unused_items" : 0, + "ur_monthly_min_charge" : 0, + "ur_demand_max" : 0, + "ur_description" : "", + "ur_energy_min" : 0, + "ur_utility" : "empty", + "ur_phase_wiring" : "", + "ur_schedule_name" : "empty", + "ur_start_date" : "empty", + "ur_uri" : "empty", + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_yearzero_usage_peaks" : [ 0 ], + "ur_billing_demand_minimum" : 0, + "ur_dc_enable" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_demand_attrs" : "", + "ur_service_type" : "", + "pv_total_installed_cost" : 116394500, + "number table entries" : 378 + }, + "number table entries" : 5 + }, + "compute_module_0" : "hybrid", + "number_compute_modules" : 1, + "number_metrics" : 0 +} From e532603739ca1c6559649ad067fd148b000c188c Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Fri, 1 Mar 2024 10:44:10 -0600 Subject: [PATCH 60/79] Add check for jpd files to avoid issues when scanning solar, other resource files --- ssc/cmod_wavefile.cpp | 2 ++ 1 file changed, 2 insertions(+) diff --git a/ssc/cmod_wavefile.cpp b/ssc/cmod_wavefile.cpp index b9ecd0930..8e8a2cc90 100644 --- a/ssc/cmod_wavefile.cpp +++ b/ssc/cmod_wavefile.cpp @@ -141,6 +141,7 @@ class cm_wave_file_reader : public compute_module throw exec_error("wave_file_reader", "Number of header column labels does not match number of values. There are " + std::to_string(ncols) + "keys and " + std::to_string(ncols1) + "values."); } if (as_integer("wave_resource_model_choice") == 0) { + if (values.size() < 13) throw exec_error("wave_file_reader", "The JPD file is missing header information and cannot be read."); assign("name", var_data(values[0])); assign("city", var_data(values[1])); assign("state", var_data(values[2])); @@ -260,6 +261,7 @@ class cm_wave_file_reader : public compute_module numberRecords_mat++; } //if (numberRecords < 2920) throw exec_error("wave_file_reader", "Number of records in the wave file must = 2920 (8760 h / 3 h interval)"); + if (numberRecords == 0) throw exec_error("wave_file_reader", "No data found in file: " + file); if ((8760 % numberRecords != 0)) { if ((numberRecords % 8760 != 0)) //check for subhourly throw exec_error("wave_file_reader", "Invalid number of entries in wave resource file: " + file); From 754da4b8365a3d4292ccccb89bbc1aeab755721c Mon Sep 17 00:00:00 2001 From: Matt Prilliman Date: Fri, 1 Mar 2024 14:59:47 -0600 Subject: [PATCH 61/79] Conditional outputs for clipping models --- ssc/cmod_pvsamv1.cpp | 16 ++++++++++++---- 1 file changed, 12 insertions(+), 4 deletions(-) diff --git a/ssc/cmod_pvsamv1.cpp b/ssc/cmod_pvsamv1.cpp index fdd995795..ca6a14a75 100644 --- a/ssc/cmod_pvsamv1.cpp +++ b/ssc/cmod_pvsamv1.cpp @@ -3316,8 +3316,12 @@ void cm_pvsamv1::exec() // ac losses assign("annual_ac_wiring_loss", var_data((ssc_number_t)annual_ac_wiring_loss)); - assign("annual_subhourly_clipping_loss", var_data((ssc_number_t)annual_subhourly_clipping_loss)); - assign("annual_distribution_clipping_loss", var_data((ssc_number_t)annual_distribution_clipping_loss)); + if (as_boolean("enable_subhourly_clipping")) { + assign("annual_subhourly_clipping_loss", var_data((ssc_number_t)annual_subhourly_clipping_loss)); + } + if (as_boolean("enable_subinterval_distribution")) { + assign("annual_distribution_clipping_loss", var_data((ssc_number_t)annual_distribution_clipping_loss)); + } assign("annual_transmission_loss", var_data((ssc_number_t)annual_transmission_loss)); @@ -3429,7 +3433,9 @@ void cm_pvsamv1::exec() percent = 0.; if (annual_dc_gross > 0) percent = 100 * annual_distribution_clipping_loss / annual_dc_gross; - assign("annual_distribution_clipping_loss_percent", var_data((ssc_number_t)percent)); + if (as_boolean("enable_subinterval_distribution")) { + assign("annual_distribution_clipping_loss_percent", var_data((ssc_number_t)percent)); + } //annual_dc_net @@ -3468,7 +3474,9 @@ void cm_pvsamv1::exec() sys_output -= annual_ac_battery_loss; if (annual_ac_gross > 0) percent = 100.0 * annual_subhourly_clipping_loss / annual_ac_gross; - assign("annual_subhourly_clipping_loss_percent", var_data((ssc_number_t)percent)); + if (as_boolean("enable_subhourly_clipping")) { + assign("annual_subhourly_clipping_loss_percent", var_data((ssc_number_t)percent)); + } sys_output -= annual_subhourly_clipping_loss; percent = 0.; From a844e45263ed8d17c00386ba59a32d41d3fa82cc Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Sun, 3 Mar 2024 03:56:33 -0700 Subject: [PATCH 62/79] Restore all ssc tests - currently only running CmodHybridTest --- test/main.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/test/main.cpp b/test/main.cpp index 01b8c98d9..eafe1a724 100644 --- a/test/main.cpp +++ b/test/main.cpp @@ -54,7 +54,7 @@ GTEST_API_ int main(int argc, char **argv) { // filter to include // ::testing::GTEST_FLAG(filter) = "CmodPVWatts*:CMPvwatts*"; - ::testing::GTEST_FLAG(filter) = "CmodHybridTest*"; + //::testing::GTEST_FLAG(filter) = "CmodHybridTest*"; // ::testing::GTEST_FLAG(filter) = "CmodCashLoanTest*:CmodSingleOwnerTest*"; //::testing::GTEST_FLAG(filter) = "CMGeothermal*:GeothermalPlantAnalyzer*"; From 419d0d97b3aa04886d2415f9f944f3d6c842aa32 Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Sun, 3 Mar 2024 05:37:06 -0700 Subject: [PATCH 63/79] Update hybrid code generation test --- ...atts_Wind_Battery_Hybrid_Single_Owner.json | 629 ++++++++++++++++-- ...rid_Single_Owner_hybridize_ssc_inputs.json | 475 +++++++++++++ test/ssc_test/cmod_hybrid_test.cpp | 3 +- 3 files changed, 1065 insertions(+), 42 deletions(-) create mode 100644 test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_hybridize_ssc_inputs.json diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json index f370714c3..25448e6a0 100644 --- a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json +++ b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json @@ -2,232 +2,672 @@ "input" : { "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], "windpower" : { - "turb_specific_loss" : 0.81000000000000005, + "step" : 0, + "total_installed_cost_per_kw" : 1460.1600000000001, "wind_resource_distribution" : [ [ 0 ] ], - "avail_bop_loss" : 0.5, + "ui_step_minutes" : 60, + "bos_cost_total" : 69552000, "weibull_wind_speed" : 7.25, - "wind_resource_model_choice" : 0, - "wind_turbine_max_cp" : 0.45000000000000001, + "bos_cost_per_kw" : 347.75999999999999, + "wind_climate.url_info" : "empty", + "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, "env_degrad_loss" : 1.8, - "adjust_en_timeindex" : 0, + "wind_climate.msg_is_error" : 0, + "wind.turbine.elevation" : 0, + "wind_resource.requested_ht" : 80, + "degradation" : [ 0 ], "weibull_reference_height" : 50, + "wind.turbine.radio_list_or_design" : 0, "avail_grid_loss" : 1.5, + "a_error_test_number" : 17.344999999999999, "wind_turbine_rotor_diameter" : 100, - "turb_perf_loss" : 1.1000000000000001, + "use_specific_wf_wind" : 0, + "adjust_en_timeindex" : 0, + "wind_resource.country" : "USA", + "user_specified_wf_wind" : " ", + "wind_resource.elev" : 1829, + "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", + "wind_climate.msg" : "", + "wind_resource.closest_speed_meas_ht" : 80, + "wind_turbine_iec_class_from_lib" : "IIb|IIIa", + "wind_resource.city" : "city??", + "wind_resource.closest_dir_meas_ht" : 80, + "wind.turbine.max_tip_speed" : 80, + "wind.turbine.dummy" : 0, + "wind.turbine.max_tspeed_ratio" : 8, + "wind_resource.file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_resource.lon_requested" : 0, + "wind_turbine_max_cp" : 0.45000000000000001, + "est_bos_cost" : 0, + "wind_resource_model_choice" : 0, + "resource_definition_type" : 0, + "wind_turbine_powercurve_windspeeds_from_lib" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_resource.location" : "AZ Eastern-Rolling Hills", + "wind_turbine_rated_wind_speed" : 2500, + "wind.turbine.tower_design" : 0, + "windfarm.farm.offset" : 4, + "wind_resource.lat_requested" : 0, + "wind_turbine_kw_rating_input" : 1500, + "wind_resource.lon" : 0, + "wind_farm_sizing_mode" : 2, + "wind_turbine_rotor_diameter_from_lib" : 100, + "wind_resource.lat" : 0, "wind_turbine_hub_ht" : 80, - "avail_turb_loss" : 3.5800000000000001, + "wind_resource.year" : 1900, + "wind_resource.location_id" : "loc_id", + "wind.turbine.name_only" : "0", + "wind.turbine.blade_design" : 0, + "wind_turbine_rotor_diameter_input" : 75, + "wind_resource.state" : "AZ", + "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "turbine_cost_per_kw" : 1112.4000000000001, + "wind_turbine_cutin" : 4, + "wind_turbine_kw_rating_from_lib" : 2500, "adjust_constant" : 0, "weibull_k_factor" : 2, + "a_error_test_string" : "\"default err msg\"", + "wind.turbine.drive_train" : 0, + "wind.turbine.region2nhalf_slope" : 5, + "wind_turbine_cut_out" : 25, + "reference_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", "wind_resource_shear" : 0.14000000000000001, + "wind_turbine_powercurve_err_msg" : "", + "wind_turbine_kw_rating" : 2500, + "wind_turbine_powercurve_hub_efficiency" : [ 0 ], "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_turbine_selection" : "GE 2.5xl", "wind_farm_wake_model" : 0, + "om_production_escal" : 0, + "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wake_loss" : 0, + "desired_farm_size" : 10, + "adjust" : 0, "adjust_en_periods" : 0, + "cols" : 1, "adjust_periods" : [ [ 0, 0, 0 ] ], + "sales_tax_basis" : 0, + "turbine_cost_per_turbine" : 0, "adjust_timeindex" : [ 0 ], + "rows" : 1, + "sizing_warning" : 0, + "specify_label" : 1, "system_capacity" : 200000, + "wind_farm_num_turbines" : 80, + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wind_farm_xcoord_file" : [ 0 ], "turb_hysteresis_loss" : 0.40000000000000002, + "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "windfarm.farm.layout_angle" : 0, + "bos_cost_per_turbine" : 0, + "wind_farm_ycoord_file" : [ 0 ], + "analysis_period" : 25, "ops_load_loss" : 0.98999999999999999, + "windfarm.farm.layout_slider" : 33, + "windfarm.farm.number_of_rows" : 10, + "windfarm.farm.offset_type" : 0, + "windfarm.farm.row_spacing" : 8, + "windfarm.layout.file_or_controls" : 1, + "windfarm.farm.shape" : 0, + "windfarm.farm.turbine_spacing" : 8, + "windfarm.farm.turbines_per_row" : 8, + "avail_bop_loss" : 0.5, + "avail_turb_loss" : 3.5800000000000001, + "avail_loss_total" : 5.5011684999999977, "wake_future_loss" : 0, "elec_parasitic_loss" : 0.10000000000000001, - "ops_strategies_loss" : 0, "elec_eff_loss" : 1.9099999999999999, - "turb_generic_loss" : 1.7, + "elec_loss_total" : 2.0080899999999957, "env_env_loss" : 0.40000000000000002, "env_exposure_loss" : 0, - "ops_grid_loss" : 0.83999999999999997, "env_icing_loss" : 0.20999999999999999, + "ops_loss_total" : 2.8034671600000061, + "wake_loss_total" : 1.100000000000001, + "turb_loss_total" : 3.9544963841199987, + "turb_generic_loss" : 1.7, + "env_loss_total" : 2.3981951200000018, "ops_env_loss" : 1, + "ops_grid_loss" : 0.83999999999999997, + "ops_strategies_loss" : 0, + "turb_perf_loss" : 1.1000000000000001, + "turb_specific_loss" : 0.81000000000000005, + "turbine_cost_total" : 222480000.00000003, "wake_ext_loss" : 1.1000000000000001, "wake_int_loss" : 0, - "number table entries" : 40 + "system_use_lifetime_output" : 0, + "install_type" : 0, + "sales_tax_total" : 0, + "bos_cost_fixed" : 0, + "reference_capacity" : 200000, + "turbine_cost_fixed" : 0, + "est_turbine_cost" : 0, + "reference_number_turbines" : 80, + "reference_sales_tax_percent" : 5, + "total_installed_cost" : 292032000, + "om_capacity" : [ 40 ], + "om_capacity_escal" : 0, + "om_fixed" : [ 0 ], + "om_production" : [ 0 ], + "inflation_rate" : 2.5, + "om_fixed_escal" : 0, + "sales_tax_rate" : 5, + "number table entries" : 150 }, "pvwattsv8" : { + "ui_land_area_ha" : 263.15847360564504, + "annual_twet" : "nan", "array_type" : 2, + "use_specific_weather_file" : 0, "dc_ac_ratio" : 1.3, - "shading_timestep" : [ [ 0 ] ], - "shading_en_diff" : 0, + "in_nsrdb_options" : 0, + "annual_global" : 5.7947616438356171, + "solar_data_file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "losses" : 14.075660688264469, + "annual_albedo" : 0.18395068539332038, "shading_azal" : [ [ 0 ] ], + "ui_step_minutes" : 60, + "module_total" : 39000000, + "annual_beam" : 7.3356438356164393, + "state" : "-", + "annual_diffuse" : 1.3484328767123288, + "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", + "inverter_total" : 5000000, + "country" : "-", "adjust_en_periods" : 0, - "shading_string_option" : 0, + "loss_snow" : 0, + "ui_array_land_area_acres" : 650.27774620322919, "inv_eff" : 96, + "ui_total_module_area_ha" : 52.631578947368418, + "in_time_step" : 0, + "shading_en_diff" : 0, + "annual_snow" : "nan", + "module_costunits" : 0, + "loss_lid" : 1.5, + "loss_soiling" : 2, + "library_folder_list" : "x", + "landprep_fixed" : 0, + "annual_tdry" : 21.938470319634703, + "loss_mismatch" : 2, + "permitting_fixed" : 0, + "shading_string_option" : 0, + "total_land_area" : 650.27774620322919, + "solar_data_source" : "NSRDB", + "annual_wspd" : 1.7864840188646289, + "city" : "-", + "station_id" : "78208", + "ui_land_area_multiplier" : 0, + "elev" : 358, + "in_location_options" : 0, + "total_module_area" : 526315.78947368416, + "landprep_total" : 1000000, + "loss_avail" : 3, + "step" : 3600, + "install_margin_perwatt" : 0.12, + "subtotal_direct" : 103000000, + "file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", "analysis_period" : 25, + "in_location_list" : "", + "is_advanced" : 0, + "lat" : 33.450000000000003, + "ui_land_area_per_mw" : 0, + "library_paths" : "", "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "per_inverter" : 0.050000000000000003, + "loss_age" : 0, + "om_production_escal" : 0, + "lon" : -111.98, + "solar_data_file_name_load" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "engr_total" : 2000000, + "ac_nameplate" : 76923.076923076922, "batt_simple_enable" : 0, + "tz" : -7, + "land_percent" : 0, + "grid_percent" : 0, + "user_specified_weather_file" : "", + "wf_nrecords" : 8760, "azimuth" : 180, + "permitting_total" : 0, "gcr" : 0.29999999999999999, + "grid_fixed" : 0, + "en_user_spec_losses" : 0, "shading_mxh" : [ [ 0 ] ], + "loss_conn" : 0.5, + "loss_nameplate" : 1, + "loss_shading" : 3, + "loss_wiring" : 2, "tilt" : 0, + "losses_user" : 14, "module_type" : 0, "system_capacity" : 100000, - "shading_diff" : 0, + "ui_array_land_area_multiplier" : 1, + "installed_per_capacity" : 1.163945, "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "ui_ac_capacity" : 76.92307692307692, + "om_capacity" : [ 15 ], + "module_num_units" : 1, + "ui_use_acre_per_mw" : 0, + "ui_land_area_additional_units" : 0, + "ui_array_land_area_ha" : 263.15847360564504, + "total_installed_cost" : 116394500, + "pvwatts.advanced.is_shown" : 0, "shading_en_timestep" : 0, + "ui_land_area_additional" : 0, + "ui_total_land_area_ha" : 263.15847360564504, + "inverter_num_units" : 1, + "ui_total_module_area" : 526315.78947368416, "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "land_per_acre" : 0, + "inflation_rate" : 2.5, + "ui_total_module_area_acres" : 130.05534895540842, + "pv_land_area_is_shown" : 0, "adjust_en_timeindex" : 0, + "adjust" : 0, + "shading_diff" : 0, "adjust_constant" : 0, "en_snowloss" : 0, "adjust_periods" : [ [ 0, 0, 0 ] ], + "contingency" : 3090000, "shading_en_azal" : 0, "bifaciality" : 0, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "module_is_bifacial" : 0, + "shading" : 0, + "land_per_watt" : 0, "shading_en_mxh" : 0, "shading_en_string_option" : 0, + "sales_tax_rate" : 5, + "shading_timestep" : [ [ 0 ] ], + "user_specified_constant_albedo" : 0.20000000000000001, + "landprep_per_watt" : 0.01, "use_wf_albedo" : 1, "system_use_lifetime_output" : 0, - "number table entries" : 33 + "degradation" : [ 0.5 ], + "install_margin_perarea" : 0, + "bos_equip_perarea" : 0, + "bos_equip_fixed" : 0, + "bos_equip_perwatt" : 0.28999999999999998, + "landprep_per_acre" : 0, + "contingency_percent" : 3, + "bos_equip_total" : 28999999.999999996, + "engr_fixed" : 0, + "om_fixed" : [ 0 ], + "engr_per_watt" : 0.02, + "engr_percent" : 0, + "sales_tax_percent" : 100, + "om_fixed_escal" : 0, + "grid_per_watt" : 0.02, + "permitting_percent" : 0, + "grid_total" : 2000000, + "install_labor_total" : 18000000, + "install_labor_fixed" : 0, + "install_labor_perarea" : 0, + "install_labor_perwatt" : 0.17999999999999999, + "install_margin_fixed" : 0, + "install_margin_total" : 12000000, + "inverter_costunits" : 1, + "inverter_power" : 76923.076923076922, + "inverterarray_power" : 76923.076923076922, + "land_area_value" : 650.27774620322919, + "land_fixed" : 0, + "land_total" : 0, + "landprep_percent" : 0, + "module_power" : 100000, + "modulearray_area" : 526315.78947368416, + "modulearray_power" : 100000, + "per_module" : 0.39000000000000001, + "total_direct_cost" : 106090000, + "sales_tax_total" : 5304500, + "permitting_per_watt" : 0, + "sales_tax_value" : 5, + "total_indirect_cost" : 5000000, + "om_capacity_escal" : 0, + "om_production" : [ 0 ], + "land_area" : 650.27774620322919, + "om_land_lease" : [ 0 ], + "om_land_lease_escal" : 0, + "ui_land_area_units" : 0, + "ui_land_lease" : [ 0 ], + "number table entries" : 175 }, "battery" : { + "battery_voltage_shown" : 0, + "compute_as_cube" : 0, "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_dc_ac_efficiency" : 96, - "batt_dispatch_wf_forecast_choice" : 0, + "batt_bank_power_dc_ac" : 0, + "pv.storage.p4.charge" : 0, + "batt_qnom_percent" : 97.6875, + "leadacid_q20_computed" : 479616, + "batt_qexp" : 2.5840000000000005, + "leadacid_q10_computed" : 446042.88, "batt_dispatch_auto_can_fuelcellcharge" : 0, - "batt_dispatch_pvs_kp" : 1.2, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "leadacid_q10" : 93, "batt_cycle_cost_choice" : 0, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "batt_room_temperature_celsius" : [ 25 ], - "batt_resistance" : 0.001155, - "ppa_price_input" : [ 0.050000000000000003 ], + "batt_room_temperature_single" : 25, + "batt_bank_nstrings" : 1, + "batt_room_temperature_celsius" : [ 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25 ], + "leadacid_q20" : 100, + "leadacid_qn" : 60, + "battery_per_kw" : 236, "batt_dispatch_pvs_kf" : 0.29999999999999999, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "ppa_price_input" : [ 0.050000000000000003 ], + "leadacid_tn" : 1, + "pv.storage.p3.discharge" : 0, + "leadacid_qn_computed" : 287769.59999999998, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "batt_dispatch_pvs_ramp_interval" : 180, + "batt_c_rate_max_discharge" : 0.25, + "pv.storage.p6.dischargetogrid" : 0, + "batt_volume" : 3428.5692342857146, + "batt_ui_inverter_eff" : 96, + "batt_qnom" : 3.1260000000000003, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_kp" : 1.2, "batt_computed_bank_capacity" : 239999.84640000004, "batt_power_discharge_max_kwdc" : 59999.96160000001, + "pv.storage.p3.charge" : 0, + "add_om_num_types" : 1, "batt_chem" : 1, - "inflation_rate" : 2.5, - "batt_replacement_capacity" : 50, + "batt_cell_current_charge_max" : 10, "om_replacement_cost_escal" : 0, + "genericsys.cost.contingency_percent" : 3, + "pv.storage.p5.gridcharge" : 0, "batt_life_model" : 1, "batt_dispatch_pvs_ac_ub" : 1.05, "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_computed_series" : 139, - "batt_h_to_ambient" : 100, + "batt_c_rate_max_charge_input" : 0.5, "om_batt_variable_cost" : [ 0 ], - "ppa_escalation" : 1, + "genericsys.cost.plm.nonfixed" : 3305061.884759041, + "om_batt_nameplate" : 239999.84640000004, + "batt_type" : 5, + "batt_bank_duration" : 0, "batt_surface_area" : 17999.988480000004, "batt_loss_choice" : 0, + "batt_unit_capacity" : 400, "batt_meter_position" : 1, - "batt_pv_ac_forecast" : [ 0 ], - "batt_dispatch_update_frequency_hours" : 1, + "batt_maximum_soc" : 95, + "batt_cell_power_charge_max" : 2, + "batt_bank_size_specify" : 100, + "batt_bank_nseries_stacks" : 1, + "batt_bank_size" : 240000, + "batt_discharge_percent_1" : 50, "batt_dispatch_auto_can_gridcharge" : 0, + "batt_c_rate_max_discharge_input" : 0.5, + "batt_computed_voltage" : 500.40000000000003, + "batt_vcut" : 2.7719999999999998, + "batt_vnom_default" : 3.6000000000000001, + "batt_discharge_percent_2" : 25, + "batt_vfull" : 4.2000000000000002, + "batt_bank_ncells_serial" : 3, "batt_computed_strings" : 149880, "en_standalone_batt" : 0, "batt_current_discharge_max" : 119904, - "batt_dc_dc_efficiency" : 99, + "pv.storage.p3.dischargetogrid" : 0, + "batt_bank_voltage" : 500, + "ui_copy_batt_discharge_percent_5" : 25, + "batt_qfull_flow" : 479616.00000000006, + "batt_size_choice" : 0, "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], + "batt_bank_power" : 60000, + "battery_total" : 91679941.324800014, + "batt_initial_soc" : 50, + "total_direct_cost" : 94430339.564544022, + "batt_cell_current_discharge_max" : 10, + "batt_c_rate_max_charge" : 0.25, + "batt_duration_choice" : 0, + "genericsys.cost.epc.fixed" : 0, "batt_inverter_efficiency_cutoff" : 90, + "genericsys.cost.epc.total" : 9443033.9564544018, + "batt_thermal_choice" : 0, + "batt_bank_size_dc_ac" : 0, + "batt_bank_size_ui" : 240000, + "batt_qfull" : 3.2000000000000002, + "batt_cell_power_discharge_max" : 2, + "batt_time_capacity" : 4, + "batt_computed_stacks_series" : 0, "batt_current_charge_max" : 119904, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_pvs_battery_energy" : 239999.84640000004, + "batt_discharge_percent_6" : 25, "batt_current_choice" : 1, + "om_capacity_escal" : 0, + "ui_copy_batt_discharge_percent_4" : 25, + "batt_num_cells" : 20833320, "batt_ac_or_dc" : 1, "batt_power_charge_max_kwac" : 62499.960000000014, - "batt_calendar_c" : 939, "batt_ac_dc_efficiency" : 96, "batt_power_charge_max_kwdc" : 59999.96160000001, "batt_power_discharge_max_kwac" : 57599.963136000006, + "batt_ui_nominal_bank_power" : 59999.96160000001, + "batt_dc_dc_efficiency" : 99, + "batt_c_rate" : 0.20000000000000001, "batt_voltage_choice" : 0, + "batt_qexp_percent" : 80.75, + "batt_vexp" : 3.5299999999999998, + "batt_resistance" : 0.001155, "dispatch_manual_percent_discharge" : [ 25, 0 ], "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_gridcharge_percent_3" : 100, + "batt_vnom" : 3.3420000000000001, + "batt_ui_bank_voltage" : 500.40000000000003, + "genericsys.cost.epc.nonfixed" : 9443033.9564544018, + "batt_ui_cell_voltage" : 3.6000000000000001, + "pv.storage.p6.discharge" : 0, "batt_losses" : [ 0 ], "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_replacement_schedule_percent" : [ 0 ], + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "battery_losses_shown" : 0, "dispatch_manual_system_charge_first" : 0, + "batt_cp" : 1500, + "batt_room_temperature_vector" : [ 0 ], "batt_mass" : 2376236.1029702974, "batt_dispatch_pvs_ac_lb_enable" : 0, - "batt_calendar_choice" : 1, + "batt_h_to_ambient" : 100, + "batt_specific_energy_per_mass" : 101, "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_specific_energy_per_volume" : 70, + "batt_unit_surface_area" : 30, + "battery_thermal_shown" : 0, "batt_calendar_a" : 0.00266, + "genericsys.cost.sales_tax.total" : 4721516.9782272009, "batt_calendar_b" : -7280, + "battery_indirect_cost_percent" : 0, "batt_calendar_q0" : 1.02, + "inflation_rate" : 2.5, + "batt_pvs_user_specified_weather_file" : "", + "om_fixed_escal" : 0, + "batt_calendar_c" : 939, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_calendar_choice" : 1, + "ppa_escalation" : 1, "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], + "batt_life_excl" : 1, + "batt_replacement_capacity" : 50, "batt_replacement_option" : 1, + "pv.storage.p2.charge" : 0, + "ui_batt_life_model" : 0, "batt_cycle_cost" : [ 0 ], + "genericsys.cost.epc.percent" : 10, + "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_update_frequency_hours" : 1, + "batt_pv_ac_forecast_fom_auto" : [ 0 ], "batt_look_ahead_hours" : 18, + "pv.storage.p1.discharge" : 0, + "batt_user_specified_weather_file" : "", "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_dispatch_pvs_battery_rte" : 92.159999999999997, + "genericsys.cost.per_watt" : 0, "batt_dispatch_pvs_ac_ub_enable" : 0, + "pv.storage.p4.gridcharge" : 0, + "batt_dispatch_pvs_battery_power" : 62499.960000000014, + "om_batt_fixed_cost" : [ 0 ], + "pv.storage.p5.discharge" : 0, + "batt_dispatch_pvs_wf_forecast_choice" : 0, "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_gridcharge_percent_1" : 100, + "battery_energy" : 239999.84640000004, "batt_dispatch_pvs_curtail_if_violation" : 0, "batt_dispatch_pvs_forecast_shift_periods" : 3, + "batt_dispatch_pvs_interconnection_limit" : 20000, "batt_dispatch_pvs_ki" : 1.8, "batt_dispatch_pvs_max_ramp" : 10, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "batt_dispatch_pvs_nameplate" : 276923.07692307694, "batt_dispatch_pvs_nameplate_ac" : 20000, + "total_installed_cost" : 111899952.38398466, "batt_dispatch_pvs_short_forecast_enable" : 0, "batt_dispatch_pvs_soc_rest" : 50, "batt_dispatch_pvs_timestep_multiplier" : 3, + "batt_dispatch_pvs_wf_timestep" : 60, "batt_pv_clipping_forecast" : [ 0 ], + "batt_pvs_pv_ac_forecast" : [ 0 ], + "batt_gridcharge_percent_6" : 100, "batt_custom_dispatch" : [ 0 ], + "batt_discharge_percent_3" : 25, + "ui_copy_batt_discharge_percent_3" : 25, + "batt_discharge_percent_4" : 25, "dispatch_manual_percent_gridcharge" : [ 0 ], + "batt_discharge_percent_5" : 25, + "genericsys.cost.sales_tax.value" : 5, + "batt_gridcharge_percent_2" : 100, + "batt_gridcharge_percent_4" : 100, + "batt_gridcharge_percent_5" : 100, "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], "analysis_period" : 25, "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], "batt_dispatch_auto_can_clipcharge" : 0, "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "pv.storage.p1.charge" : 1, + "pv.storage.p1.dischargetogrid" : 0, + "pv.storage.p5.charge" : 0, + "pv.storage.p1.gridcharge" : 0, + "pv.storage.p2.discharge" : 1, + "pv.storage.p2.dischargetogrid" : 0, + "ui_copy_batt_discharge_percent_2" : 25, + "pv.storage.p2.gridcharge" : 0, + "ui_copy_batt_discharge_percent_1" : 50, + "pv.storage.p3.gridcharge" : 0, + "pv.storage.p4.discharge" : 0, + "pv.storage.p4.dischargetogrid" : 0, + "pv.storage.p5.dischargetogrid" : 0, + "pv.storage.p6.charge" : 0, + "pv.storage.p6.gridcharge" : 0, + "ui_copy_batt_discharge_percent_6" : 25, + "batt_dispatch_excl" : 3, + "batt_minimum_soc" : 10, "batt_minimum_modetime" : 10, "om_production_escal" : 0, "grid_interconnection_limit_kwac" : 20000, "batt_dispatch_auto_can_charge" : 1, "batt_dispatch_choice" : 3, + "batt_dispatch_choice_ui" : 3, + "genericsys.cost.contingency" : 2750398.2397440001, + "battery_per_kwh" : 323, + "battery_power" : 59999.96160000001, + "battery_total_cost_lcos" : 111899952.38398466, + "sales_tax_rate" : 5, + "genericsys.cost.installed_per_capacity" : 1.94270875, + "pv_ui_step_minutes" : 60, + "genericsys.cost.plm.fixed" : 0, + "genericsys.cost.plm.percent" : 3.5, + "genericsys.cost.plm.total" : 3305061.884759041, + "genericsys.cost.sales_tax.percent" : 100, + "total_indirect_cost" : 12748095.841213442, "om_batt_replacement_cost" : [ 323 ], + "system_capacity" : 57599.963136000006, + "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "wind_ui_step_minutes" : 60, "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "ppa_multiplier_model" : 0, - "number table entries" : 93 + "hybrid_system_capacity" : 276923.07692307694, + "number table entries" : 253 }, "hybrid" : { - "depr_bonus_fed_custom" : 0, + "ui_generic_capacity" : 0, "flip_target_year" : 20, - "om_capacity" : 0, + "depr_bonus_fed_custom" : 0, + "ui_wacc" : 5.3872800000000014, + "ui_wind_cost" : 292032000, + "ui_battery_capacity" : 57599.963136000006, "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_source" : "empty", + "wind_system_capacity" : 200000, + "om_capacity" : 0, "ibi_sta_amount_deprbas_fed" : 0, "ibi_oth_amount_tax_sta" : 1, + "const_per_months3" : 0, "cost_other_financing" : 0, + "ui_generic_cost" : 0, "cp_capacity_payment_type" : 0, "inflation_rate" : 2.5, "depr_bonus_fed" : 0, "flip_target_percent" : 11, "cp_capacity_payment_esc" : 0, + "ur_name" : "", + "const_per_principal1" : 520326452.38398468, "term_int_rate" : 4, "property_tax_rate" : 0, "ptc_fed_escal" : 0, "months_working_reserve" : 6, "system_capacity" : 276923.07692307694, "ibi_fed_percent_maxvalue" : 0, + "ui_fuel_cell_cost" : 0, "pbi_oth_tax_sta" : 1, + "const_per_interest_rate3" : 0, "grid_interconnection_limit_kwac" : 20000, "cost_debt_fee" : 2.75, "enable_interconnection_limit" : 0, "equip3_reserve_freq" : 0, + "ui_battery_cost" : 111899952.38398466, "ibi_oth_percent_tax_fed" : 1, "dscr_maximum_debt_fraction" : 100, + "nominal_discount_rate" : 9.0600000000000023, + "mera_name2" : "Replacement Reserve 2", + "ui_fuel_cell_capacity" : 0, "ptc_sta_amount" : [ 0 ], + "const_per_interest4" : 0, "itc_fed_percent_deprbas_fed" : 1, "cbi_fed_deprbas_fed" : 0, + "const_per_interest3" : 0, "debt_option" : 1, "real_discount_rate" : 6.4000000000000004, "itc_sta_amount" : [ 0 ], "depr_alloc_sl_15_percent" : 0, "rate_escalation" : [ 0 ], + "lib_dispatch_factor4" : 1, + "const_per_total2" : 0, "prop_tax_assessed_decline" : 0, "pbi_oth_for_ds" : 0, + "mera_cost2" : 0, + "hybrid_capital_cost" : 0, "cbi_fed_deprbas_sta" : 0, "itc_fed_percent_deprbas_sta" : 1, "depr_itc_sta_macrs_15" : 0, "ur_enable_billing_demand" : 0, "debt_percent" : 60, + "const_per_interest2" : 0, + "battery_system_capacity" : 57599.963136000006, + "ui_hyb_pv_capacity" : 76923.076923076922, "batt_salvage_percentage" : 0, "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], "ibi_fed_amount_deprbas_sta" : 0, "salvage_percentage" : 0, "ibi_oth_amount_deprbas_sta" : 0, "depr_alloc_macrs_5_percent" : 100, + "const_per_name3" : "Loan 3", "total_installed_cost" : 520326452.38398468, + "property_assessed_value" : 520326452.38398468, "pbi_uti_tax_sta" : 1, "itc_sta_amount_deprbas_sta" : 0, + "ui_pv_cost" : 116394500, "cbi_uti_deprbas_fed" : 0, "depr_bonus_fed_macrs_15" : 0, + "ur_dc_is_shown" : 1, "om_fixed" : 0, "om_production" : 0, "term_tenor" : 18, @@ -235,10 +675,13 @@ "state_tax_rate" : [ 7 ], "analysis_period" : 25, "ibi_uti_amount_deprbas_fed" : 0, + "analysis_period_warning" : "", + "mera_name1" : "Replacement Reserve 1", "federal_tax_rate" : [ 21 ], "equip_reserve_depr_sta" : 0, "equip3_reserve_cost" : 0, "dscr" : 1.3, + "ur_rate_notes" : "", "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], "cbi_fed_maxvalue" : 0, "ibi_sta_percent" : 0, @@ -249,51 +692,111 @@ "prop_tax_cost_assessed_percent" : 100, "depr_itc_sta_sl_15" : 0, "ibi_fed_amount" : 0, + "sales_tax_rate" : 5, + "const_per_name5" : "Loan 5", "ptc_fed_amount" : [ 0 ], "ibi_uti_percent_deprbas_sta" : 0, "cbi_fed_tax_sta" : 1, + "solution_mode_message" : "", "pbi_fed_tax_fed" : 1, + "salvage_value" : 0, "depr_alloc_sl_5_percent" : 0, "cbi_sta_amount" : 0, "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "batt_salvage_value" : 0, + "ur_demand_history" : 0, "payment_option" : 0, "pbi_sta_for_ds" : 0, "pbi_fed_escal" : 0, "grid_curtailment_price_esc" : 0, "depr_custom_schedule" : [ 0 ], "dscr_limit_debt_fraction" : 0, + "const_per_upfront_rate2" : 0, "cost_debt_closing" : 0, + "ur_voltage_max" : 0, "ibi_oth_percent_tax_sta" : 1, "ibi_fed_amount_deprbas_fed" : 0, + "debt_message" : "", "cp_capacity_payment_amount" : [ 0 ], "loan_moratorium" : 0, "cbi_oth_tax_fed" : 1, + "show_debtconstdscr" : 1, + "show_capitalcostso" : 1, + "const_per_interest1" : 8455304.851239752, "batt_replacement_option" : 1, + "const_per_interest_rate1" : 6.5, "itc_fed_amount_deprbas_fed" : 1, + "const_per_principal_total" : 520326452.38398468, "ibi_sta_percent_deprbas_fed" : 0, + "const_per_name2" : "Loan 2", + "dispatch_data_filename" : "", + "ur_demand_reactive_power_charge" : 0, + "const_per_upfront_rate1" : 1, "pbi_fed_amount" : [ 0 ], + "const_per_interest_rate2" : 0, "ibi_oth_percent_deprbas_sta" : 0, + "const_per_upfront_rate4" : 0, + "const_per_interest5" : 0, + "const_per_interest_total" : 8455304.851239752, + "const_per_interest_rate4" : 0, + "const_per_interest_rate5" : 0, + "const_per_upfront_rate5" : 0, "depr_bonus_sta_sl_39" : 0, + "const_per_months1" : 6, "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "const_per_upfront_rate3" : 0, + "ur_cr_tou_mat" : [ [ 0 ] ], "ibi_oth_amount_tax_fed" : 1, "ur_nm_credit_rollover" : 0, + "lib_dispatch_factor5" : 1, + "const_per_total3" : 0, "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "const_per_months2" : 0, + "const_per_months4" : 0, + "lib_dispatch_factor7" : 1, + "const_per_total1" : 13658569.375079598, + "const_per_months5" : 0, + "ur_is_default" : 0, + "const_per_name1" : "Loan 1", "ibi_uti_percent_maxvalue" : 0, "ibi_uti_percent_deprbas_fed" : 0, "construction_financing_cost" : 13658569.375079598, + "const_per_name4" : "Loan 4", "cbi_uti_maxvalue" : 0, "pbi_uti_escal" : 0, "depr_fedbas_method" : 1, + "const_per_percent4" : 0, + "ur_cr_sched" : [ [ 0 ] ], + "show_reserveaccounts" : 1, + "ur_billing_demand_is_shown" : 0, "ibi_uti_amount_tax_sta" : 1, "ptc_sta_escal" : 0, + "const_per_percent1" : 100, + "const_per_percent2" : 0, "depr_bonus_sta_macrs_5" : 0, "itc_sta_percent_deprbas_fed" : 0, + "const_per_percent3" : 0, "pbi_oth_escal" : 0, + "const_per_percent_total" : 100, "months_receivables_reserve" : 0, "cbi_sta_tax_sta" : 1, "ibi_sta_amount" : 0, + "mera_name3" : "Replacement Reserve 3", + "ur_desc_is_shown" : 0, + "const_per_percent5" : 0, + "const_per_principal2" : 0, + "is_btm" : 0, "equip1_reserve_cost" : 0.10000000000000001, + "const_per_principal3" : 0, + "const_per_principal4" : 0, + "const_per_principal5" : 0, + "lib_dispatch_factor2" : 1, + "const_per_total4" : 0, + "lib_dispatch_factor3" : 1, + "const_per_total5" : 0, + "show_construction_period" : 1, "ibi_oth_amount" : 0, + "ur_unused_is_shown" : 0, "ibi_sta_percent_tax_fed" : 1, "dscr_reserve_months" : 6, "equip1_reserve_freq" : 15, @@ -301,7 +804,11 @@ "equip2_reserve_cost" : 0, "equip_reserve_depr_fed" : 0, "equip2_reserve_freq" : 0, + "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "wind_total_installed_cost" : 292032000, + "mera_cost1" : 27692307.692307696, "depr_bonus_fed_sl_39" : 0, + "mera_cost3" : 0, "reserves_interest" : 1.25, "depr_itc_sta_custom" : 0, "ppa_escalation" : 1, @@ -312,18 +819,31 @@ "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], "depr_alloc_sl_20_percent" : 0, "en_electricity_rates" : 1, + "battery_total_installed_cost" : 111899952.38398466, "ibi_sta_amount_deprbas_sta" : 0, + "revenue_tod_is_shown" : 1, "itc_fed_amount" : [ 0 ], "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "ibi_fed_percent_tax_fed" : 1, + "ur_end_date" : "empty", "depr_bonus_sta_custom" : 0, "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "lib_dispatch_factor1" : 1, "pbi_sta_tax_fed" : 1, "depr_bonus_fed_sl_15" : 0, + "ac_nameplate" : 76923.076923076922, "pbi_sta_amount" : [ 0 ], + "tod_library" : "Uniform Dispatch", "ur_nm_yearend_sell_rate" : 0, "depr_itc_sta_macrs_5" : 0, + "lib_dispatch_factor6" : 1, + "lib_dispatch_factor8" : 1, + "lib_dispatch_factor9" : 1, + "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ppa_multiplier_model" : 0, + "revenue_capacity_payments_is_shown" : 0, + "ur_energy_history" : 0, + "ui_system_nameplate_standalone" : 0, "cp_battery_nameplate" : 0, "ptc_fed_term" : 10, "cp_capacity_credit_percent" : [ 0 ], @@ -332,6 +852,7 @@ "cp_system_nameplate" : 276.92307692307696, "grid_curtailment_price" : [ 0 ], "depr_bonus_fed_sl_5" : 0, + "revenue_curtailment_is_shown" : 0, "itc_fed_amount_deprbas_sta" : 1, "itc_fed_percent" : [ 30 ], "ptc_sta_term" : 10, @@ -340,6 +861,7 @@ "pbi_fed_for_ds" : 0, "itc_sta_percent" : [ 0 ], "itc_sta_percent_deprbas_sta" : 0, + "ur_fuel_adjustments_monthly" : [ 0 ], "ibi_sta_amount_tax_sta" : 1, "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], "depr_alloc_macrs_15_percent" : 0, @@ -354,13 +876,17 @@ "cbi_oth_amount" : 0, "depr_bonus_fed_sl_20" : 0, "cbi_oth_deprbas_fed" : 0, + "batt_power_discharge_max_kwac" : 57599.963136000006, "cbi_oth_deprbas_sta" : 0, "pbi_fed_tax_sta" : 1, "cbi_oth_maxvalue" : 0, + "ui_electricity_rate_option" : 1, "cbi_oth_tax_sta" : 1, "cbi_sta_deprbas_fed" : 0, "cbi_sta_deprbas_sta" : 0, + "ur_demand_min" : 0, "cbi_sta_maxvalue" : 0, + "ur_voltage_min" : 0, "ibi_fed_amount_tax_fed" : 1, "cbi_uti_amount" : 0, "ibi_uti_percent" : 0, @@ -370,6 +896,8 @@ "ibi_fed_percent_deprbas_sta" : 0, "ibi_fed_amount_tax_sta" : 1, "ibi_fed_percent" : 0, + "ur_ratedata_filename" : "", + "ur_energy_attrs" : "", "ibi_fed_percent_tax_sta" : 1, "ibi_sta_amount_tax_fed" : 1, "ibi_oth_amount_deprbas_fed" : 0, @@ -377,16 +905,21 @@ "ibi_oth_percent" : 0, "ibi_uti_amount_tax_fed" : 1, "ibi_oth_percent_deprbas_fed" : 0, + "ur_fixed_attrs" : "", "ibi_sta_percent_maxvalue" : 0, "ibi_uti_amount" : 0, "ur_nm_credit_month" : 0, "ibi_uti_percent_tax_sta" : 1, + "ur_energy_max" : 0, "depr_itc_sta_sl_5" : 0, + "chk_update_peaks" : 0, "pbi_fed_term" : 0, "pbi_oth_tax_fed" : 1, "pbi_oth_term" : 0, + "ur_ec_is_shown" : 1, "depr_itc_fed_sl_15" : 0, "depr_itc_sta_sl_20" : 0, + "ur_voltage_category" : "", "pbi_sta_escal" : 0, "pbi_sta_tax_sta" : 1, "pbi_uti_amount" : [ 0 ], @@ -395,6 +928,8 @@ "depr_alloc_custom_percent" : 0, "depr_bonus_sta_sl_20" : 0, "depr_itc_sta_sl_39" : 0, + "depr_alloc_none" : 0, + "ur_demand_window" : 0, "depr_alloc_sl_39_percent" : 0, "depr_bonus_fed_macrs_5" : 1, "depr_bonus_sta" : 0, @@ -412,14 +947,26 @@ "ur_en_ts_buy_rate" : 0, "ur_ts_buy_rate" : [ 0 ], "ur_annual_min_charge" : 0, + "ur_has_unused_items" : 0, "ur_monthly_min_charge" : 0, + "ur_demand_max" : 0, + "ur_description" : "", + "ur_energy_min" : 0, + "ur_utility" : "empty", + "ur_phase_wiring" : "", + "ur_schedule_name" : "empty", + "ur_start_date" : "empty", + "ur_uri" : "empty", "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ur_yearzero_usage_peaks" : [ 0 ], "ur_billing_demand_minimum" : 0, "ur_dc_enable" : 0, "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "number table entries" : 242 + "ur_demand_attrs" : "", + "ur_service_type" : "", + "pv_total_installed_cost" : 116394500, + "number table entries" : 378 }, "number table entries" : 5 }, diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_hybridize_ssc_inputs.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_hybridize_ssc_inputs.json new file mode 100644 index 000000000..5facd5022 --- /dev/null +++ b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_hybridize_ssc_inputs.json @@ -0,0 +1,475 @@ +{ + "input" : { + "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], + "windpower" : { + "om_fixed_escal" : 0, + "turb_specific_loss" : 0.81000000000000005, + "wind_resource_distribution" : [ [ 0 ] ], + "avail_bop_loss" : 0.5, + "weibull_wind_speed" : 7.25, + "env_degrad_loss" : 1.8, + "adjust_en_timeindex" : 0, + "weibull_reference_height" : 50, + "degradation" : [ 0 ], + "avail_grid_loss" : 1.5, + "wind_turbine_rotor_diameter" : 100, + "om_production_escal" : 0, + "turb_perf_loss" : 1.1000000000000001, + "wind_turbine_max_cp" : 0.45000000000000001, + "wind_resource_model_choice" : 0, + "wind_turbine_hub_ht" : 80, + "avail_turb_loss" : 3.5800000000000001, + "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "adjust_constant" : 0, + "weibull_k_factor" : 2, + "wind_resource_shear" : 0.14000000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_farm_wake_model" : 0, + "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "adjust_en_periods" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "adjust_timeindex" : [ 0 ], + "om_capacity" : [ 40 ], + "system_capacity" : 200000, + "turb_hysteresis_loss" : 0.40000000000000002, + "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "ops_load_loss" : 0.98999999999999999, + "wake_future_loss" : 0, + "elec_parasitic_loss" : 0.10000000000000001, + "ops_strategies_loss" : 0, + "elec_eff_loss" : 1.9099999999999999, + "turb_generic_loss" : 1.7, + "env_env_loss" : 0.40000000000000002, + "env_exposure_loss" : 0, + "ops_grid_loss" : 0.83999999999999997, + "env_icing_loss" : 0.20999999999999999, + "ops_env_loss" : 1, + "wake_ext_loss" : 1.1000000000000001, + "wake_int_loss" : 0, + "total_installed_cost" : 292032000, + "om_capacity_escal" : 0, + "om_fixed" : [ 0 ], + "om_production" : [ 0 ], + "number table entries" : 50 + }, + "pvwattsv8" : { + "array_type" : 2, + "dc_ac_ratio" : 1.3, + "shading_timestep" : [ [ 0 ] ], + "shading_en_diff" : 0, + "om_land_lease" : [ 0 ], + "losses" : 14.075660688264469, + "shading_azal" : [ [ 0 ] ], + "shading_string_option" : 0, + "om_land_lease_escal" : 0, + "adjust_en_periods" : 0, + "inv_eff" : 96, + "analysis_period" : 25, + "solar_resource_file" : "C:/Projects/Github/NREL/source/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "om_production_escal" : 0, + "system_use_lifetime_output" : 0, + "batt_simple_enable" : 0, + "degradation" : [ 0.5 ], + "azimuth" : 180, + "gcr" : 0.29999999999999999, + "shading_mxh" : [ [ 0 ] ], + "tilt" : 0, + "module_type" : 0, + "system_capacity" : 100000, + "shading_diff" : 0, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "om_capacity" : [ 15 ], + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "total_installed_cost" : 116394500, + "shading_en_timestep" : 0, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "adjust_en_timeindex" : 0, + "adjust_constant" : 0, + "en_snowloss" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "shading_en_azal" : 0, + "bifaciality" : 0, + "shading_en_mxh" : 0, + "om_production" : [ 0 ], + "shading_en_string_option" : 0, + "use_wf_albedo" : 1, + "om_fixed" : [ 0 ], + "om_fixed_escal" : 0, + "om_capacity_escal" : 0, + "land_area" : 433.51782985136145, + "number table entries" : 44 + }, + "battery" : { + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_losses" : [ 0 ], + "leadacid_q20_computed" : 479616, + "batt_qexp" : 2.5840000000000005, + "leadacid_q10_computed" : 446042.88, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "batt_cycle_cost_choice" : 0, + "batt_room_temperature_celsius" : [ 25 ], + "batt_resistance" : 0.001155, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "ppa_price_input" : [ 0.050000000000000003 ], + "leadacid_tn" : 1, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "leadacid_qn_computed" : 287769.59999999998, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "batt_qnom" : 3.1260000000000003, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_kp" : 1.2, + "batt_computed_bank_capacity" : 239999.84640000004, + "batt_power_discharge_max_kwdc" : 59999.96160000001, + "add_om_num_types" : 1, + "batt_chem" : 1, + "om_replacement_cost_escal" : 0, + "batt_life_model" : 1, + "batt_c_rate" : 0.20000000000000001, + "batt_dispatch_pvs_ac_ub" : 1.05, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_computed_series" : 139, + "om_batt_variable_cost" : [ 0 ], + "om_batt_nameplate" : 239999.84640000004, + "batt_surface_area" : 17999.988480000004, + "batt_loss_choice" : 0, + "batt_meter_position" : 1, + "batt_maximum_soc" : 95, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_vcut" : 2.7719999999999998, + "batt_vnom_default" : 3.6000000000000001, + "batt_vfull" : 4.2000000000000002, + "batt_computed_strings" : 149880, + "en_standalone_batt" : 0, + "batt_current_discharge_max" : 119904, + "batt_dc_dc_efficiency" : 99, + "batt_qfull_flow" : 479616.00000000006, + "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], + "batt_initial_soc" : 50, + "batt_inverter_efficiency_cutoff" : 90, + "batt_current_charge_max" : 119904, + "batt_qfull" : 3.2000000000000002, + "batt_current_choice" : 1, + "om_capacity_escal" : 0, + "batt_ac_or_dc" : 1, + "batt_power_charge_max_kwac" : 62499.960000000014, + "batt_ac_dc_efficiency" : 96, + "batt_power_charge_max_kwdc" : 59999.96160000001, + "batt_power_discharge_max_kwac" : 57599.963136000006, + "batt_voltage_choice" : 0, + "batt_vexp" : 3.5299999999999998, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_vnom" : 3.3420000000000001, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "dispatch_manual_system_charge_first" : 0, + "batt_cp" : 1500, + "batt_mass" : 2376236.1029702974, + "batt_dispatch_pvs_ac_lb_enable" : 0, + "batt_h_to_ambient" : 100, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_calendar_a" : 0.00266, + "batt_calendar_b" : -7280, + "batt_calendar_q0" : 1.02, + "inflation_rate" : 2.5, + "om_fixed_escal" : 0, + "batt_calendar_c" : 939, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_calendar_choice" : 1, + "ppa_escalation" : 1, + "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], + "batt_replacement_capacity" : 50, + "batt_replacement_option" : 1, + "batt_cycle_cost" : [ 0 ], + "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_update_frequency_hours" : 1, + "batt_look_ahead_hours" : 18, + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_dispatch_pvs_ac_ub_enable" : 0, + "om_batt_fixed_cost" : [ 0 ], + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_dispatch_pvs_curtail_if_violation" : 0, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "batt_dispatch_pvs_ki" : 1.8, + "batt_dispatch_pvs_max_ramp" : 10, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "batt_dispatch_pvs_nameplate_ac" : 20000, + "total_installed_cost" : 111899952.38398466, + "batt_dispatch_pvs_short_forecast_enable" : 0, + "batt_dispatch_pvs_soc_rest" : 50, + "batt_dispatch_pvs_timestep_multiplier" : 3, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_custom_dispatch" : [ 0 ], + "dispatch_manual_percent_gridcharge" : [ 0 ], + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "analysis_period" : 25, + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_minimum_soc" : 10, + "batt_minimum_modetime" : 10, + "om_production_escal" : 0, + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_charge" : 1, + "batt_dispatch_choice" : 3, + "om_batt_replacement_cost" : [ 323 ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ppa_multiplier_model" : 0, + "number table entries" : 118 + }, + "hybrid" : { + "depr_bonus_fed_custom" : 0, + "flip_target_year" : 20, + "om_capacity" : 0, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_sta_amount_deprbas_fed" : 0, + "ibi_oth_amount_tax_sta" : 1, + "cost_other_financing" : 0, + "cp_capacity_payment_type" : 0, + "inflation_rate" : 2.5, + "depr_bonus_fed" : 0, + "flip_target_percent" : 11, + "cp_capacity_payment_esc" : 0, + "term_int_rate" : 4, + "property_tax_rate" : 0, + "ptc_fed_escal" : 0, + "months_working_reserve" : 6, + "system_capacity" : 276923.07692307694, + "ibi_fed_percent_maxvalue" : 0, + "pbi_oth_tax_sta" : 1, + "grid_interconnection_limit_kwac" : 20000, + "cost_debt_fee" : 2.75, + "enable_interconnection_limit" : 0, + "equip3_reserve_freq" : 0, + "ibi_oth_percent_tax_fed" : 1, + "dscr_maximum_debt_fraction" : 100, + "ptc_sta_amount" : [ 0 ], + "itc_fed_percent_deprbas_fed" : 1, + "cbi_fed_deprbas_fed" : 0, + "debt_option" : 1, + "real_discount_rate" : 6.4000000000000004, + "itc_sta_amount" : [ 0 ], + "depr_alloc_sl_15_percent" : 0, + "rate_escalation" : [ 0 ], + "prop_tax_assessed_decline" : 0, + "pbi_oth_for_ds" : 0, + "cbi_fed_deprbas_sta" : 0, + "itc_fed_percent_deprbas_sta" : 1, + "depr_itc_sta_macrs_15" : 0, + "ur_enable_billing_demand" : 0, + "debt_percent" : 60, + "batt_salvage_percentage" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "ibi_fed_amount_deprbas_sta" : 0, + "salvage_percentage" : 0, + "ibi_oth_amount_deprbas_sta" : 0, + "depr_alloc_macrs_5_percent" : 100, + "total_installed_cost" : 520326452.38398468, + "pbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_sta" : 0, + "cbi_uti_deprbas_fed" : 0, + "depr_bonus_fed_macrs_15" : 0, + "om_fixed" : 0, + "om_production" : 0, + "term_tenor" : 18, + "ur_billing_demand_lookback_period" : 0, + "state_tax_rate" : [ 7 ], + "analysis_period" : 25, + "ibi_uti_amount_deprbas_fed" : 0, + "federal_tax_rate" : [ 21 ], + "equip_reserve_depr_sta" : 0, + "equip3_reserve_cost" : 0, + "dscr" : 1.3, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_fed_maxvalue" : 0, + "ibi_sta_percent" : 0, + "pbi_sta_term" : 0, + "ur_monthly_fixed_charge" : 0, + "insurance_rate" : 0, + "ibi_uti_percent_tax_fed" : 1, + "prop_tax_cost_assessed_percent" : 100, + "depr_itc_sta_sl_15" : 0, + "ibi_fed_amount" : 0, + "ptc_fed_amount" : [ 0 ], + "ibi_uti_percent_deprbas_sta" : 0, + "cbi_fed_tax_sta" : 1, + "pbi_fed_tax_fed" : 1, + "depr_alloc_sl_5_percent" : 0, + "cbi_sta_amount" : 0, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "payment_option" : 0, + "pbi_sta_for_ds" : 0, + "pbi_fed_escal" : 0, + "grid_curtailment_price_esc" : 0, + "depr_custom_schedule" : [ 0 ], + "dscr_limit_debt_fraction" : 0, + "cost_debt_closing" : 0, + "ibi_oth_percent_tax_sta" : 1, + "ibi_fed_amount_deprbas_fed" : 0, + "cp_capacity_payment_amount" : [ 0 ], + "loan_moratorium" : 0, + "cbi_oth_tax_fed" : 1, + "batt_replacement_option" : 1, + "itc_fed_amount_deprbas_fed" : 1, + "ibi_sta_percent_deprbas_fed" : 0, + "pbi_fed_amount" : [ 0 ], + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_sta_sl_39" : 0, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ibi_oth_amount_tax_fed" : 1, + "ur_nm_credit_rollover" : 0, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ibi_uti_percent_maxvalue" : 0, + "ibi_uti_percent_deprbas_fed" : 0, + "construction_financing_cost" : 13658569.375079598, + "cbi_uti_maxvalue" : 0, + "pbi_uti_escal" : 0, + "depr_fedbas_method" : 1, + "ibi_uti_amount_tax_sta" : 1, + "ptc_sta_escal" : 0, + "depr_bonus_sta_macrs_5" : 0, + "itc_sta_percent_deprbas_fed" : 0, + "pbi_oth_escal" : 0, + "months_receivables_reserve" : 0, + "cbi_sta_tax_sta" : 1, + "ibi_sta_amount" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "ibi_oth_amount" : 0, + "ibi_sta_percent_tax_fed" : 1, + "dscr_reserve_months" : 6, + "equip1_reserve_freq" : 15, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "equip2_reserve_cost" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "depr_bonus_fed_sl_39" : 0, + "reserves_interest" : 1.25, + "depr_itc_sta_custom" : 0, + "ppa_escalation" : 1, + "ppa_price_input" : [ 0.050000000000000003 ], + "ibi_oth_percent_maxvalue" : 0, + "ppa_soln_mode" : 1, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "depr_alloc_sl_20_percent" : 0, + "en_electricity_rates" : 1, + "ibi_sta_amount_deprbas_sta" : 0, + "itc_fed_amount" : [ 0 ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ibi_fed_percent_tax_fed" : 1, + "depr_bonus_sta_custom" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "pbi_sta_tax_fed" : 1, + "depr_bonus_fed_sl_15" : 0, + "pbi_sta_amount" : [ 0 ], + "ur_nm_yearend_sell_rate" : 0, + "depr_itc_sta_macrs_5" : 0, + "ppa_multiplier_model" : 0, + "cp_battery_nameplate" : 0, + "ptc_fed_term" : 10, + "cp_capacity_credit_percent" : [ 0 ], + "pbi_oth_amount" : [ 0 ], + "ibi_sta_percent_deprbas_sta" : 0, + "cp_system_nameplate" : 276.92307692307696, + "grid_curtailment_price" : [ 0 ], + "depr_bonus_fed_sl_5" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "itc_fed_percent" : [ 30 ], + "ptc_sta_term" : 10, + "pbi_uti_tax_fed" : 1, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_for_ds" : 0, + "itc_sta_percent" : [ 0 ], + "itc_sta_percent_deprbas_sta" : 0, + "ibi_sta_amount_tax_sta" : 1, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "depr_alloc_macrs_15_percent" : 0, + "cbi_uti_tax_fed" : 1, + "ibi_uti_amount_deprbas_sta" : 0, + "cbi_fed_amount" : 0, + "cbi_fed_tax_fed" : 1, + "cbi_sta_tax_fed" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], + "ibi_fed_percent_deprbas_fed" : 0, + "depr_itc_fed_sl_39" : 0, + "cbi_oth_amount" : 0, + "depr_bonus_fed_sl_20" : 0, + "cbi_oth_deprbas_fed" : 0, + "cbi_oth_deprbas_sta" : 0, + "pbi_fed_tax_sta" : 1, + "cbi_oth_maxvalue" : 0, + "cbi_oth_tax_sta" : 1, + "cbi_sta_deprbas_fed" : 0, + "cbi_sta_deprbas_sta" : 0, + "cbi_sta_maxvalue" : 0, + "ibi_fed_amount_tax_fed" : 1, + "cbi_uti_amount" : 0, + "ibi_uti_percent" : 0, + "cbi_uti_deprbas_sta" : 0, + "depr_bonus_sta_macrs_15" : 0, + "cbi_uti_tax_sta" : 1, + "ibi_fed_percent_deprbas_sta" : 0, + "ibi_fed_amount_tax_sta" : 1, + "ibi_fed_percent" : 0, + "ibi_fed_percent_tax_sta" : 1, + "ibi_sta_amount_tax_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ibi_oth_percent" : 0, + "ibi_uti_amount_tax_fed" : 1, + "ibi_oth_percent_deprbas_fed" : 0, + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_amount" : 0, + "ur_nm_credit_month" : 0, + "ibi_uti_percent_tax_sta" : 1, + "depr_itc_sta_sl_5" : 0, + "pbi_fed_term" : 0, + "pbi_oth_tax_fed" : 1, + "pbi_oth_term" : 0, + "depr_itc_fed_sl_15" : 0, + "depr_itc_sta_sl_20" : 0, + "pbi_sta_escal" : 0, + "pbi_sta_tax_sta" : 1, + "pbi_uti_amount" : [ 0 ], + "pbi_uti_for_ds" : 0, + "pbi_uti_term" : 0, + "depr_alloc_custom_percent" : 0, + "depr_bonus_sta_sl_20" : 0, + "depr_itc_sta_sl_39" : 0, + "depr_alloc_sl_39_percent" : 0, + "depr_bonus_fed_macrs_5" : 1, + "depr_bonus_sta" : 0, + "depr_bonus_sta_sl_15" : 0, + "depr_itc_fed_sl_5" : 0, + "depr_itc_fed_macrs_15" : 0, + "depr_bonus_sta_sl_5" : 0, + "depr_itc_fed_macrs_5" : 0, + "depr_itc_fed_custom" : 0, + "depr_itc_fed_sl_20" : 0, + "depr_stabas_method" : 1, + "ur_ts_sell_rate" : [ 0 ], + "ur_en_ts_sell_rate" : 0, + "ur_metering_option" : 4, + "ur_en_ts_buy_rate" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ur_annual_min_charge" : 0, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_yearzero_usage_peaks" : [ 0 ], + "ur_billing_demand_minimum" : 0, + "ur_dc_enable" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "number table entries" : 242 + }, + "number table entries" : 5 + }, + "compute_module_0" : "hybrid", + "number_compute_modules" : 1, + "number_metrics" : 0 +} diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 912ee3b5f..653eadcb5 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -263,7 +263,8 @@ TEST_F(CmodHybridTest, CodeGeneratorPVWattsv8WindBatterySingleOwner) { auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); - EXPECT_NEAR(npv, -291370144, 291370144 * 0.001); +// EXPECT_NEAR(npv, -291370144, 291370144 * 0.001); // check this with patch results. + EXPECT_NEAR(npv, -307199232, 307199232 * 0.001); } ssc_data_free(dat); dat = nullptr; From 8a638e6ceafb8fbf8153508370919d527a2b5779 Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Sun, 3 Mar 2024 05:39:19 -0700 Subject: [PATCH 64/79] ssc hybridized code generated JSON file from SAM Run all ssc tests --- ...atts_Wind_Battery_Hybrid_Single_Owner.json | 581 ++---------------- test/main.cpp | 2 +- 2 files changed, 41 insertions(+), 542 deletions(-) diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json index 25448e6a0..5facd5022 100644 --- a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json +++ b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json @@ -2,672 +2,278 @@ "input" : { "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], "windpower" : { - "step" : 0, - "total_installed_cost_per_kw" : 1460.1600000000001, + "om_fixed_escal" : 0, + "turb_specific_loss" : 0.81000000000000005, "wind_resource_distribution" : [ [ 0 ] ], - "ui_step_minutes" : 60, - "bos_cost_total" : 69552000, + "avail_bop_loss" : 0.5, "weibull_wind_speed" : 7.25, - "bos_cost_per_kw" : 347.75999999999999, - "wind_climate.url_info" : "empty", - "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, "env_degrad_loss" : 1.8, - "wind_climate.msg_is_error" : 0, - "wind.turbine.elevation" : 0, - "wind_resource.requested_ht" : 80, - "degradation" : [ 0 ], + "adjust_en_timeindex" : 0, "weibull_reference_height" : 50, - "wind.turbine.radio_list_or_design" : 0, + "degradation" : [ 0 ], "avail_grid_loss" : 1.5, - "a_error_test_number" : 17.344999999999999, "wind_turbine_rotor_diameter" : 100, - "use_specific_wf_wind" : 0, - "adjust_en_timeindex" : 0, - "wind_resource.country" : "USA", - "user_specified_wf_wind" : " ", - "wind_resource.elev" : 1829, - "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", - "wind_climate.msg" : "", - "wind_resource.closest_speed_meas_ht" : 80, - "wind_turbine_iec_class_from_lib" : "IIb|IIIa", - "wind_resource.city" : "city??", - "wind_resource.closest_dir_meas_ht" : 80, - "wind.turbine.max_tip_speed" : 80, - "wind.turbine.dummy" : 0, - "wind.turbine.max_tspeed_ratio" : 8, - "wind_resource.file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_resource.lon_requested" : 0, + "om_production_escal" : 0, + "turb_perf_loss" : 1.1000000000000001, "wind_turbine_max_cp" : 0.45000000000000001, - "est_bos_cost" : 0, "wind_resource_model_choice" : 0, - "resource_definition_type" : 0, - "wind_turbine_powercurve_windspeeds_from_lib" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_resource.location" : "AZ Eastern-Rolling Hills", - "wind_turbine_rated_wind_speed" : 2500, - "wind.turbine.tower_design" : 0, - "windfarm.farm.offset" : 4, - "wind_resource.lat_requested" : 0, - "wind_turbine_kw_rating_input" : 1500, - "wind_resource.lon" : 0, - "wind_farm_sizing_mode" : 2, - "wind_turbine_rotor_diameter_from_lib" : 100, - "wind_resource.lat" : 0, "wind_turbine_hub_ht" : 80, - "wind_resource.year" : 1900, - "wind_resource.location_id" : "loc_id", - "wind.turbine.name_only" : "0", - "wind.turbine.blade_design" : 0, - "wind_turbine_rotor_diameter_input" : 75, - "wind_resource.state" : "AZ", - "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "avail_turb_loss" : 3.5800000000000001, "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", - "turbine_cost_per_kw" : 1112.4000000000001, - "wind_turbine_cutin" : 4, - "wind_turbine_kw_rating_from_lib" : 2500, "adjust_constant" : 0, "weibull_k_factor" : 2, - "a_error_test_string" : "\"default err msg\"", - "wind.turbine.drive_train" : 0, - "wind.turbine.region2nhalf_slope" : 5, - "wind_turbine_cut_out" : 25, - "reference_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", "wind_resource_shear" : 0.14000000000000001, - "wind_turbine_powercurve_err_msg" : "", - "wind_turbine_kw_rating" : 2500, - "wind_turbine_powercurve_hub_efficiency" : [ 0 ], "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_turbine_selection" : "GE 2.5xl", "wind_farm_wake_model" : 0, - "om_production_escal" : 0, "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wake_loss" : 0, - "desired_farm_size" : 10, - "adjust" : 0, "adjust_en_periods" : 0, - "cols" : 1, "adjust_periods" : [ [ 0, 0, 0 ] ], - "sales_tax_basis" : 0, - "turbine_cost_per_turbine" : 0, "adjust_timeindex" : [ 0 ], - "rows" : 1, - "sizing_warning" : 0, - "specify_label" : 1, + "om_capacity" : [ 40 ], "system_capacity" : 200000, - "wind_farm_num_turbines" : 80, - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wind_farm_xcoord_file" : [ 0 ], "turb_hysteresis_loss" : 0.40000000000000002, "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "windfarm.farm.layout_angle" : 0, - "bos_cost_per_turbine" : 0, - "wind_farm_ycoord_file" : [ 0 ], - "analysis_period" : 25, "ops_load_loss" : 0.98999999999999999, - "windfarm.farm.layout_slider" : 33, - "windfarm.farm.number_of_rows" : 10, - "windfarm.farm.offset_type" : 0, - "windfarm.farm.row_spacing" : 8, - "windfarm.layout.file_or_controls" : 1, - "windfarm.farm.shape" : 0, - "windfarm.farm.turbine_spacing" : 8, - "windfarm.farm.turbines_per_row" : 8, - "avail_bop_loss" : 0.5, - "avail_turb_loss" : 3.5800000000000001, - "avail_loss_total" : 5.5011684999999977, "wake_future_loss" : 0, "elec_parasitic_loss" : 0.10000000000000001, + "ops_strategies_loss" : 0, "elec_eff_loss" : 1.9099999999999999, - "elec_loss_total" : 2.0080899999999957, + "turb_generic_loss" : 1.7, "env_env_loss" : 0.40000000000000002, "env_exposure_loss" : 0, + "ops_grid_loss" : 0.83999999999999997, "env_icing_loss" : 0.20999999999999999, - "ops_loss_total" : 2.8034671600000061, - "wake_loss_total" : 1.100000000000001, - "turb_loss_total" : 3.9544963841199987, - "turb_generic_loss" : 1.7, - "env_loss_total" : 2.3981951200000018, "ops_env_loss" : 1, - "ops_grid_loss" : 0.83999999999999997, - "ops_strategies_loss" : 0, - "turb_perf_loss" : 1.1000000000000001, - "turb_specific_loss" : 0.81000000000000005, - "turbine_cost_total" : 222480000.00000003, "wake_ext_loss" : 1.1000000000000001, "wake_int_loss" : 0, - "system_use_lifetime_output" : 0, - "install_type" : 0, - "sales_tax_total" : 0, - "bos_cost_fixed" : 0, - "reference_capacity" : 200000, - "turbine_cost_fixed" : 0, - "est_turbine_cost" : 0, - "reference_number_turbines" : 80, - "reference_sales_tax_percent" : 5, "total_installed_cost" : 292032000, - "om_capacity" : [ 40 ], "om_capacity_escal" : 0, "om_fixed" : [ 0 ], "om_production" : [ 0 ], - "inflation_rate" : 2.5, - "om_fixed_escal" : 0, - "sales_tax_rate" : 5, - "number table entries" : 150 + "number table entries" : 50 }, "pvwattsv8" : { - "ui_land_area_ha" : 263.15847360564504, - "annual_twet" : "nan", "array_type" : 2, - "use_specific_weather_file" : 0, "dc_ac_ratio" : 1.3, - "in_nsrdb_options" : 0, - "annual_global" : 5.7947616438356171, - "solar_data_file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "shading_timestep" : [ [ 0 ] ], + "shading_en_diff" : 0, + "om_land_lease" : [ 0 ], "losses" : 14.075660688264469, - "annual_albedo" : 0.18395068539332038, "shading_azal" : [ [ 0 ] ], - "ui_step_minutes" : 60, - "module_total" : 39000000, - "annual_beam" : 7.3356438356164393, - "state" : "-", - "annual_diffuse" : 1.3484328767123288, - "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", - "inverter_total" : 5000000, - "country" : "-", + "shading_string_option" : 0, + "om_land_lease_escal" : 0, "adjust_en_periods" : 0, - "loss_snow" : 0, - "ui_array_land_area_acres" : 650.27774620322919, "inv_eff" : 96, - "ui_total_module_area_ha" : 52.631578947368418, - "in_time_step" : 0, - "shading_en_diff" : 0, - "annual_snow" : "nan", - "module_costunits" : 0, - "loss_lid" : 1.5, - "loss_soiling" : 2, - "library_folder_list" : "x", - "landprep_fixed" : 0, - "annual_tdry" : 21.938470319634703, - "loss_mismatch" : 2, - "permitting_fixed" : 0, - "shading_string_option" : 0, - "total_land_area" : 650.27774620322919, - "solar_data_source" : "NSRDB", - "annual_wspd" : 1.7864840188646289, - "city" : "-", - "station_id" : "78208", - "ui_land_area_multiplier" : 0, - "elev" : 358, - "in_location_options" : 0, - "total_module_area" : 526315.78947368416, - "landprep_total" : 1000000, - "loss_avail" : 3, - "step" : 3600, - "install_margin_perwatt" : 0.12, - "subtotal_direct" : 103000000, - "file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", "analysis_period" : 25, - "in_location_list" : "", - "is_advanced" : 0, - "lat" : 33.450000000000003, - "ui_land_area_per_mw" : 0, - "library_paths" : "", - "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "per_inverter" : 0.050000000000000003, - "loss_age" : 0, + "solar_resource_file" : "C:/Projects/Github/NREL/source/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "om_production_escal" : 0, - "lon" : -111.98, - "solar_data_file_name_load" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "engr_total" : 2000000, - "ac_nameplate" : 76923.076923076922, + "system_use_lifetime_output" : 0, "batt_simple_enable" : 0, - "tz" : -7, - "land_percent" : 0, - "grid_percent" : 0, - "user_specified_weather_file" : "", - "wf_nrecords" : 8760, + "degradation" : [ 0.5 ], "azimuth" : 180, - "permitting_total" : 0, "gcr" : 0.29999999999999999, - "grid_fixed" : 0, - "en_user_spec_losses" : 0, "shading_mxh" : [ [ 0 ] ], - "loss_conn" : 0.5, - "loss_nameplate" : 1, - "loss_shading" : 3, - "loss_wiring" : 2, "tilt" : 0, - "losses_user" : 14, "module_type" : 0, "system_capacity" : 100000, - "ui_array_land_area_multiplier" : 1, - "installed_per_capacity" : 1.163945, + "shading_diff" : 0, "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "ui_ac_capacity" : 76.92307692307692, "om_capacity" : [ 15 ], - "module_num_units" : 1, - "ui_use_acre_per_mw" : 0, - "ui_land_area_additional_units" : 0, - "ui_array_land_area_ha" : 263.15847360564504, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "total_installed_cost" : 116394500, - "pvwatts.advanced.is_shown" : 0, "shading_en_timestep" : 0, - "ui_land_area_additional" : 0, - "ui_total_land_area_ha" : 263.15847360564504, - "inverter_num_units" : 1, - "ui_total_module_area" : 526315.78947368416, "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "land_per_acre" : 0, - "inflation_rate" : 2.5, - "ui_total_module_area_acres" : 130.05534895540842, - "pv_land_area_is_shown" : 0, "adjust_en_timeindex" : 0, - "adjust" : 0, - "shading_diff" : 0, "adjust_constant" : 0, "en_snowloss" : 0, "adjust_periods" : [ [ 0, 0, 0 ] ], - "contingency" : 3090000, "shading_en_azal" : 0, "bifaciality" : 0, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "module_is_bifacial" : 0, - "shading" : 0, - "land_per_watt" : 0, "shading_en_mxh" : 0, + "om_production" : [ 0 ], "shading_en_string_option" : 0, - "sales_tax_rate" : 5, - "shading_timestep" : [ [ 0 ] ], - "user_specified_constant_albedo" : 0.20000000000000001, - "landprep_per_watt" : 0.01, "use_wf_albedo" : 1, - "system_use_lifetime_output" : 0, - "degradation" : [ 0.5 ], - "install_margin_perarea" : 0, - "bos_equip_perarea" : 0, - "bos_equip_fixed" : 0, - "bos_equip_perwatt" : 0.28999999999999998, - "landprep_per_acre" : 0, - "contingency_percent" : 3, - "bos_equip_total" : 28999999.999999996, - "engr_fixed" : 0, "om_fixed" : [ 0 ], - "engr_per_watt" : 0.02, - "engr_percent" : 0, - "sales_tax_percent" : 100, "om_fixed_escal" : 0, - "grid_per_watt" : 0.02, - "permitting_percent" : 0, - "grid_total" : 2000000, - "install_labor_total" : 18000000, - "install_labor_fixed" : 0, - "install_labor_perarea" : 0, - "install_labor_perwatt" : 0.17999999999999999, - "install_margin_fixed" : 0, - "install_margin_total" : 12000000, - "inverter_costunits" : 1, - "inverter_power" : 76923.076923076922, - "inverterarray_power" : 76923.076923076922, - "land_area_value" : 650.27774620322919, - "land_fixed" : 0, - "land_total" : 0, - "landprep_percent" : 0, - "module_power" : 100000, - "modulearray_area" : 526315.78947368416, - "modulearray_power" : 100000, - "per_module" : 0.39000000000000001, - "total_direct_cost" : 106090000, - "sales_tax_total" : 5304500, - "permitting_per_watt" : 0, - "sales_tax_value" : 5, - "total_indirect_cost" : 5000000, "om_capacity_escal" : 0, - "om_production" : [ 0 ], - "land_area" : 650.27774620322919, - "om_land_lease" : [ 0 ], - "om_land_lease_escal" : 0, - "ui_land_area_units" : 0, - "ui_land_lease" : [ 0 ], - "number table entries" : 175 + "land_area" : 433.51782985136145, + "number table entries" : 44 }, "battery" : { - "battery_voltage_shown" : 0, - "compute_as_cube" : 0, "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_bank_power_dc_ac" : 0, - "pv.storage.p4.charge" : 0, - "batt_qnom_percent" : 97.6875, + "batt_losses" : [ 0 ], "leadacid_q20_computed" : 479616, "batt_qexp" : 2.5840000000000005, "leadacid_q10_computed" : 446042.88, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_wf_forecast_choice" : 0, "batt_dispatch_auto_can_fuelcellcharge" : 0, - "leadacid_q10" : 93, "batt_cycle_cost_choice" : 0, - "batt_room_temperature_single" : 25, - "batt_bank_nstrings" : 1, - "batt_room_temperature_celsius" : [ 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25 ], - "leadacid_q20" : 100, - "leadacid_qn" : 60, - "battery_per_kw" : 236, + "batt_room_temperature_celsius" : [ 25 ], + "batt_resistance" : 0.001155, "batt_dispatch_pvs_kf" : 0.29999999999999999, "ppa_price_input" : [ 0.050000000000000003 ], "leadacid_tn" : 1, - "pv.storage.p3.discharge" : 0, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "leadacid_qn_computed" : 287769.59999999998, "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "batt_dispatch_pvs_ramp_interval" : 180, - "batt_c_rate_max_discharge" : 0.25, - "pv.storage.p6.dischargetogrid" : 0, - "batt_volume" : 3428.5692342857146, - "batt_ui_inverter_eff" : 96, "batt_qnom" : 3.1260000000000003, "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], "batt_dispatch_pvs_kp" : 1.2, "batt_computed_bank_capacity" : 239999.84640000004, "batt_power_discharge_max_kwdc" : 59999.96160000001, - "pv.storage.p3.charge" : 0, "add_om_num_types" : 1, "batt_chem" : 1, - "batt_cell_current_charge_max" : 10, "om_replacement_cost_escal" : 0, - "genericsys.cost.contingency_percent" : 3, - "pv.storage.p5.gridcharge" : 0, "batt_life_model" : 1, + "batt_c_rate" : 0.20000000000000001, "batt_dispatch_pvs_ac_ub" : 1.05, "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_computed_series" : 139, - "batt_c_rate_max_charge_input" : 0.5, "om_batt_variable_cost" : [ 0 ], - "genericsys.cost.plm.nonfixed" : 3305061.884759041, "om_batt_nameplate" : 239999.84640000004, - "batt_type" : 5, - "batt_bank_duration" : 0, "batt_surface_area" : 17999.988480000004, "batt_loss_choice" : 0, - "batt_unit_capacity" : 400, "batt_meter_position" : 1, "batt_maximum_soc" : 95, - "batt_cell_power_charge_max" : 2, - "batt_bank_size_specify" : 100, - "batt_bank_nseries_stacks" : 1, - "batt_bank_size" : 240000, - "batt_discharge_percent_1" : 50, "batt_dispatch_auto_can_gridcharge" : 0, - "batt_c_rate_max_discharge_input" : 0.5, - "batt_computed_voltage" : 500.40000000000003, "batt_vcut" : 2.7719999999999998, "batt_vnom_default" : 3.6000000000000001, - "batt_discharge_percent_2" : 25, "batt_vfull" : 4.2000000000000002, - "batt_bank_ncells_serial" : 3, "batt_computed_strings" : 149880, "en_standalone_batt" : 0, "batt_current_discharge_max" : 119904, - "pv.storage.p3.dischargetogrid" : 0, - "batt_bank_voltage" : 500, - "ui_copy_batt_discharge_percent_5" : 25, + "batt_dc_dc_efficiency" : 99, "batt_qfull_flow" : 479616.00000000006, - "batt_size_choice" : 0, "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], - "batt_bank_power" : 60000, - "battery_total" : 91679941.324800014, "batt_initial_soc" : 50, - "total_direct_cost" : 94430339.564544022, - "batt_cell_current_discharge_max" : 10, - "batt_c_rate_max_charge" : 0.25, - "batt_duration_choice" : 0, - "genericsys.cost.epc.fixed" : 0, "batt_inverter_efficiency_cutoff" : 90, - "genericsys.cost.epc.total" : 9443033.9564544018, - "batt_thermal_choice" : 0, - "batt_bank_size_dc_ac" : 0, - "batt_bank_size_ui" : 240000, - "batt_qfull" : 3.2000000000000002, - "batt_cell_power_discharge_max" : 2, - "batt_time_capacity" : 4, - "batt_computed_stacks_series" : 0, "batt_current_charge_max" : 119904, - "batt_dispatch_wf_forecast_choice" : 0, - "batt_dc_ac_efficiency" : 96, - "batt_dispatch_pvs_battery_energy" : 239999.84640000004, - "batt_discharge_percent_6" : 25, + "batt_qfull" : 3.2000000000000002, "batt_current_choice" : 1, "om_capacity_escal" : 0, - "ui_copy_batt_discharge_percent_4" : 25, - "batt_num_cells" : 20833320, "batt_ac_or_dc" : 1, "batt_power_charge_max_kwac" : 62499.960000000014, "batt_ac_dc_efficiency" : 96, "batt_power_charge_max_kwdc" : 59999.96160000001, "batt_power_discharge_max_kwac" : 57599.963136000006, - "batt_ui_nominal_bank_power" : 59999.96160000001, - "batt_dc_dc_efficiency" : 99, - "batt_c_rate" : 0.20000000000000001, "batt_voltage_choice" : 0, - "batt_qexp_percent" : 80.75, "batt_vexp" : 3.5299999999999998, - "batt_resistance" : 0.001155, "dispatch_manual_percent_discharge" : [ 25, 0 ], "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_gridcharge_percent_3" : 100, "batt_vnom" : 3.3420000000000001, - "batt_ui_bank_voltage" : 500.40000000000003, - "genericsys.cost.epc.nonfixed" : 9443033.9564544018, - "batt_ui_cell_voltage" : 3.6000000000000001, - "pv.storage.p6.discharge" : 0, - "batt_losses" : [ 0 ], "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_replacement_schedule_percent" : [ 0 ], - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "battery_losses_shown" : 0, "dispatch_manual_system_charge_first" : 0, "batt_cp" : 1500, - "batt_room_temperature_vector" : [ 0 ], "batt_mass" : 2376236.1029702974, "batt_dispatch_pvs_ac_lb_enable" : 0, "batt_h_to_ambient" : 100, - "batt_specific_energy_per_mass" : 101, "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_specific_energy_per_volume" : 70, - "batt_unit_surface_area" : 30, - "battery_thermal_shown" : 0, "batt_calendar_a" : 0.00266, - "genericsys.cost.sales_tax.total" : 4721516.9782272009, "batt_calendar_b" : -7280, - "battery_indirect_cost_percent" : 0, "batt_calendar_q0" : 1.02, "inflation_rate" : 2.5, - "batt_pvs_user_specified_weather_file" : "", "om_fixed_escal" : 0, "batt_calendar_c" : 939, "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], "batt_calendar_choice" : 1, "ppa_escalation" : 1, "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], - "batt_life_excl" : 1, "batt_replacement_capacity" : 50, "batt_replacement_option" : 1, - "pv.storage.p2.charge" : 0, - "ui_batt_life_model" : 0, "batt_cycle_cost" : [ 0 ], - "genericsys.cost.epc.percent" : 10, "batt_pv_ac_forecast" : [ 0 ], "batt_dispatch_update_frequency_hours" : 1, - "batt_pv_ac_forecast_fom_auto" : [ 0 ], "batt_look_ahead_hours" : 18, - "pv.storage.p1.discharge" : 0, - "batt_user_specified_weather_file" : "", "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_dispatch_pvs_battery_rte" : 92.159999999999997, - "genericsys.cost.per_watt" : 0, "batt_dispatch_pvs_ac_ub_enable" : 0, - "pv.storage.p4.gridcharge" : 0, - "batt_dispatch_pvs_battery_power" : 62499.960000000014, "om_batt_fixed_cost" : [ 0 ], - "pv.storage.p5.discharge" : 0, - "batt_dispatch_pvs_wf_forecast_choice" : 0, "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_gridcharge_percent_1" : 100, - "battery_energy" : 239999.84640000004, "batt_dispatch_pvs_curtail_if_violation" : 0, "batt_dispatch_pvs_forecast_shift_periods" : 3, - "batt_dispatch_pvs_interconnection_limit" : 20000, "batt_dispatch_pvs_ki" : 1.8, "batt_dispatch_pvs_max_ramp" : 10, "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "batt_dispatch_pvs_nameplate" : 276923.07692307694, "batt_dispatch_pvs_nameplate_ac" : 20000, "total_installed_cost" : 111899952.38398466, "batt_dispatch_pvs_short_forecast_enable" : 0, "batt_dispatch_pvs_soc_rest" : 50, "batt_dispatch_pvs_timestep_multiplier" : 3, - "batt_dispatch_pvs_wf_timestep" : 60, "batt_pv_clipping_forecast" : [ 0 ], - "batt_pvs_pv_ac_forecast" : [ 0 ], - "batt_gridcharge_percent_6" : 100, "batt_custom_dispatch" : [ 0 ], - "batt_discharge_percent_3" : 25, - "ui_copy_batt_discharge_percent_3" : 25, - "batt_discharge_percent_4" : 25, "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_discharge_percent_5" : 25, - "genericsys.cost.sales_tax.value" : 5, - "batt_gridcharge_percent_2" : 100, - "batt_gridcharge_percent_4" : 100, - "batt_gridcharge_percent_5" : 100, "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], "analysis_period" : 25, "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], "batt_dispatch_auto_can_clipcharge" : 0, "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "pv.storage.p1.charge" : 1, - "pv.storage.p1.dischargetogrid" : 0, - "pv.storage.p5.charge" : 0, - "pv.storage.p1.gridcharge" : 0, - "pv.storage.p2.discharge" : 1, - "pv.storage.p2.dischargetogrid" : 0, - "ui_copy_batt_discharge_percent_2" : 25, - "pv.storage.p2.gridcharge" : 0, - "ui_copy_batt_discharge_percent_1" : 50, - "pv.storage.p3.gridcharge" : 0, - "pv.storage.p4.discharge" : 0, - "pv.storage.p4.dischargetogrid" : 0, - "pv.storage.p5.dischargetogrid" : 0, - "pv.storage.p6.charge" : 0, - "pv.storage.p6.gridcharge" : 0, - "ui_copy_batt_discharge_percent_6" : 25, - "batt_dispatch_excl" : 3, "batt_minimum_soc" : 10, "batt_minimum_modetime" : 10, "om_production_escal" : 0, "grid_interconnection_limit_kwac" : 20000, "batt_dispatch_auto_can_charge" : 1, "batt_dispatch_choice" : 3, - "batt_dispatch_choice_ui" : 3, - "genericsys.cost.contingency" : 2750398.2397440001, - "battery_per_kwh" : 323, - "battery_power" : 59999.96160000001, - "battery_total_cost_lcos" : 111899952.38398466, - "sales_tax_rate" : 5, - "genericsys.cost.installed_per_capacity" : 1.94270875, - "pv_ui_step_minutes" : 60, - "genericsys.cost.plm.fixed" : 0, - "genericsys.cost.plm.percent" : 3.5, - "genericsys.cost.plm.total" : 3305061.884759041, - "genericsys.cost.sales_tax.percent" : 100, - "total_indirect_cost" : 12748095.841213442, "om_batt_replacement_cost" : [ 323 ], - "system_capacity" : 57599.963136000006, - "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "wind_ui_step_minutes" : 60, "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "ppa_multiplier_model" : 0, - "hybrid_system_capacity" : 276923.07692307694, - "number table entries" : 253 + "number table entries" : 118 }, "hybrid" : { - "ui_generic_capacity" : 0, - "flip_target_year" : 20, "depr_bonus_fed_custom" : 0, - "ui_wacc" : 5.3872800000000014, - "ui_wind_cost" : 292032000, - "ui_battery_capacity" : 57599.963136000006, - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_source" : "empty", - "wind_system_capacity" : 200000, + "flip_target_year" : 20, "om_capacity" : 0, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ibi_sta_amount_deprbas_fed" : 0, "ibi_oth_amount_tax_sta" : 1, - "const_per_months3" : 0, "cost_other_financing" : 0, - "ui_generic_cost" : 0, "cp_capacity_payment_type" : 0, "inflation_rate" : 2.5, "depr_bonus_fed" : 0, "flip_target_percent" : 11, "cp_capacity_payment_esc" : 0, - "ur_name" : "", - "const_per_principal1" : 520326452.38398468, "term_int_rate" : 4, "property_tax_rate" : 0, "ptc_fed_escal" : 0, "months_working_reserve" : 6, "system_capacity" : 276923.07692307694, "ibi_fed_percent_maxvalue" : 0, - "ui_fuel_cell_cost" : 0, "pbi_oth_tax_sta" : 1, - "const_per_interest_rate3" : 0, "grid_interconnection_limit_kwac" : 20000, "cost_debt_fee" : 2.75, "enable_interconnection_limit" : 0, "equip3_reserve_freq" : 0, - "ui_battery_cost" : 111899952.38398466, "ibi_oth_percent_tax_fed" : 1, "dscr_maximum_debt_fraction" : 100, - "nominal_discount_rate" : 9.0600000000000023, - "mera_name2" : "Replacement Reserve 2", - "ui_fuel_cell_capacity" : 0, "ptc_sta_amount" : [ 0 ], - "const_per_interest4" : 0, "itc_fed_percent_deprbas_fed" : 1, "cbi_fed_deprbas_fed" : 0, - "const_per_interest3" : 0, "debt_option" : 1, "real_discount_rate" : 6.4000000000000004, "itc_sta_amount" : [ 0 ], "depr_alloc_sl_15_percent" : 0, "rate_escalation" : [ 0 ], - "lib_dispatch_factor4" : 1, - "const_per_total2" : 0, "prop_tax_assessed_decline" : 0, "pbi_oth_for_ds" : 0, - "mera_cost2" : 0, - "hybrid_capital_cost" : 0, "cbi_fed_deprbas_sta" : 0, "itc_fed_percent_deprbas_sta" : 1, "depr_itc_sta_macrs_15" : 0, "ur_enable_billing_demand" : 0, "debt_percent" : 60, - "const_per_interest2" : 0, - "battery_system_capacity" : 57599.963136000006, - "ui_hyb_pv_capacity" : 76923.076923076922, "batt_salvage_percentage" : 0, "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], "ibi_fed_amount_deprbas_sta" : 0, "salvage_percentage" : 0, "ibi_oth_amount_deprbas_sta" : 0, "depr_alloc_macrs_5_percent" : 100, - "const_per_name3" : "Loan 3", "total_installed_cost" : 520326452.38398468, - "property_assessed_value" : 520326452.38398468, "pbi_uti_tax_sta" : 1, "itc_sta_amount_deprbas_sta" : 0, - "ui_pv_cost" : 116394500, "cbi_uti_deprbas_fed" : 0, "depr_bonus_fed_macrs_15" : 0, - "ur_dc_is_shown" : 1, "om_fixed" : 0, "om_production" : 0, "term_tenor" : 18, @@ -675,13 +281,10 @@ "state_tax_rate" : [ 7 ], "analysis_period" : 25, "ibi_uti_amount_deprbas_fed" : 0, - "analysis_period_warning" : "", - "mera_name1" : "Replacement Reserve 1", "federal_tax_rate" : [ 21 ], "equip_reserve_depr_sta" : 0, "equip3_reserve_cost" : 0, "dscr" : 1.3, - "ur_rate_notes" : "", "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], "cbi_fed_maxvalue" : 0, "ibi_sta_percent" : 0, @@ -692,111 +295,51 @@ "prop_tax_cost_assessed_percent" : 100, "depr_itc_sta_sl_15" : 0, "ibi_fed_amount" : 0, - "sales_tax_rate" : 5, - "const_per_name5" : "Loan 5", "ptc_fed_amount" : [ 0 ], "ibi_uti_percent_deprbas_sta" : 0, "cbi_fed_tax_sta" : 1, - "solution_mode_message" : "", "pbi_fed_tax_fed" : 1, - "salvage_value" : 0, "depr_alloc_sl_5_percent" : 0, "cbi_sta_amount" : 0, "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "batt_salvage_value" : 0, - "ur_demand_history" : 0, "payment_option" : 0, "pbi_sta_for_ds" : 0, "pbi_fed_escal" : 0, "grid_curtailment_price_esc" : 0, "depr_custom_schedule" : [ 0 ], "dscr_limit_debt_fraction" : 0, - "const_per_upfront_rate2" : 0, "cost_debt_closing" : 0, - "ur_voltage_max" : 0, "ibi_oth_percent_tax_sta" : 1, "ibi_fed_amount_deprbas_fed" : 0, - "debt_message" : "", "cp_capacity_payment_amount" : [ 0 ], "loan_moratorium" : 0, "cbi_oth_tax_fed" : 1, - "show_debtconstdscr" : 1, - "show_capitalcostso" : 1, - "const_per_interest1" : 8455304.851239752, "batt_replacement_option" : 1, - "const_per_interest_rate1" : 6.5, "itc_fed_amount_deprbas_fed" : 1, - "const_per_principal_total" : 520326452.38398468, "ibi_sta_percent_deprbas_fed" : 0, - "const_per_name2" : "Loan 2", - "dispatch_data_filename" : "", - "ur_demand_reactive_power_charge" : 0, - "const_per_upfront_rate1" : 1, "pbi_fed_amount" : [ 0 ], - "const_per_interest_rate2" : 0, "ibi_oth_percent_deprbas_sta" : 0, - "const_per_upfront_rate4" : 0, - "const_per_interest5" : 0, - "const_per_interest_total" : 8455304.851239752, - "const_per_interest_rate4" : 0, - "const_per_interest_rate5" : 0, - "const_per_upfront_rate5" : 0, "depr_bonus_sta_sl_39" : 0, - "const_per_months1" : 6, "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "const_per_upfront_rate3" : 0, - "ur_cr_tou_mat" : [ [ 0 ] ], "ibi_oth_amount_tax_fed" : 1, "ur_nm_credit_rollover" : 0, - "lib_dispatch_factor5" : 1, - "const_per_total3" : 0, "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "const_per_months2" : 0, - "const_per_months4" : 0, - "lib_dispatch_factor7" : 1, - "const_per_total1" : 13658569.375079598, - "const_per_months5" : 0, - "ur_is_default" : 0, - "const_per_name1" : "Loan 1", "ibi_uti_percent_maxvalue" : 0, "ibi_uti_percent_deprbas_fed" : 0, "construction_financing_cost" : 13658569.375079598, - "const_per_name4" : "Loan 4", "cbi_uti_maxvalue" : 0, "pbi_uti_escal" : 0, "depr_fedbas_method" : 1, - "const_per_percent4" : 0, - "ur_cr_sched" : [ [ 0 ] ], - "show_reserveaccounts" : 1, - "ur_billing_demand_is_shown" : 0, "ibi_uti_amount_tax_sta" : 1, "ptc_sta_escal" : 0, - "const_per_percent1" : 100, - "const_per_percent2" : 0, "depr_bonus_sta_macrs_5" : 0, "itc_sta_percent_deprbas_fed" : 0, - "const_per_percent3" : 0, "pbi_oth_escal" : 0, - "const_per_percent_total" : 100, "months_receivables_reserve" : 0, "cbi_sta_tax_sta" : 1, "ibi_sta_amount" : 0, - "mera_name3" : "Replacement Reserve 3", - "ur_desc_is_shown" : 0, - "const_per_percent5" : 0, - "const_per_principal2" : 0, - "is_btm" : 0, "equip1_reserve_cost" : 0.10000000000000001, - "const_per_principal3" : 0, - "const_per_principal4" : 0, - "const_per_principal5" : 0, - "lib_dispatch_factor2" : 1, - "const_per_total4" : 0, - "lib_dispatch_factor3" : 1, - "const_per_total5" : 0, - "show_construction_period" : 1, "ibi_oth_amount" : 0, - "ur_unused_is_shown" : 0, "ibi_sta_percent_tax_fed" : 1, "dscr_reserve_months" : 6, "equip1_reserve_freq" : 15, @@ -804,11 +347,7 @@ "equip2_reserve_cost" : 0, "equip_reserve_depr_fed" : 0, "equip2_reserve_freq" : 0, - "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "wind_total_installed_cost" : 292032000, - "mera_cost1" : 27692307.692307696, "depr_bonus_fed_sl_39" : 0, - "mera_cost3" : 0, "reserves_interest" : 1.25, "depr_itc_sta_custom" : 0, "ppa_escalation" : 1, @@ -819,31 +358,18 @@ "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], "depr_alloc_sl_20_percent" : 0, "en_electricity_rates" : 1, - "battery_total_installed_cost" : 111899952.38398466, "ibi_sta_amount_deprbas_sta" : 0, - "revenue_tod_is_shown" : 1, "itc_fed_amount" : [ 0 ], "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "ibi_fed_percent_tax_fed" : 1, - "ur_end_date" : "empty", "depr_bonus_sta_custom" : 0, "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "lib_dispatch_factor1" : 1, "pbi_sta_tax_fed" : 1, "depr_bonus_fed_sl_15" : 0, - "ac_nameplate" : 76923.076923076922, "pbi_sta_amount" : [ 0 ], - "tod_library" : "Uniform Dispatch", "ur_nm_yearend_sell_rate" : 0, "depr_itc_sta_macrs_5" : 0, - "lib_dispatch_factor6" : 1, - "lib_dispatch_factor8" : 1, - "lib_dispatch_factor9" : 1, - "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ppa_multiplier_model" : 0, - "revenue_capacity_payments_is_shown" : 0, - "ur_energy_history" : 0, - "ui_system_nameplate_standalone" : 0, "cp_battery_nameplate" : 0, "ptc_fed_term" : 10, "cp_capacity_credit_percent" : [ 0 ], @@ -852,7 +378,6 @@ "cp_system_nameplate" : 276.92307692307696, "grid_curtailment_price" : [ 0 ], "depr_bonus_fed_sl_5" : 0, - "revenue_curtailment_is_shown" : 0, "itc_fed_amount_deprbas_sta" : 1, "itc_fed_percent" : [ 30 ], "ptc_sta_term" : 10, @@ -861,7 +386,6 @@ "pbi_fed_for_ds" : 0, "itc_sta_percent" : [ 0 ], "itc_sta_percent_deprbas_sta" : 0, - "ur_fuel_adjustments_monthly" : [ 0 ], "ibi_sta_amount_tax_sta" : 1, "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], "depr_alloc_macrs_15_percent" : 0, @@ -876,17 +400,13 @@ "cbi_oth_amount" : 0, "depr_bonus_fed_sl_20" : 0, "cbi_oth_deprbas_fed" : 0, - "batt_power_discharge_max_kwac" : 57599.963136000006, "cbi_oth_deprbas_sta" : 0, "pbi_fed_tax_sta" : 1, "cbi_oth_maxvalue" : 0, - "ui_electricity_rate_option" : 1, "cbi_oth_tax_sta" : 1, "cbi_sta_deprbas_fed" : 0, "cbi_sta_deprbas_sta" : 0, - "ur_demand_min" : 0, "cbi_sta_maxvalue" : 0, - "ur_voltage_min" : 0, "ibi_fed_amount_tax_fed" : 1, "cbi_uti_amount" : 0, "ibi_uti_percent" : 0, @@ -896,8 +416,6 @@ "ibi_fed_percent_deprbas_sta" : 0, "ibi_fed_amount_tax_sta" : 1, "ibi_fed_percent" : 0, - "ur_ratedata_filename" : "", - "ur_energy_attrs" : "", "ibi_fed_percent_tax_sta" : 1, "ibi_sta_amount_tax_fed" : 1, "ibi_oth_amount_deprbas_fed" : 0, @@ -905,21 +423,16 @@ "ibi_oth_percent" : 0, "ibi_uti_amount_tax_fed" : 1, "ibi_oth_percent_deprbas_fed" : 0, - "ur_fixed_attrs" : "", "ibi_sta_percent_maxvalue" : 0, "ibi_uti_amount" : 0, "ur_nm_credit_month" : 0, "ibi_uti_percent_tax_sta" : 1, - "ur_energy_max" : 0, "depr_itc_sta_sl_5" : 0, - "chk_update_peaks" : 0, "pbi_fed_term" : 0, "pbi_oth_tax_fed" : 1, "pbi_oth_term" : 0, - "ur_ec_is_shown" : 1, "depr_itc_fed_sl_15" : 0, "depr_itc_sta_sl_20" : 0, - "ur_voltage_category" : "", "pbi_sta_escal" : 0, "pbi_sta_tax_sta" : 1, "pbi_uti_amount" : [ 0 ], @@ -928,8 +441,6 @@ "depr_alloc_custom_percent" : 0, "depr_bonus_sta_sl_20" : 0, "depr_itc_sta_sl_39" : 0, - "depr_alloc_none" : 0, - "ur_demand_window" : 0, "depr_alloc_sl_39_percent" : 0, "depr_bonus_fed_macrs_5" : 1, "depr_bonus_sta" : 0, @@ -947,26 +458,14 @@ "ur_en_ts_buy_rate" : 0, "ur_ts_buy_rate" : [ 0 ], "ur_annual_min_charge" : 0, - "ur_has_unused_items" : 0, "ur_monthly_min_charge" : 0, - "ur_demand_max" : 0, - "ur_description" : "", - "ur_energy_min" : 0, - "ur_utility" : "empty", - "ur_phase_wiring" : "", - "ur_schedule_name" : "empty", - "ur_start_date" : "empty", - "ur_uri" : "empty", "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ur_yearzero_usage_peaks" : [ 0 ], "ur_billing_demand_minimum" : 0, "ur_dc_enable" : 0, "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_demand_attrs" : "", - "ur_service_type" : "", - "pv_total_installed_cost" : 116394500, - "number table entries" : 378 + "number table entries" : 242 }, "number table entries" : 5 }, diff --git a/test/main.cpp b/test/main.cpp index 01b8c98d9..eafe1a724 100644 --- a/test/main.cpp +++ b/test/main.cpp @@ -54,7 +54,7 @@ GTEST_API_ int main(int argc, char **argv) { // filter to include // ::testing::GTEST_FLAG(filter) = "CmodPVWatts*:CMPvwatts*"; - ::testing::GTEST_FLAG(filter) = "CmodHybridTest*"; + //::testing::GTEST_FLAG(filter) = "CmodHybridTest*"; // ::testing::GTEST_FLAG(filter) = "CmodCashLoanTest*:CmodSingleOwnerTest*"; //::testing::GTEST_FLAG(filter) = "CMGeothermal*:GeothermalPlantAnalyzer*"; From daf68f657db4e4e469eb83051b4a0bbeb447357e Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Mon, 4 Mar 2024 01:55:28 -0700 Subject: [PATCH 65/79] 2023.12.17.r1.ssc.290 release candidate --- ssc/sscapi.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/ssc/sscapi.cpp b/ssc/sscapi.cpp index 25b94d4df..0b3baac96 100644 --- a/ssc/sscapi.cpp +++ b/ssc/sscapi.cpp @@ -49,7 +49,7 @@ OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. SSCEXPORT int ssc_version() { - return 288; + return 290; } SSCEXPORT const char *ssc_build_info() From be7e7682ec0c3ebe60b96ef16caf37d62278316a Mon Sep 17 00:00:00 2001 From: Darice Date: Mon, 4 Mar 2024 07:25:23 -0700 Subject: [PATCH 66/79] remove comment --- test/ssc_test/cmod_hybrid_test.cpp | 1 - 1 file changed, 1 deletion(-) diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 653eadcb5..3cbac8f1e 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -263,7 +263,6 @@ TEST_F(CmodHybridTest, CodeGeneratorPVWattsv8WindBatterySingleOwner) { auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); -// EXPECT_NEAR(npv, -291370144, 291370144 * 0.001); // check this with patch results. EXPECT_NEAR(npv, -307199232, 307199232 * 0.001); } ssc_data_free(dat); From d39d19ed3035a8a01e3d07302b25ca694988ce53 Mon Sep 17 00:00:00 2001 From: Darice Date: Mon, 4 Mar 2024 08:05:42 -0700 Subject: [PATCH 67/79] update cmod_hybrid_test with code generation results --- ...ts Wind Battery Hybrid_Host Developer.json | 67185 +--------------- ...atts Wind Battery Hybrid_Single Owner.json | 30772 +------ test/ssc_test/cmod_hybrid_test.cpp | 20 +- 3 files changed, 1989 insertions(+), 95988 deletions(-) diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json index b00b3294c..27ece5b73 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json @@ -1,66178 +1,1009 @@ { - "input": { - "compute_modules" : ["pvwattsv8", "windpower", "battery", "grid", "utilityrate5", "host_developer"], - "pvwattsv8": { - "ac_nameplate": 3.63636, - "adjust": 0.0, - "adjust_constant": 0.0, - "adjust_en_periods": 0.0, - "adjust_en_timeindex": 0.0, - "adjust_periods": [ - [ - 0.0, - 0.0, - 0.0 - ] - ], - "adjust_timeindex": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "albedo": [ - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2 - ], - "analysis_period": 30.0, - "annual_albedo": 0.183951, - "annual_beam": 7.33564, - "annual_diffuse": 1.34843, - "annual_global": 5.79476, - "annual_snow": "nan", - "annual_tdry": 21.9385, - "annual_wspd": 1.78648, - "array_type": 0.0, - "azimuth": 180.0, - "batt_simple_enable": 0.0, - "bifaciality": 0.0, - "bos_equip_fixed": 0.0, - "bos_equip_perarea": 0.0, - "bos_equip_perwatt": 0.0, - "bos_equip_total": 0.0, - "capex_table_ac_cost_per_w": 0.0, - "capex_table_ac_inputs": [ - [ - 0.0 - ] - ], - "capex_table_cost_per_w": 0.45, - "capex_table_dc_inputs": [ - [ - 2.0, - 0.35 - ], - [ - 5.0, - 0.4 - ], - [ - 8.0, - 0.45 - ] - ], - "capex_table_land_cost_per_acre": 0.0, - "capex_table_land_inputs": [ - [ - 0.0 - ] - ], - "capex_table_total_installed_ac_cost": 0.0, - "capex_table_total_installed_dc_cost": 1800.0, - "capex_table_total_installed_land_cost": 0.0, - "city": "-", - "contingency": 0.0, - "contingency_percent": 0.0, - "country": "-", - "dc_ac_ratio": 1.1, - "degradation": [ - 0.0 - ], - "elev": 358.0, - "en_snowloss": 0.0, - "en_user_spec_losses": 0.0, - "engr_fixed": 0.0, - "engr_per_watt": 0.0, - "engr_percent": 0.0, - "engr_total": 0.0, - "file_name": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "gcr": 0.4, - "grid_fixed": 0.0, - "grid_per_watt": 0.0, - "grid_percent": 0.0, - "grid_total": 0.0, - "in_location": "Type a location name, street address, or lat,lon in decimal degrees", - "in_location_list": "15458 Denver W Pkwy, Golden CO 804041|phoenix,az|45.5,-120.9|Manaus,Brazil", - "in_location_options": 0.0, - "in_nsrdb_options": 0.0, - "in_time_step": 0.0, - "inflation_rate": 2.5, - "install_labor_fixed": 0.0, - "install_labor_perarea": 0.0, - "install_labor_perwatt": 0.0, - "install_labor_total": 0.0, - "install_margin_fixed": 0.0, - "install_margin_perarea": 0.0, - "install_margin_perwatt": 0.0, - "install_margin_total": 0.0, - "installed_per_capacity": 5.48545, - "inv_eff": 96.0, - "inverter_costunits": 0.0, - "inverter_num_units": 1.0, - "inverter_power": 3.63636, - "inverter_total": 2581.82, - "inverterarray_power": 3.63636, - "inverterarray_power_w": 3636.36, - "is_advanced": 0.0, - "land_area": 0.0, - "land_area_capex": 0.0189035, - "land_area_value": 0.0189035, - "land_fixed": 0.0, - "land_per_acre": 0.0, - "land_per_watt": 0.0, - "land_percent": 0.0, - "land_total": 0.0, - "landprep_fixed": 0.0, - "landprep_per_acre": 0.0, - "landprep_per_watt": 0.0, - "landprep_percent": 0.0, - "landprep_total": 0.0, - "lat": 33.45, - "library_folder_list": "x", - "library_paths": "", - "lon": -111.98, - "loss_age": 0.0, - "loss_avail": 3.0, - "loss_conn": 0.5, - "loss_lid": 1.5, - "loss_mismatch": 2.0, - "loss_nameplate": 1.0, - "loss_shading": 3.0, - "loss_snow": 0.0, - "loss_soiling": 2.0, - "loss_wiring": 2.0, - "losses": 14.0757, - "losses_user": 14.0, - "module_costunits": 0.0, - "module_is_bifacial": 0.0, - "module_num_units": 1.0, - "module_power": 4.0, - "module_total": 19360.0, - "module_type": 0.0, - "modulearray_area": 21.0526, - "modulearray_power": 4.0, - "modulearray_power_w": 4000.0, - "om_capacity": [ - 50.0 - ], - "om_capacity_escal": 0.0, - "om_fixed": [ - 0.0 - ], - "om_fixed_escal": 0.0, - "om_land_lease": [ - 0.0 - ], - "om_land_lease_escal": 0.0, - "om_production": [ - 0.0 - ], - "om_production_escal": 0.0, - "per_inverter": 0.71, - "per_module": 4.84, - "permitting_fixed": 0.0, - "permitting_per_watt": 0.0, - "permitting_percent": 0.0, - "permitting_total": 0.0, - "pv_capex_cost_choice": 0.0, - "pv_land_area_is_shown": 0.0, - "pvwatts.advanced.is_shown": 0.0, - "sales_tax_percent": 100.0, - "sales_tax_rate": 0.0, - "sales_tax_total": 0.0, - "sales_tax_value": 0.0, - "shading": 0.0, - "shading_3d_scene": { - "VV_TYPE": 6, - "DATA": "" - }, - "shading_azal": [ - [ - 0.0 - ] - ], - "shading_diff": 0.0, - "shading_en_azal": 0.0, - "shading_en_diff": 0.0, - "shading_en_mxh": 0.0, - "shading_en_string_option": 0.0, - "shading_en_timestep": 0.0, - "shading_mxh": [ - [ - 0.0 - ] - ], - "shading_string_option": 0.0, - "shading_timestep": [ - [ - 0.0 - ] - ], - "soiling": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "solar_data_file_name": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "solar_data_file_name_load": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "solar_data_source": "NSRDB", - "solar_resource": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", - "solar_resource_file": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "state": "-", - "station_id": "78208", - "step": 3600.0, - "subtotal_direct": 21941.8, - "system_capacity": 4.0, - "system_use_lifetime_output": 0.0, - "tilt": 42.82, - "total_direct_cost": 21941.8, - "total_indirect_cost": 0.0, - "total_installed_cost": 21941.8, - "total_land_area": 0.0189035, - "total_module_area": 21.0526, - "tz": -7.0, - "ui_ac_capacity": -999.0, - "ui_array_land_area_acres": 0.0189035, - "ui_array_land_area_ha": 0.00765001, - "ui_array_land_area_multiplier": 1.0, - "ui_land_area_additional": 0.0, - "ui_land_area_additional_units": 0.0, - "ui_land_area_ha": 0.0, - "ui_land_area_multiplier": 0.0, - "ui_land_area_per_mw": 0.0, - "ui_land_area_units": 0.0, - "ui_land_lease": [ - 0.0 - ], - "ui_step_minutes": 60.0, - "ui_total_land_area_ha": 0.00765001, - "ui_total_module_area": 21.0526, - "ui_total_module_area_acres": 0.00520221, - "ui_total_module_area_ha": 0.00210526, - "ui_use_acre_per_mw": 0.0, - "use_specific_weather_file": 0.0, - "use_wf_albedo": 1.0, - "user_specified_constant_albedo": 0.2, - "user_specified_weather_file": "", - "wf_nrecords": 8760.0 - }, - "windpower": { - "a_error_test_number": 17.345, - "a_error_test_string": "\"default err msg\"", - "adjust": 0.0, - "adjust_constant": 0.0, - "adjust_en_periods": 0.0, - "adjust_en_timeindex": 0.0, - "adjust_periods": [ - [ - 0.0, - 0.0, - 0.0 - ] - ], - "adjust_timeindex": [ - 0.0 - ], - "analysis_period": 30.0, - "avail_bop_loss": 0.0, - "avail_grid_loss": 0.0, - "avail_loss_total": 0.0, - "avail_turb_loss": 0.0, - "bos_cost_fixed": 0.0, - "bos_cost_per_kw": 0.0, - "bos_cost_per_turbine": 0.0, - "bos_cost_total": 0.0, - "cols": 1.0, - "degradation": [ - 0.0 - ], - "desired_farm_size": 5.0, - "elec_eff_loss": 0.0, - "elec_loss_total": 0.0, - "elec_parasitic_loss": 0.0, - "env_degrad_loss": 0.0, - "env_env_loss": 0.0, - "env_exposure_loss": 0.0, - "env_icing_loss": 0.0, - "env_loss_total": 0.0, - "est_bos_cost": 0.0, - "est_turbine_cost": 0.0, - "inflation_rate": 2.5, - "install_type": 0.0, - "om_capacity": [ - 50.0 - ], - "om_capacity_escal": 0.0, - "om_fixed": [ - 0.0 - ], - "om_fixed_escal": 0.0, - "om_production": [ - 0.0 - ], - "om_production_escal": 0.0, - "ops_env_loss": 0.0, - "ops_grid_loss": 0.0, - "ops_load_loss": 0.0, - "ops_loss_total": 0.0, - "ops_strategies_loss": 0.0, - "reference_capacity": 1.0, - "reference_number_turbines": 1.0, - "reference_resource_file": "WY Southern-Flat Lands.srw", - "reference_sales_tax_percent": 0.0, - "resource_definition_type": 0.0, - "rows": 1.0, - "sales_tax_basis": 0.0, - "sales_tax_rate": 0.0, - "sales_tax_total": 0.0, - "sizing_warning": 0.0, - "specify_label": 0.0, - "system_capacity": 1.0, - "system_use_lifetime_output": 0.0, - "total_installed_cost": 4000.0, - "total_installed_cost_per_kw": 4000.0, - "turb_generic_loss": 0.0, - "turb_hysteresis_loss": 0.0, - "turb_loss_total": 0.0, - "turb_perf_loss": 0.0, - "turb_specific_loss": 0.0, - "turbine_cost_fixed": 0.0, - "turbine_cost_per_kw": 4000.0, - "turbine_cost_per_turbine": 0.0, - "turbine_cost_total": 4000.0, - "use_specific_wf_wind": 0.0, - "user_specified_wf_wind": " ", - "wake_ext_loss": 0.0, - "wake_future_loss": 0.0, - "wake_int_loss": 0.0, - "wake_loss": 0.0, - "wake_loss_total": 0.0, - "weibull_k_factor": 2.0, - "weibull_reference_height": 50.0, - "weibull_wind_speed": 7.25, - "wind.turbine.blade_design": 0.0, - "wind.turbine.drive_train": 0.0, - "wind.turbine.dummy": 0.0, - "wind.turbine.elevation": 0.0, - "wind.turbine.max_tip_speed": 80.0, - "wind.turbine.max_tspeed_ratio": 8.0, - "wind.turbine.name_only": "0", - "wind.turbine.radio_list_or_design": 0.0, - "wind.turbine.region2nhalf_slope": 5.0, - "wind.turbine.tower_design": 0.0, - "wind_climate.avg_wind_speed_closest_to_hub_ht": 0.0, - "wind_climate.msg": "", - "wind_climate.msg_is_error": 0.0, - "wind_climate.url_info": "empty", - "wind_farm_num_turbines": 1.0, - "wind_farm_sizing_mode": 0.0, - "wind_farm_wake_model": 0.0, - "wind_farm_xCoord_file": [ - 0.0 - ], - "wind_farm_xCoordinates": [ - 0.0 - ], - "wind_farm_yCoord_file": [ - 0.0 - ], - "wind_farm_yCoordinates": [ - 0.0 - ], - "wind_resource.city": "city??", - "wind_resource.closest_dir_meas_ht": 80.0, - "wind_resource.closest_speed_meas_ht": 80.0, - "wind_resource.country": "USA", - "wind_resource.description": "Southern WY - flat lands (NREL AWS Truepower representative file)", - "wind_resource.elev": 2088.0, - "wind_resource.file": "WY Southern-Flat Lands.srw", - "wind_resource.lat": 0.0, - "wind_resource.lat_requested": 0.0, - "wind_resource.location": "WY Southern-Flat Lands", - "wind_resource.location_id": "loc_id", - "wind_resource.lon": 0.0, - "wind_resource.lon_requested": 0.0, - "wind_resource.requested_ht": 80.0, - "wind_resource.state": "WY", - "wind_resource.year": 1900.0, - "wind_resource_distribution": [ - [ - 0.0 - ] - ], - "wind_resource_filename": "WY Southern-Flat Lands.srw", - "wind_resource_model_choice": 0.0, - "wind_resource_shear": 0.14, - "wind_resource_turbulence_coeff": 0.1, - "wind_turbine_IEC_Class_from_lib": "0", - "wind_turbine_cut_out": 25.0, - "wind_turbine_cutin": 4.0, - "wind_turbine_hub_ht": 80.0, - "wind_turbine_kw_rating": 1.0, - "wind_turbine_kw_rating_from_lib": 1.0, - "wind_turbine_kw_rating_input": 1500.0, - "wind_turbine_max_cp": 0.45, - "wind_turbine_powercurve_err_msg": "", - "wind_turbine_powercurve_hub_efficiency": [ - 0.0 - ], - "wind_turbine_powercurve_powerout": [ - 0.0, - 0.0, - 0.048, - 0.155, - 0.39, - 0.69, - 1.02, - 1.22, - 1.19, - 1.085, - 1.0, - 0.9, - 0.5, - 0.0, - 0.0 - ], - "wind_turbine_powercurve_powerout_from_lib": [ - 0.0, - 0.0, - 0.048, - 0.155, - 0.39, - 0.69, - 1.02, - 1.22, - 1.19, - 1.085, - 1.0, - 0.9, - 0.5, - 0.0, - 0.0 - ], - "wind_turbine_powercurve_windspeeds": [ - 0.0, - 3.0, - 3.6, - 5.4, - 7.2, - 8.9, - 10.7, - 12.5, - 14.3, - 16.1, - 17.9, - 19.7, - 21.0, - 23.0, - 40.0 - ], - "wind_turbine_powercurve_windspeeds_from_lib": [ - 0.0, - 3.0, - 3.6, - 5.4, - 7.2, - 8.9, - 10.7, - 12.5, - 14.3, - 16.1, - 17.9, - 19.7, - 21.0, - 23.0, - 40.0 - ], - "wind_turbine_rated_wind_speed": 1.0, - "wind_turbine_rotor_diameter": 2.5, - "wind_turbine_rotor_diameter_from_lib": 2.5, - "wind_turbine_rotor_diameter_input": 75.0, - "wind_turbine_selection": "Bergey BWC XL.1", - "windfarm.farm.layout_angle": 0.0, - "windfarm.farm.layout_slider": 0.0, - "windfarm.farm.number_of_rows": 1.0, - "windfarm.farm.offset": 250.0, - "windfarm.farm.offset_type": 1.0, - "windfarm.farm.row_spacing": 750.0, - "windfarm.farm.shape": 0.0, - "windfarm.farm.turbine_spacing": 500.0, - "windfarm.farm.turbines_per_row": 1.0, - "windfarm.layout.file_or_controls": 1.0 - }, - "battery": { - "LeadAcid_q10": 93.0, - "LeadAcid_q10_computed": 418.5, - "LeadAcid_q20": 100.0, - "LeadAcid_q20_computed": 450.0, - "LeadAcid_qn": 60.0, - "LeadAcid_qn_computed": 270.0, - "LeadAcid_tn": 1.0, - "add_om_num_types": 1.0, - "analysis_period": 30.0, - "batt_C_rate": 0.1, - "batt_C_rate_max_charge": 0.099, - "batt_C_rate_max_charge_input": 0.5, - "batt_C_rate_max_discharge": 0.10101, - "batt_C_rate_max_discharge_input": 0.5, - "batt_Cp": 4183.0, - "batt_Qexp": 0.05, - "batt_Qexp_percent": 1.0, - "batt_Qfull": 5.0, - "batt_Qfull_flow": 450.0, - "batt_Qnom": 4.75, - "batt_Qnom_percent": 95.0, - "batt_Vcut": 1.452, - "batt_Vexp": 2.05, - "batt_Vfull": 2.2, - "batt_Vnom": 2.04, - "batt_Vnom_default": 3.2, - "batt_ac_dc_efficiency": 99.0, - "batt_ac_or_dc": 1.0, - "batt_bank_duration": 10.0, - "batt_bank_ncells_serial": 3.0, - "batt_bank_nseries_stacks": 1.0, - "batt_bank_nstrings": 1.0, - "batt_bank_power": 20.0, - "batt_bank_power_dc_ac": 1.0, - "batt_bank_size": 200.0, - "batt_bank_size_dc_ac": 1.0, - "batt_bank_size_specify": 100.0, - "batt_bank_size_ui": 200.0, - "batt_bank_voltage": 450.0, - "batt_calendar_a": 0.00266, - "batt_calendar_b": -7280.0, - "batt_calendar_c": 939.0, - "batt_calendar_choice": 0.0, - "batt_calendar_lifetime_matrix": [ - [ - 0.0, - 100.0 - ], - [ - 3650.0, - 80.0 - ], - [ - 7300.0, - 50.0 - ] - ], - "batt_calendar_q0": 1.02, - "batt_cell_current_charge_max": 10.0, - "batt_cell_current_discharge_max": 10.0, - "batt_cell_power_charge_max": 2.0, - "batt_cell_power_discharge_max": 2.0, - "batt_chem": 0.0, - "batt_computed_bank_capacity": 203.04, - "batt_computed_series": 141.0, - "batt_computed_stacks_series": 0.0, - "batt_computed_strings": 90.0, - "batt_computed_voltage": 451.2, - "batt_current_charge_max": 44.55, - "batt_current_choice": 1.0, - "batt_current_discharge_max": 45.4545, - "batt_custom_dispatch": [ - 0.0 - ], - "batt_cycle_cost": [ - 0.0 - ], - "batt_cycle_cost_choice": 0.0, - "batt_dc_ac_efficiency": 99.0, - "batt_dc_dc_efficiency": 99.0, - "batt_discharge_percent_1": 25.0, - "batt_discharge_percent_2": 25.0, - "batt_discharge_percent_3": 25.0, - "batt_discharge_percent_4": 25.0, - "batt_discharge_percent_5": 25.0, - "batt_discharge_percent_6": 25.0, - "batt_dispatch_auto_btm_can_discharge_to_grid": 0.0, - "batt_dispatch_auto_can_charge": 0.0, - "batt_dispatch_auto_can_clipcharge": 0.0, - "batt_dispatch_auto_can_fuelcellcharge": 0.0, - "batt_dispatch_auto_can_gridcharge": 0.0, - "batt_dispatch_charge_only_system_exceeds_load": 0.0, - "batt_dispatch_choice": 3.0, - "batt_dispatch_choice_ui": 3.0, - "batt_dispatch_discharge_only_load_exceeds_system": 1.0, - "batt_dispatch_excl": 3.0, - "batt_dispatch_load_escal_choice_peak": 0.0, - "batt_dispatch_load_escal_choice_psd": 0.0, - "batt_dispatch_load_forecast_choice": 0.0, - "batt_dispatch_load_forecast_choice_peak_shaving": 0.0, - "batt_dispatch_load_forecast_choice_psd": 0.0, - "batt_dispatch_load_forecast_escal_peak_shaving": [ - 0.0 - ], - "batt_dispatch_load_forecast_escal_psd": [ - 0.0 - ], - "batt_dispatch_wf_forecast_choice": 0.0, - "batt_dispatch_wf_forecast_choice_psd": 0.0, - "batt_duration_choice": 1.0, - "batt_gridcharge_percent_1": 100.0, - "batt_gridcharge_percent_2": 100.0, - "batt_gridcharge_percent_3": 100.0, - "batt_gridcharge_percent_4": 100.0, - "batt_gridcharge_percent_5": 100.0, - "batt_gridcharge_percent_6": 100.0, - "batt_h_to_ambient": 5.0, - "batt_initial_SOC": 50.0, - "batt_inverter_efficiency_cutoff": 90.0, - "batt_life_excl": 0.0, - "batt_life_model": 0.0, - "batt_lifetime_matrix": [ - [ - 30.0, - 0.0, - 100.0 - ], - [ - 30.0, - 1100.0, - 90.0 - ], - [ - 30.0, - 1200.0, - 50.0 - ], - [ - 50.0, - 0.0, - 100.0 - ], - [ - 50.0, - 400.0, - 90.0 - ], - [ - 50.0, - 500.0, - 50.0 - ], - [ - 100.0, - 0.0, - 100.0 - ], - [ - 100.0, - 100.0, - 90.0 - ], - [ - 100.0, - 150.0, - 50.0 - ] - ], - "batt_load_ac_forecast": [ - 0.0 - ], - "batt_load_ac_forecast_escalation": [ - 0.0 - ], - "batt_loss_choice": 0.0, - "batt_losses": [ - 0.0 - ], - "batt_losses_charging": [ - 0.0 - ], - "batt_losses_discharging": [ - 0.0 - ], - "batt_losses_idle": [ - 0.0 - ], - "batt_mass": 1353.6, - "batt_maximum_SOC": 95.0, - "batt_meter_position": 0.0, - "batt_minimum_SOC": 30.0, - "batt_minimum_modetime": 10.0, - "batt_num_cells": 12690.0, - "batt_power_charge_max_kwac": 20.304, - "batt_power_charge_max_kwdc": 20.101, - "batt_power_discharge_max_kwac": 20.304, - "batt_power_discharge_max_kwdc": 20.5091, - "batt_pv_ac_forecast": [ - 0.0 - ], - "batt_pv_ac_forecast_peak": [ - 0.0 - ], - "batt_pv_ac_forecast_psd": [ - 0.0 - ], - "batt_pv_clipping_forecast": [ - 0.0 - ], - "batt_replacement_capacity": 0.0, - "batt_replacement_option": 0.0, - "batt_replacement_schedule_percent": [ - 0.0 - ], - "batt_resistance": 0.1, - "batt_room_temperature_celsius": [ - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0 - ], - "batt_room_temperature_single": 20.0, - "batt_room_temperature_vector": [ - 0.0 - ], - "batt_size_choice": 0.0, - "batt_specific_energy_per_mass": 150.0, - "batt_specific_energy_per_volume": 300.0, - "batt_surface_area": 4.62514, - "batt_target_choice": 0.0, - "batt_target_power": [ - 0.0 - ], - "batt_target_power_monthly": [ - 0.0 - ], - "batt_thermal_choice": 0.0, - "batt_time_capacity": 10.0, - "batt_type": 0.0, - "batt_ui_bank_voltage": 451.2, - "batt_ui_cell_voltage": 3.2, - "batt_ui_inverter_eff": 96.0, - "batt_ui_nominal_bank_power": 20.5091, - "batt_unit_capacity": 400.0, - "batt_unit_surface_area": 30.0, - "batt_user_specified_weather_file_peak_shaving": "", - "batt_user_specified_weather_file_psd": "", - "batt_voltage_choice": 0.0, - "batt_voltage_matrix": [ - [ - 0.0, - 1.2 - ], - [ - 20.0, - 1.1 - ], - [ - 40.0, - 1.05 - ], - [ - 60.0, - 1.0 - ], - [ - 80.0, - 0.95 - ], - [ - 100.0, - 0.5 - ] - ], - "batt_volume": 0.6768, - "battery_energy": 203.04, - "battery_indirect_cost_percent": 0.0, - "battery_losses_shown": 0.0, - "battery_per_kW": 0.0, - "battery_per_kWh": 100.0, - "battery_power": 20.5091, - "battery_thermal_shown": 0.0, - "battery_total": 20304.0, - "battery_total_cost_lcos": 20304.0, - "battery_voltage_shown": 0.0, - "cap_vs_temp": [ - [ - -15.0, - 65.0 - ], - [ - 0.0, - 85.0 - ], - [ - 25.0, - 100.0 - ], - [ - 40.0, - 104.0 - ] - ], - "compute_as_cube": 1.0, - "dispatch_manual_btm_discharge_to_grid": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_charge": [ - 1.0, - 1.0, - 1.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_discharge": [ - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_gridcharge": [ - 0.0, - 1.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_percent_discharge": [ - 25.0, - 0.0 - ], - "dispatch_manual_percent_gridcharge": [ - 100.0, - 0.0 - ], - "dispatch_manual_sched": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_manual_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_manual_system_charge_first": 1.0, - "dispatch_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_tod_factors": [ - 1.1, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - "en_batt": 1.0, - "en_standalone_batt": 0.0, - "en_wave_batt": 0.0, - "genericsys.cost.contingency": 0.0, - "genericsys.cost.contingency_percent": 0.0, - "genericsys.cost.epc.fixed": 0.0, - "genericsys.cost.epc.nonfixed": 0.0, - "genericsys.cost.epc.percent": 0.0, - "genericsys.cost.epc.total": 0.0, - "genericsys.cost.installed_per_capacity": 1.0, - "genericsys.cost.per_watt": 0.0, - "genericsys.cost.plm.fixed": 0.0, - "genericsys.cost.plm.nonfixed": 0.0, - "genericsys.cost.plm.percent": 0.0, - "genericsys.cost.plm.total": 0.0, - "genericsys.cost.sales_tax.percent": 0.0, - "genericsys.cost.sales_tax.total": 0.0, - "genericsys.cost.sales_tax.value": 0.0, - "inflation_rate": 2.5, - "load": [ - 65.6374, - 62.5244, - 75.1593, - 66.7696, - 78.4194, - 69.7381, - 79.1304, - 50.4748, - 50.3969, - 37.7489, - 37.1335, - 28.3751, - 27.4458, - 23.7839, - 21.3821, - 20.961, - 26.3378, - 42.4988, - 44.2319, - 50.8582, - 50.5752, - 57.2174, - 54.77, - 62.5655, - 62.5466, - 69.0273, - 64.4617, - 71.8981, - 68.3173, - 74.5008, - 234.676, - 217.896, - 230.172, - 191.794, - 172.779, - 165.046, - 163.967, - 149.935, - 141.272, - 139.715, - 147.919, - 155.912, - 130.833, - 132.272, - 128.764, - 130.137, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 163.928, - 142.125, - 168.956, - 147.914, - 139.89, - 136.938, - 140.046, - 132.461, - 127.632, - 127.446, - 136.442, - 141.771, - 114.75, - 114.797, - 108.864, - 112.226, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 142.076, - 124.442, - 158.465, - 139.534, - 130.405, - 127.095, - 126.792, - 121.982, - 117.195, - 117.09, - 126.775, - 131.461, - 102.11, - 102.849, - 94.7595, - 97.4781, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 120.517, - 110.213, - 150.037, - 131.9, - 122.468, - 122.902, - 124.274, - 124.874, - 120.462, - 119.828, - 127.824, - 134.513, - 105.677, - 104.267, - 94.6319, - 95.9547, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 126.096, - 107.02, - 140.049, - 124.387, - 120.537, - 122.295, - 122.559, - 119.695, - 115.207, - 114.45, - 121.73, - 125.166, - 95.4737, - 98.0856, - 89.4985, - 91.2355, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 126.974, - 100.036, - 99.832, - 90.0105, - 85.6978, - 85.3829, - 82.6116, - 75.2912, - 50.1919, - 50.7114, - 57.5579, - 74.0381, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 157.675, - 130.223, - 152.852, - 132.786, - 126.285, - 125.564, - 129.219, - 125.691, - 121.073, - 120.048, - 124.656, - 126.2, - 96.4566, - 98.3456, - 91.5109, - 95.96, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.52, - 115.363, - 149.152, - 129.205, - 121.57, - 121.96, - 125.404, - 124.593, - 120.611, - 119.448, - 124.295, - 123.945, - 92.6343, - 94.9597, - 87.2084, - 89.9637, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 106.737, - 94.7459, - 132.714, - 123.344, - 123.674, - 126.724, - 127.939, - 127.712, - 121.745, - 118.511, - 122.133, - 122.241, - 90.8912, - 92.1783, - 84.1639, - 86.7476, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 118.927, - 105.466, - 139.501, - 122.954, - 118.424, - 119.174, - 119.868, - 118.334, - 114.487, - 113.276, - 118.782, - 124.638, - 94.6371, - 97.2347, - 88.9331, - 91.8463, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 128.102, - 112.693, - 148.291, - 127.728, - 121.797, - 122.218, - 122.967, - 121.95, - 118.389, - 118.561, - 121.841, - 123.79, - 93.4902, - 96.5931, - 89.4855, - 92.9568, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 134.508, - 98.5746, - 96.21, - 89.2509, - 85.5446, - 85.8898, - 84.1672, - 78.4454, - 52.9495, - 51.6784, - 55.8877, - 71.3139, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 147.559, - 131.93, - 163.137, - 146.109, - 138.832, - 137.181, - 141.728, - 134.655, - 127.192, - 126.152, - 132.177, - 141.752, - 114.362, - 113.585, - 106.758, - 109.238, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.179, - 112.448, - 143.62, - 128.368, - 124.368, - 125.725, - 127.368, - 122.678, - 116.183, - 115.887, - 122.147, - 129.775, - 101.286, - 103.536, - 96.5743, - 99.8303, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.58, - 111.15, - 143.304, - 127.538, - 122.19, - 123.156, - 124.548, - 120.996, - 115.086, - 114.839, - 120.735, - 126.89, - 97.3894, - 99.7454, - 92.5019, - 96.1164, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 144.45, - 129.516, - 151.284, - 131.085, - 126.278, - 125.197, - 125.161, - 120.774, - 114.79, - 114.411, - 120.586, - 127.896, - 99.4435, - 102.658, - 95.887, - 99.5242, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 145.87, - 117.274, - 109.549, - 98.5057, - 91.9249, - 91.0462, - 85.4779, - 79.4584, - 56.5764, - 55.8243, - 60.2569, - 79.6187, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.5655, - 20.9088, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 41.7921, - 43.4777, - 45.2287, - 47.5001, - 172.999, - 141.927, - 162.336, - 139.992, - 131.967, - 130.293, - 132.978, - 128.174, - 122.601, - 122.073, - 122.983, - 127.575, - 98.1835, - 101.311, - 94.9811, - 98.5038, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 135.61, - 111.334, - 140.777, - 125.304, - 119.919, - 122.722, - 126.224, - 126.482, - 121.984, - 120.772, - 120.758, - 122.099, - 90.8145, - 93.2282, - 85.5756, - 87.9259, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 130.189, - 116.199, - 146.624, - 127.765, - 119.847, - 120.588, - 123.609, - 123.747, - 120.589, - 119.739, - 119.921, - 122.218, - 91.1469, - 93.502, - 86.4831, - 89.4501, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 122.168, - 105.558, - 139.074, - 122.528, - 118.052, - 119.799, - 122.725, - 124.003, - 120.995, - 121.575, - 120.365, - 121.677, - 89.1019, - 90.3988, - 82.4726, - 85.6087, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 106.553, - 99.4828, - 142.845, - 126.185, - 120.437, - 119.817, - 120.242, - 121.363, - 117.417, - 118.02, - 118.961, - 123.149, - 90.2986, - 92.1996, - 83.8984, - 86.4467, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 109.401, - 84.4718, - 94.076, - 88.0738, - 80.7528, - 83.2127, - 82.3072, - 77.4494, - 52.4732, - 52.6818, - 51.4629, - 66.9579, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.1874, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 103.701, - 89.6664, - 131.359, - 120.056, - 118.578, - 121.705, - 123.177, - 124.276, - 120.591, - 120.166, - 120.769, - 121.954, - 86.7076, - 88.5998, - 79.3628, - 82.0893, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 99.4304, - 94.0663, - 140.365, - 121.417, - 116.782, - 118.719, - 120.955, - 122.154, - 119.54, - 118.523, - 118.45, - 121.761, - 88.234, - 89.043, - 81.3983, - 83.9472, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 112.606, - 96.9766, - 135.261, - 121.816, - 117.521, - 119.454, - 122.226, - 122.842, - 119.272, - 117.702, - 119.457, - 119.407, - 88.74, - 90.1967, - 81.9256, - 84.2286, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 114.974, - 99.5702, - 136.224, - 122.069, - 117.45, - 121.217, - 123.712, - 123.718, - 119.188, - 118.688, - 120.167, - 119.499, - 89.2523, - 90.2419, - 81.1791, - 85.0077, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.853, - 92.1261, - 133.285, - 120.232, - 117.332, - 123.502, - 128.51, - 132.09, - 128.274, - 126.301, - 126.843, - 124.682, - 88.5132, - 86.9869, - 77.7439, - 81.0848, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 91.5629, - 78.622, - 92.9278, - 91.5897, - 87.6784, - 87.8362, - 85.3483, - 82.296, - 64.1723, - 66.6132, - 68.2239, - 80.7772, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 31.108, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 149.261, - 123.809, - 147.564, - 128.823, - 122.579, - 125.586, - 128.886, - 124.836, - 120.228, - 119.962, - 121.28, - 121.551, - 90.2391, - 90.854, - 82.0314, - 85.2273, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.751, - 92.1889, - 131.301, - 121.325, - 124.155, - 131.137, - 134.179, - 137.996, - 134.567, - 133.442, - 133.533, - 128.937, - 90.1826, - 88.5796, - 76.377, - 76.5461, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.1058, - 68.9968, - 130.897, - 125.398, - 125.853, - 129.808, - 132.809, - 137.547, - 131.545, - 129.309, - 129.218, - 127.428, - 89.0817, - 85.0062, - 70.6225, - 70.7933, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 72.2074, - 69.7315, - 126.489, - 119.797, - 123.214, - 129.632, - 130.027, - 128.089, - 121.849, - 121.219, - 122.95, - 117.617, - 84.5629, - 86.6192, - 78.6097, - 81.9264, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 98.7741, - 89.931, - 134.027, - 121.379, - 117.417, - 118.573, - 118.658, - 116.505, - 112.105, - 112.11, - 115.22, - 118.809, - 91.3067, - 93.6335, - 85.9244, - 88.7878, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 120.459, - 99.6859, - 104.526, - 94.9952, - 85.6986, - 85.5286, - 82.0141, - 75.6155, - 49.6814, - 49.085, - 50.8381, - 69.4183, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.4371, - 41.0401, - 40.9483, - 38.1295, - 23.7508, - 20.2999, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 44.2703, - 43.7942, - 46.1776, - 47.9632, - 173.422, - 145.755, - 164.054, - 142.812, - 131.482, - 129.958, - 134.414, - 129.863, - 124.955, - 125.085, - 124.736, - 122.557, - 93.7905, - 96.9914, - 90.1429, - 94.0503, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 109.515, - 99.2944, - 134.339, - 121.258, - 122.881, - 131.254, - 135.374, - 137.977, - 135.063, - 134.647, - 131.529, - 124.041, - 87.7284, - 85.0509, - 72.6978, - 74.8696, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 81.2074, - 82.4488, - 130.369, - 117.397, - 114.228, - 118.597, - 122.657, - 127.271, - 125.734, - 126.648, - 127.612, - 121.029, - 84.843, - 82.3218, - 70.757, - 72.6708, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 73.2832, - 77.8289, - 131.034, - 119.115, - 120.381, - 123.198, - 122.685, - 126.631, - 125.8, - 125.527, - 126.347, - 122.171, - 87.7384, - 85.7473, - 72.2303, - 73.0312, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 64.1811, - 69.3571, - 129.61, - 123.291, - 125.708, - 135.655, - 141.792, - 145.267, - 139.921, - 140.845, - 142.165, - 133.427, - 94.2794, - 87.8435, - 70.1639, - 69.64, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 68.789, - 62.8391, - 76.5092, - 70.8421, - 72.5226, - 80.6939, - 89.3684, - 89.9595, - 58.6703, - 56.3079, - 54.2341, - 53.9706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.5829, - 29.4769, - 29.4311, - 25.239, - 28.1271, - 29.5355, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.3406, - 21.0933, - 18.7714, - 18.7714, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 85.0074, - 81.8724, - 128.434, - 119.469, - 117.72, - 120.887, - 122.664, - 124.63, - 119.242, - 118.264, - 120.064, - 114.243, - 84.6477, - 85.9791, - 76.7819, - 79.5819, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.574, - 91.18, - 133.428, - 120.105, - 116.96, - 120.703, - 122.511, - 124.757, - 121.607, - 121.502, - 125.737, - 117.521, - 83.5195, - 83.6921, - 74.9305, - 78.3314, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 88.3255, - 83.8613, - 130.367, - 118.412, - 118.386, - 124.314, - 128.832, - 134.719, - 131.073, - 131.513, - 134.676, - 124.996, - 88.1483, - 82.9673, - 69.6922, - 72.431, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.6865, - 78.7972, - 128.897, - 119.916, - 123.694, - 130.92, - 132.223, - 138.328, - 134.88, - 134.717, - 139.558, - 129.299, - 91.851, - 89.2706, - 74.6701, - 73.6442, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.5923, - 50.8136, - 70.4639, - 75.6751, - 77.8559, - 83.5333, - 83.3455, - 79.8957, - 50.416, - 47.6804, - 45.3121, - 44.5892, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 20.8954, - 20.4926, - 21.1161, - 20.7317, - 22.5712, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.9661, - 78.4209, - 128.068, - 120.228, - 122.232, - 128.351, - 133.361, - 137.966, - 135.023, - 136.043, - 137.51, - 127.135, - 89.708, - 85.6968, - 69.9352, - 69.2689, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 65.8279, - 68.543, - 128.276, - 123.124, - 127.45, - 137.01, - 143.12, - 149.938, - 148.811, - 153.625, - 155.723, - 142.307, - 101.58, - 94.3632, - 77.483, - 74.4637, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 63.9313, - 72.8519, - 125.761, - 116.131, - 115.616, - 118.396, - 121.175, - 124.148, - 120.239, - 119.952, - 120.909, - 113.931, - 83.5578, - 83.2938, - 71.9689, - 74.3505, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.305, - 78.6787, - 128.767, - 117.487, - 119.011, - 124.25, - 125.161, - 128.011, - 122.36, - 120.84, - 123.713, - 117.172, - 82.9132, - 82.5355, - 72.2463, - 75.3409, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 78.0567, - 86.869, - 135.769, - 121.407, - 118.063, - 120.168, - 120.839, - 121.408, - 116.83, - 116.419, - 119.312, - 117.314, - 89.3496, - 88.8048, - 78.5845, - 80.3799, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 80.9004, - 68.1961, - 77.0203, - 78.0225, - 79.1845, - 81.9923, - 80.7572, - 77.9939, - 55.052, - 57.562, - 60.5822, - 64.7182, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.1838, - 84.9033, - 130.385, - 119.919, - 119.306, - 123.454, - 124.22, - 124.452, - 121.401, - 121.577, - 123.318, - 114.867, - 86.1306, - 84.0178, - 73.4622, - 76.872, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.3915, - 82.324, - 128.165, - 119.55, - 120.943, - 126.516, - 129.961, - 135.918, - 133.583, - 133.788, - 132.953, - 120.288, - 88.3243, - 84.1777, - 69.6655, - 70.7734, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 69.8507, - 73.0825, - 127.65, - 121.858, - 126.34, - 135.444, - 139.881, - 146.08, - 141.763, - 140.45, - 142.052, - 131.52, - 95.68, - 88.7978, - 71.6284, - 70.0038, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 54.0338, - 66.7377, - 129.097, - 127.287, - 130.95, - 142.693, - 144.605, - 149.31, - 146.199, - 145.083, - 146.327, - 134.154, - 100.622, - 93.4091, - 73.7635, - 74.4936, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.5939, - 61.398, - 129.761, - 125.369, - 132.447, - 142.265, - 143.388, - 148.734, - 146.38, - 146.5, - 145.575, - 130.295, - 94.4359, - 89.4222, - 73.1822, - 71.6438, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 42.2636, - 47.6857, - 73.3371, - 76.9813, - 78.8421, - 85.4943, - 87.3965, - 84.7442, - 53.8986, - 49.861, - 47.6768, - 43.6239, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.9283, - 79.8551, - 134.102, - 121.489, - 117.108, - 117.637, - 118.244, - 116.48, - 112.39, - 114.382, - 118.608, - 107.881, - 74.618, - 85.984, - 77.7682, - 80.5875, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 89.8266, - 86.848, - 132.776, - 118.442, - 116.302, - 119.762, - 121.633, - 123.643, - 122.477, - 123.919, - 125.068, - 112.251, - 78.2212, - 85.6934, - 71.4617, - 71.6353, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 87.7677, - 82.3362, - 131.651, - 118.206, - 118.501, - 123.843, - 127.326, - 132.751, - 131.767, - 132.713, - 136.447, - 124.041, - 87.1944, - 91.2282, - 73.0287, - 71.5962, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.4917, - 70.8912, - 126.346, - 121.111, - 123.364, - 129.271, - 135.667, - 143.393, - 144.88, - 149.774, - 156.483, - 141.859, - 93.5192, - 94.3326, - 73.1323, - 68.8229, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 62.7122, - 66.7855, - 125.881, - 121.267, - 125.944, - 135.4, - 141.945, - 149.745, - 148.69, - 152.957, - 158.845, - 144.611, - 96.5542, - 99.3558, - 78.2298, - 73.5792, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 54.3162, - 45.0313, - 69.98, - 77.8579, - 84.4665, - 92.0897, - 94.2012, - 94.5013, - 66.0984, - 65.0125, - 64.7387, - 55.3079, - 26.7323, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 22.2332, - 22.8165, - 32.7295, - 37.6599, - 42.0701, - 38.1407, - 44.4115, - 39.7426, - 34.3168, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 56.4291, - 62.9524, - 130.125, - 128.084, - 132.488, - 140.636, - 147.665, - 159.957, - 160.645, - 165.921, - 172.007, - 156.374, - 105.246, - 106.153, - 85.1244, - 80.1288, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.7446, - 60.8894, - 131.822, - 130.161, - 138.527, - 149.876, - 153.78, - 164.872, - 164.495, - 167.643, - 171.376, - 152.257, - 102.968, - 104.106, - 82.4524, - 78.2332, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.1166, - 63.819, - 135.209, - 128.197, - 128.413, - 134.494, - 134.603, - 144.112, - 144.12, - 146.048, - 146.055, - 126.037, - 80.1284, - 86.106, - 68.9044, - 67.4134, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 64.5567, - 68.3103, - 126.165, - 115.742, - 112.888, - 115.208, - 117.619, - 122.46, - 119.82, - 121.409, - 126.168, - 113.241, - 74.1242, - 81.7248, - 65.0699, - 67.1585, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 79.8937, - 77.5744, - 130.24, - 116.98, - 114.939, - 119.615, - 122.49, - 128.465, - 127.97, - 129.468, - 134.053, - 122.069, - 81.1643, - 87.5077, - 70.7853, - 70.079, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.8839, - 54.3597, - 70.9123, - 72.6442, - 74.5289, - 78.9558, - 79.7041, - 80.2502, - 52.361, - 52.0242, - 51.074, - 41.9165, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 19.7223, - 19.2984, - 18.7714, - 18.7714, - 20.8346, - 22.3906, - 23.2765, - 30.6705, - 32.2232, - 23.4251, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 74.3379, - 76.7696, - 128.834, - 122.656, - 124.814, - 131.312, - 135.25, - 141.939, - 140.479, - 141.374, - 143.878, - 129.222, - 86.5641, - 91.8302, - 73.8203, - 72.2079, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.6246, - 65.8477, - 131.372, - 124.827, - 125.691, - 130.759, - 132.752, - 135.725, - 130.679, - 130.025, - 131.039, - 117.887, - 76.7346, - 86.1244, - 68.4688, - 66.315, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 73.4407, - 75.4997, - 128.957, - 116.648, - 113.645, - 117.508, - 120.712, - 126.149, - 125.324, - 127.242, - 132.425, - 118.756, - 75.9322, - 85.9619, - 68.4119, - 68.4751, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.6345, - 74.551, - 126.805, - 119.035, - 120.842, - 127.823, - 132.001, - 140.423, - 139.36, - 144.286, - 151.053, - 135.855, - 89.5411, - 94.8905, - 74.4708, - 71.4572, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 57.5239, - 64.2065, - 126.383, - 121.758, - 125.553, - 134.499, - 139.971, - 149.351, - 150.718, - 155.601, - 162.368, - 148.948, - 99.1819, - 102.062, - 77.8837, - 74.6351, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 48.4773, - 46.4038, - 73.8587, - 81.9449, - 86.7091, - 93.9951, - 97.8267, - 99.6959, - 71.2797, - 70.8948, - 73.2264, - 62.7134, - 28.1005, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 21.4214, - 27.1091, - 29.0738, - 28.741, - 34.6766, - 42.2791, - 40.22, - 45.0991, - 44.1284, - 45.5909, - 37.87, - 38.2507, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.8532, - 61.3864, - 133.685, - 132.233, - 138.316, - 147.485, - 150.226, - 161.462, - 162.997, - 168.239, - 174.332, - 159.009, - 104.438, - 110.972, - 87.2418, - 79.706, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.0407, - 59.0522, - 131.243, - 128.37, - 133.604, - 146.386, - 149.751, - 160.785, - 162.466, - 167.56, - 173.898, - 157.862, - 102.947, - 107.683, - 83.2585, - 77.247, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.0113, - 58.6284, - 128.191, - 121.964, - 123.165, - 129.6, - 132.302, - 142.052, - 145.55, - 153.425, - 157.602, - 138.45, - 88.281, - 92.9608, - 71.7437, - 68.7407, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.5548, - 60.7805, - 125.684, - 118.949, - 120.68, - 126.622, - 129.827, - 137.531, - 136.577, - 138.099, - 141.574, - 125.918, - 78.6719, - 86.0583, - 68.4183, - 67.742, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.152, - 61.7136, - 128.356, - 124.008, - 125.953, - 134.08, - 139.931, - 149.139, - 149.117, - 154.462, - 161.711, - 147.407, - 96.131, - 102.454, - 80.3328, - 75.8685, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 43.6683, - 43.7258, - 71.7377, - 77.1791, - 78.296, - 82.8729, - 86.2269, - 88.0662, - 60.9816, - 61.6987, - 64.5998, - 56.7667, - 23.9401, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 25.04, - 30.5038, - 28.4587, - 25.8726, - 26.0957, - 33.045, - 31.8747, - 41.1916, - 41.7748, - 31.4146, - 20.8047, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.1829, - 63.2713, - 134.211, - 130.156, - 136.589, - 146.542, - 149.222, - 159.608, - 160.34, - 164.756, - 169.711, - 153.234, - 99.254, - 102.567, - 82.4729, - 77.4227, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 42.1528, - 61.6384, - 136.06, - 132.857, - 134.569, - 141.395, - 145.353, - 156.327, - 157.611, - 163.197, - 171.062, - 158.189, - 104.83, - 108.849, - 89.532, - 84.8615, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.0389, - 64.8366, - 141.346, - 139.321, - 144.299, - 151.952, - 152.562, - 162.376, - 163.236, - 168.388, - 175.314, - 160.928, - 106.651, - 110.023, - 91.6166, - 86.5361, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.9963, - 64.093, - 140.857, - 139.35, - 146.126, - 157.494, - 162.556, - 173.804, - 175.24, - 179.983, - 188.079, - 172.409, - 114.799, - 115.247, - 95.0421, - 90.5571, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.3695, - 65.4903, - 144.748, - 149.109, - 156.554, - 168.384, - 174.395, - 187.699, - 188.245, - 189.789, - 191.434, - 170.932, - 114.593, - 116.762, - 94.9978, - 90.3065, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 46.9595, - 44.4482, - 69.3626, - 72.3624, - 75.5321, - 80.0536, - 78.7292, - 77.2167, - 48.6567, - 46.9404, - 45.868, - 36.2655, - 22.2226, - 31.108, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.5402, - 37.4433, - 32.9169, - 30.4931, - 31.9691, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.7083, - 66.1048, - 124.628, - 115.509, - 115.295, - 119.871, - 122.147, - 126.515, - 123.744, - 124.697, - 127.929, - 113.915, - 71.3495, - 78.9198, - 65.7292, - 67.9154, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 60.369, - 70.151, - 127.021, - 116.399, - 116.048, - 119.76, - 121.555, - 126.9, - 125.811, - 125.623, - 126.897, - 112.548, - 70.7588, - 77.7666, - 66.6192, - 69.4532, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.8006, - 75.2788, - 128.81, - 116.877, - 116.2, - 120.524, - 122.047, - 125.011, - 121.618, - 120.914, - 123.746, - 113.029, - 73.9834, - 81.9082, - 67.3704, - 67.7235, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 61.3638, - 70.2604, - 126.562, - 118.576, - 118.586, - 123.154, - 125.612, - 131.682, - 131.134, - 134.266, - 140.869, - 128.786, - 84.7729, - 89.89, - 73.7651, - 71.0646, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 50.7243, - 64.3224, - 126.366, - 120.706, - 122.544, - 130.042, - 134.492, - 142.155, - 142.368, - 147.787, - 155.382, - 141.624, - 91.4614, - 88.4601, - 70.4178, - 69.3797, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 46.0842, - 46.0879, - 74.0487, - 82.7558, - 84.3139, - 91.8135, - 95.1591, - 94.1539, - 66.0308, - 66.6632, - 68.8192, - 60.3246, - 38.8048, - 39.0184, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 19.0765, - 27.1583, - 32.9917, - 34.3032, - 28.7563, - 20.4896, - 30.7491, - 34.6851, - 41.9585, - 46.1535, - 44.0584, - 38.6508, - 37.4947, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.0391, - 62.8071, - 134.314, - 128.465, - 128.327, - 131.675, - 131.761, - 136.86, - 132.215, - 130.117, - 132.756, - 122.496, - 83.3951, - 89.1851, - 76.1967, - 75.5725, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.2543, - 62.7236, - 132.484, - 127.787, - 127.896, - 132.541, - 134.898, - 143.959, - 144.492, - 149.319, - 154.887, - 140.308, - 90.1835, - 90.2344, - 73.5422, - 69.9378, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 39.2137, - 60.5049, - 128.769, - 122.621, - 123.305, - 126.911, - 128.55, - 134.286, - 130.593, - 130.716, - 132.876, - 117.576, - 74.4659, - 78.6808, - 66.8812, - 65.9344, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.1688, - 62.6748, - 125.124, - 119.281, - 120.392, - 124.942, - 127.83, - 132.31, - 129.663, - 131.354, - 136.478, - 122.485, - 77.7101, - 81.0276, - 67.4824, - 66.0547, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.3424, - 64.4753, - 124.595, - 117.906, - 118.406, - 123.321, - 127.374, - 134.869, - 135.002, - 139.331, - 148.026, - 138.45, - 93.9842, - 96.6093, - 81.8488, - 78.0342, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 37.5736, - 43.8939, - 71.6506, - 76.011, - 79.2391, - 85.9904, - 88.1892, - 89.5123, - 60.9987, - 57.9527, - 57.3685, - 47.3507, - 24.8517, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 20.0854, - 20.6267, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 22.7355, - 26.607, - 27.0776, - 22.1449, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.5681, - 66.3784, - 126.361, - 120.779, - 120.521, - 126.185, - 127.953, - 131.208, - 129.658, - 134.934, - 143.569, - 133.209, - 89.0637, - 92.7855, - 79.1082, - 75.7776, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.769, - 64.9103, - 137.224, - 130.992, - 131.823, - 139.611, - 142.618, - 151.561, - 149.946, - 152.682, - 152.739, - 133.288, - 82.2126, - 83.7803, - 70.7446, - 68.4837, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 41.0623, - 64.038, - 127.931, - 121.924, - 121.913, - 126.77, - 128.229, - 132.896, - 130.55, - 131.374, - 136.072, - 122.141, - 78.0349, - 82.8535, - 70.6593, - 68.6232, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.534, - 60.0089, - 126.871, - 119.838, - 120.58, - 126.795, - 131.086, - 138.568, - 140.457, - 145.869, - 152.216, - 136.241, - 86.6061, - 87.5908, - 72.2149, - 68.9076, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.618, - 60.2328, - 128.616, - 125.855, - 130.205, - 137.279, - 140.82, - 149.723, - 149.823, - 156.073, - 163.947, - 150.005, - 100.953, - 97.1752, - 82.3749, - 78.4735, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 37.6882, - 46.9793, - 77.0701, - 86.4713, - 90.7444, - 95.6547, - 97.3365, - 97.9433, - 71.222, - 73.9435, - 75.7702, - 66.9583, - 43.0092, - 44.4502, - 38.5038, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 19.2731, - 31.2054, - 36.2287, - 38.889, - 38.172, - 35.3701, - 32.7311, - 34.3047, - 39.4602, - 44.7426, - 46.7916, - 45.3803, - 44.9368, - 46.3228, - 38.1948, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 41.8589, - 65.5684, - 144.535, - 141.984, - 145.659, - 152.241, - 154.308, - 165.571, - 165.349, - 164.595, - 168.628, - 150.286, - 98.0196, - 93.5665, - 79.3866, - 74.9124, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.4022, - 62.6383, - 135.175, - 131.618, - 133.625, - 140.946, - 146.244, - 157.409, - 157.625, - 161.693, - 166.174, - 150.201, - 96.0865, - 91.109, - 76.3352, - 72.127, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 34.5302, - 58.1158, - 131.084, - 128.3, - 131.648, - 140.337, - 145.856, - 157.564, - 156.871, - 160.652, - 169.102, - 154.733, - 103.853, - 102.152, - 86.4883, - 81.043, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 37.6487, - 62.003, - 139.446, - 137.906, - 143.738, - 152.449, - 154.172, - 164.235, - 165.526, - 170.759, - 179.88, - 167.905, - 115.776, - 109.426, - 91.0097, - 87.6493, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 42.8851, - 68.8443, - 150.728, - 150.571, - 154.553, - 162.81, - 166.841, - 179.973, - 182.987, - 189.059, - 193.68, - 175.524, - 120.186, - 112.16, - 91.3878, - 87.0327, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 47.2172, - 55.4205, - 88.1531, - 94.3473, - 96.6294, - 103.09, - 105.696, - 104.775, - 74.932, - 76.291, - 74.8477, - 61.5106, - 38.2127, - 33.3459, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 22.8031, - 29.5567, - 30.0297, - 33.1169, - 26.2197, - 22.0104, - 29.8991, - 29.805, - 43.4732, - 43.262, - 44.4254, - 40.4732, - 35.0622, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.7472, - 58.2243, - 127.954, - 122.102, - 123.479, - 128.871, - 131.774, - 140.554, - 140.774, - 149.723, - 159.505, - 146.714, - 97.3258, - 92.1289, - 75.1853, - 70.4336, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.2205, - 58.6763, - 131.154, - 127.893, - 132.55, - 140.255, - 143.895, - 154.066, - 153.286, - 158.36, - 166.178, - 152.008, - 104.901, - 100.167, - 82.7435, - 78.6812, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.0223, - 60.2995, - 137.967, - 137.604, - 142.959, - 152.617, - 155.874, - 166.593, - 168.194, - 174.007, - 180.822, - 167.851, - 117.818, - 112.151, - 92.2795, - 87.5903, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 39.835, - 65.6699, - 146.917, - 143.135, - 146.877, - 157.39, - 163.599, - 176.08, - 177.324, - 180.874, - 188.515, - 174.686, - 118.414, - 107.539, - 90.9205, - 86.1264, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 47.4124, - 69.9245, - 149.821, - 147.249, - 150.162, - 156.323, - 157.526, - 168.027, - 167.913, - 173.603, - 182.131, - 164.107, - 111.132, - 105.028, - 88.0136, - 81.831, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 38.0113, - 47.846, - 77.6638, - 82.8101, - 85.4024, - 90.6292, - 92.6013, - 94.0708, - 67.9454, - 71.1323, - 73.1412, - 62.8177, - 39.7923, - 40.4683, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 22.482, - 28.5051, - 32.017, - 31.5775, - 26.9776, - 24.3388, - 27.726, - 33.1362, - 41.692, - 44.9824, - 43.355, - 45.2788, - 43.5553, - 40.4872, - 35.0372, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 37.7471, - 62.4864, - 136.434, - 132.212, - 133.91, - 141.817, - 145.867, - 154.757, - 155.024, - 162.016, - 174.378, - 161.139, - 108.2, - 101.263, - 88.66, - 83.2539, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 46.4421, - 71.1007, - 148.301, - 145.521, - 148.258, - 152.931, - 152.667, - 160.065, - 159.495, - 162.482, - 167.864, - 153.517, - 104.212, - 100.28, - 86.088, - 82.4135, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 43.9368, - 67.6722, - 147.521, - 144.574, - 145.272, - 151.639, - 150.254, - 158.385, - 156.288, - 156.628, - 155.792, - 139.086, - 95.2451, - 94.772, - 84.7145, - 81.3023, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 43.4624, - 70.2877, - 150.636, - 149.334, - 152.466, - 158.872, - 160.123, - 172.598, - 175.783, - 180.294, - 188.872, - 175.125, - 122.873, - 113.839, - 95.9802, - 91.3442, - 41.6166, - 43.8912, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 51.3942, - 75.8401, - 160.924, - 159.327, - 164.61, - 174.91, - 174.524, - 187.088, - 191.371, - 198.295, - 197.203, - 174.797, - 120.109, - 113.761, - 98.6324, - 94.0161, - 41.6166, - 44.328, - 40.6651, - 36.9883, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 55.0653, - 61.5475, - 92.2712, - 101.527, - 108.619, - 114.725, - 116.985, - 115.622, - 83.1234, - 77.4784, - 73.8617, - 62.0457, - 32.0179, - 39.8192, - 39.6141, - 33.5754, - 38.9989, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 27.9937, - 40.5519, - 40.1898, - 43.1526, - 42.5758, - 44.2812, - 40.6347, - 46.6996, - 45.9491, - 48.8877, - 42.8779, - 48.7192, - 42.5042, - 46.7276, - 46.6115, - 44.262, - 39.2828, - 38.9584, - 33.5754, - 38.273, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 26.3122, - 37.4182, - 38.5624, - 41.9616, - 40.7537, - 41.376, - 34.673, - 38.3448, - 39.3285, - 46.3254, - 44.2231, - 46.0086, - 41.7476, - 45.3716, - 40.165, - 37.4708, - 35.9762, - 34.7379, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 39.4475, - 63.2309, - 136.576, - 131.774, - 133.582, - 139.793, - 144.552, - 154.588, - 156.853, - 165.411, - 172.347, - 158.304, - 108.565, - 103.181, - 85.1724, - 78.5426, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 34.4608, - 60.6191, - 135.035, - 131.593, - 135.807, - 144.967, - 147.986, - 159.688, - 163.708, - 172.084, - 180.383, - 164.111, - 112.446, - 107.492, - 92.4108, - 87.6429, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 42.029, - 67.7405, - 147.362, - 147.151, - 150.956, - 160.051, - 165.412, - 178.98, - 182.611, - 190.276, - 197.942, - 184.529, - 131.691, - 122.398, - 101.919, - 95.6129, - 41.6166, - 44.3309, - 40.5071, - 42.0127, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 52.8469, - 76.1312, - 164.442, - 167.055, - 174.091, - 183.095, - 184.461, - 197.069, - 203.315, - 214.152, - 218.819, - 200.012, - 142.555, - 126.957, - 104.333, - 97.9217, - 47.3522, - 40.6726, - 42.2983, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 54.4545, - 62.2385, - 97.1897, - 107.672, - 112.136, - 118.59, - 122.749, - 127.635, - 96.6729, - 97.5014, - 100.49, - 91.9638, - 49.7253, - 47.0907, - 53.8132, - 48.154, - 41.006, - 39.3456, - 37.241, - 38.2512, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 27.7897, - 37.4294, - 39.3973, - 43.9902, - 44.7326, - 49.0706, - 46.918, - 50.2413, - 46.7583, - 54.2284, - 50.1686, - 50.6717, - 47.5885, - 51.393, - 50.31, - 50.0658, - 45.7723, - 47.8415, - 38.0145, - 45.3427, - 45.1451, - 42.3807, - 42.3128, - 42.3515, - 61.4454, - 87.9024, - 178.222, - 175.99, - 180.207, - 189.254, - 188.357, - 194.164, - 184.555, - 187.238, - 186.724, - 167.208, - 114.255, - 107.468, - 96.8432, - 93.1377, - 41.6166, - 44.0362, - 40.5905, - 42.201, - 40.4416, - 41.9224, - 38.9362, - 38.7523, - 56.8425, - 77.0015, - 162.326, - 159.031, - 163.428, - 169.726, - 167.377, - 179.461, - 165.433, - 140.453, - 144.898, - 144.305, - 101.433, - 97.2058, - 86.1532, - 82.2764, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 49.5294, - 74.2807, - 163.04, - 155.226, - 149.508, - 156.335, - 157.139, - 166.377, - 168.007, - 174.004, - 180.992, - 165.504, - 112.133, - 103.68, - 93.2984, - 88.2411, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 50.2052, - 75.3768, - 158.096, - 153.897, - 154.367, - 159.764, - 160.553, - 172.794, - 176.017, - 181.31, - 188.91, - 176.213, - 120.439, - 111.134, - 96.1823, - 91.4155, - 41.6166, - 44.5323, - 38.9362, - 38.9362, - 43.5449, - 38.9362, - 38.9362, - 34.2146, - 52.1434, - 75.832, - 161.881, - 158.778, - 159.565, - 164.934, - 168.334, - 181.133, - 183.116, - 191.664, - 199.86, - 185.156, - 133.389, - 123.836, - 104.565, - 95.45, - 47.1942, - 40.5303, - 42.1295, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 50.4699, - 57.0338, - 89.5093, - 98.2043, - 102.354, - 109.235, - 113.742, - 117.252, - 89.3465, - 94.1742, - 94.6693, - 80.8635, - 45.54, - 44.5284, - 46.6009, - 40.4631, - 37.3388, - 35.3927, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 23.893, - 31.5964, - 39.8916, - 37.9223, - 44.8673, - 40.8063, - 44.4725, - 39.6935, - 49.063, - 47.7767, - 55.5106, - 49.2277, - 54.5874, - 47.7973, - 57.3912, - 46.3232, - 41.2949, - 36.6476, - 35.0666, - 42.8602, - 42.394, - 38.9362, - 38.9362, - 34.2146, - 51.0176, - 77.2111, - 163.975, - 164.133, - 171.458, - 182.86, - 185.69, - 200.225, - 207.667, - 218.618, - 223.04, - 203.129, - 145.857, - 132.971, - 112.46, - 101.449, - 46.327, - 44.3437, - 42.2325, - 40.5486, - 43.3079, - 38.9362, - 38.9362, - 35.4955, - 56.0097, - 80.9663, - 170.519, - 171.558, - 180.337, - 192.46, - 196.779, - 221.416, - 230.861, - 231.478, - 233.301, - 215.573, - 150.935, - 130.769, - 106.225, - 98.3201, - 47.3371, - 42.5317, - 40.7036, - 43.8262, - 41.7352, - 40.2955, - 38.9362, - 34.2146, - 50.2569, - 76.2754, - 165.894, - 166.405, - 171.05, - 179.005, - 181.102, - 193.768, - 194.582, - 198.032, - 202.401, - 183.049, - 126.684, - 114.322, - 95.7278, - 88.0385, - 41.6166, - 42.4275, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 40.6255, - 64.5003, - 143.482, - 140.56, - 146.776, - 156.185, - 158.851, - 170.161, - 173.71, - 180.588, - 188.605, - 172.501, - 117.267, - 107.136, - 92.3655, - 86.2837, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 42.286, - 67.9923, - 149.315, - 149.746, - 153.872, - 163.297, - 164.402, - 174.823, - 178.843, - 185.58, - 192.488, - 176.751, - 123.447, - 114.691, - 97.8279, - 90.8628, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 44.6952, - 54.0664, - 87.9593, - 96.1507, - 98.9663, - 105.266, - 108.893, - 111.455, - 81.9898, - 83.5974, - 85.7555, - 74.9004, - 43.9267, - 42.9032, - 44.3724, - 37.473, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 22.8747, - 34.9112, - 38.5529, - 41.7981, - 41.8734, - 43.2313, - 38.9733, - 43.6701, - 43.8712, - 47.0199, - 50.7143, - 47.5638, - 48.2188, - 44.6832, - 48.593, - 40.9827, - 33.5754, - 37.8721, - 33.5754, - 42.366, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 46.3487, - 71.8328, - 157.981, - 157.303, - 160.162, - 168.558, - 171.841, - 185.954, - 189.417, - 194.657, - 200.163, - 180.558, - 126.138, - 115.4, - 97.2838, - 91.373, - 41.6166, - 42.0957, - 40.4904, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 53.2926, - 76.1921, - 162.199, - 159.538, - 162.493, - 168.727, - 171.107, - 184.944, - 187.726, - 193.197, - 198.915, - 181.314, - 125.734, - 114.518, - 96.7329, - 90.0728, - 41.6166, - 41.5805, - 40.2179, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 47.0066, - 73.5484, - 161.117, - 157.988, - 160.225, - 165.749, - 166.594, - 180.533, - 183.688, - 190.568, - 196.046, - 176.356, - 120.142, - 110.104, - 93.4373, - 86.8373, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 43.4058, - 67.4768, - 151.342, - 151.186, - 155.036, - 163.369, - 168.158, - 181.031, - 182.766, - 191.158, - 199.768, - 186.927, - 136.072, - 127.73, - 105.675, - 97.2228, - 45.3655, - 40.7566, - 43.6201, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 48.4657, - 74.9302, - 164.579, - 164.54, - 169.419, - 179.131, - 182.12, - 195.115, - 194.666, - 205.14, - 217.956, - 191.46, - 137.172, - 131.869, - 115.503, - 104.751, - 51.149, - 45.9375, - 44.3028, - 33.5754, - 38.1535, - 33.5754, - 33.5754, - 26.1734, - 52.8389, - 61.1192, - 95.4662, - 107.007, - 114.54, - 122.832, - 127.042, - 128.877, - 99.644, - 102.101, - 104.116, - 93.6105, - 50.1247, - 47.0144, - 53.0985, - 45.0806, - 44.6686, - 37.4366, - 38.9231, - 33.5754, - 37.7027, - 33.5754, - 33.5754, - 26.1734, - 26.9605, - 34.6029, - 43.3024, - 40.565, - 48.1295, - 44.7838, - 52.0177, - 47.351, - 56.0725, - 52.216, - 60.4164, - 53.2734, - 58.5794, - 50.4741, - 62.2833, - 47.6445, - 47.7476, - 44.9171, - 40.51, - 44.8603, - 43.7901, - 42.2282, - 42.0918, - 37.9532, - 59.8601, - 83.8223, - 173.585, - 174.439, - 180.255, - 191.034, - 198.996, - 223.288, - 224.332, - 228.653, - 236.469, - 222.903, - 170.413, - 156.192, - 121.69, - 107.725, - 50.7132, - 49.0044, - 43.2153, - 43.3173, - 41.6221, - 40.2571, - 42.6657, - 34.2146, - 57.4315, - 82.7227, - 174.964, - 175.313, - 180.917, - 191.575, - 196.725, - 220.556, - 228.578, - 230.428, - 235.475, - 216.219, - 153.331, - 133.903, - 111.424, - 103.002, - 47.1273, - 48.58, - 43.7784, - 44.595, - 44.0435, - 38.9362, - 43.5038, - 36.6819, - 57.8219, - 80.3781, - 169.609, - 168.873, - 174.987, - 184.451, - 188.176, - 202.989, - 209.921, - 222.049, - 225.338, - 198.407, - 136.558, - 123.628, - 105.676, - 100.01, - 47.6686, - 48.7252, - 38.9362, - 43.5535, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 49.5892, - 76.2959, - 166.449, - 164.986, - 168.561, - 177.766, - 179.416, - 194.0, - 205.258, - 220.558, - 225.96, - 206.924, - 140.781, - 127.773, - 108.266, - 99.6405, - 47.4092, - 40.7942, - 42.6126, - 44.1141, - 38.9362, - 42.6497, - 38.9362, - 36.6819, - 47.8256, - 73.1196, - 163.686, - 161.049, - 163.083, - 171.578, - 175.851, - 192.346, - 201.453, - 218.077, - 224.355, - 203.692, - 139.746, - 122.483, - 101.139, - 95.1179, - 43.3981, - 42.426, - 43.6435, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 48.4555, - 55.6408, - 86.9337, - 92.244, - 95.1485, - 102.142, - 106.066, - 110.269, - 83.5964, - 88.2165, - 92.1577, - 83.6654, - 48.4356, - 47.031, - 59.4297, - 44.6869, - 41.5394, - 38.3123, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 24.5123, - 35.3661, - 37.2975, - 40.1954, - 39.5906, - 42.6903, - 40.9116, - 41.4746, - 46.9348, - 50.1979, - 53.2835, - 54.8975, - 55.734, - 54.0741, - 60.9568, - 53.3001, - 46.8896, - 41.1054, - 39.3078, - 41.5509, - 41.4085, - 41.3429, - 41.046, - 37.7176, - 47.2819, - 73.0506, - 162.641, - 161.747, - 168.063, - 179.831, - 186.613, - 204.564, - 218.925, - 231.067, - 240.167, - 224.568, - 168.531, - 150.237, - 122.516, - 109.776, - 50.769, - 49.1468, - 43.5484, - 36.5959, - 35.0726, - 35.0097, - 36.4128, - 28.6407, - 31.9025, - 39.9773, - 40.6932, - 44.5379, - 44.4366, - 48.3603, - 48.8608, - 54.5533, - 55.1346, - 60.0521, - 55.0052, - 58.5356, - 57.2107, - 58.0062, - 62.3018, - 57.6018, - 52.8137, - 48.5705, - 45.674, - 47.0158, - 48.88, - 43.4671, - 43.9847, - 41.3714, - 61.914, - 86.9467, - 181.086, - 181.449, - 187.562, - 199.634, - 206.893, - 236.446, - 243.442, - 249.831, - 252.822, - 237.092, - 178.633, - 160.063, - 129.085, - 120.251, - 55.3817, - 56.595, - 48.1278, - 49.9898, - 42.4037, - 42.1935, - 43.3778, - 39.4545, - 59.3723, - 84.6907, - 180.423, - 180.836, - 186.225, - 197.275, - 205.302, - 231.362, - 240.712, - 249.681, - 263.722, - 249.91, - 188.621, - 173.452, - 134.803, - 121.631, - 56.1207, - 57.5053, - 50.6343, - 49.1768, - 44.4999, - 44.3999, - 44.3927, - 42.8778, - 61.4139, - 86.7599, - 186.891, - 190.837, - 198.747, - 207.645, - 211.838, - 241.774, - 262.163, - 268.742, - 274.231, - 262.211, - 199.747, - 178.862, - 145.017, - 122.382, - 55.8128, - 58.1977, - 51.3724, - 48.2751, - 42.5604, - 39.8775, - 38.8493, - 38.2728, - 62.2958, - 66.9385, - 99.3759, - 111.364, - 120.912, - 129.247, - 133.878, - 135.635, - 106.555, - 114.328, - 119.437, - 104.461, - 50.5622, - 47.9985, - 60.8511, - 54.6621, - 49.8308, - 46.5466, - 46.981, - 41.9778, - 42.7805, - 39.0512, - 37.0722, - 31.2265, - 33.3839, - 38.6382, - 43.8889, - 43.7071, - 48.3729, - 47.5619, - 51.6026, - 50.1884, - 54.9051, - 53.3735, - 58.4586, - 53.8277, - 54.7098, - 50.0536, - 59.649, - 48.6389, - 48.6278, - 45.5337, - 44.6283, - 44.7576, - 44.0365, - 43.6571, - 43.2912, - 40.7662, - 53.3583, - 77.4842, - 168.633, - 166.629, - 172.569, - 182.683, - 184.18, - 199.375, - 207.337, - 223.627, - 228.76, - 212.11, - 141.785, - 128.243, - 108.852, - 100.156, - 47.3052, - 46.6773, - 44.1374, - 42.0815, - 40.4822, - 43.2651, - 38.9362, - 41.0257, - 56.3967, - 77.2287, - 163.718, - 162.252, - 165.364, - 174.903, - 178.224, - 190.424, - 186.102, - 192.375, - 182.099, - 166.713, - 117.976, - 111.192, - 98.874, - 93.7265, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 58.1613, - 81.4868, - 169.651, - 166.546, - 171.223, - 180.723, - 181.846, - 198.188, - 203.565, - 211.162, - 224.519, - 209.796, - 145.074, - 133.809, - 111.991, - 101.711, - 46.3424, - 47.2849, - 43.4706, - 43.8225, - 40.3381, - 41.6981, - 38.9362, - 37.268, - 52.7569, - 76.9014, - 167.819, - 166.542, - 171.1, - 182.61, - 189.547, - 206.086, - 218.413, - 229.232, - 234.381, - 219.312, - 163.146, - 143.124, - 117.897, - 105.469, - 51.9356, - 44.7061, - 48.4803, - 43.1027, - 43.7765, - 41.7532, - 40.3411, - 36.6819, - 55.673, - 80.1385, - 172.714, - 172.068, - 177.2, - 187.604, - 191.443, - 211.824, - 228.314, - 235.905, - 242.704, - 226.84, - 169.503, - 147.46, - 118.477, - 106.185, - 52.4005, - 50.5653, - 44.8634, - 39.272, - 39.0331, - 35.3188, - 36.9355, - 28.6407, - 56.9511, - 64.0667, - 100.283, - 108.994, - 113.569, - 123.17, - 131.912, - 135.384, - 102.516, - 105.33, - 107.235, - 95.356, - 49.603, - 46.9469, - 58.7117, - 48.3927, - 42.806, - 43.7419, - 37.1876, - 35.3266, - 37.1296, - 35.2908, - 33.5754, - 28.6407, - 27.5441, - 39.43, - 38.2675, - 45.2798, - 41.5173, - 48.8497, - 43.617, - 51.4193, - 46.1962, - 56.6401, - 52.1132, - 60.4468, - 50.5762, - 55.8279, - 56.1373, - 50.161, - 44.2489, - 40.4876, - 36.8065, - 43.5722, - 41.8963, - 43.0588, - 42.8575, - 39.1493, - 53.8225, - 77.2312, - 167.766, - 166.532, - 171.628, - 180.525, - 183.954, - 198.706, - 207.218, - 224.855, - 234.923, - 221.492, - 166.208, - 143.212, - 116.2, - 104.984, - 51.8005, - 45.1544, - 42.5807, - 43.6598, - 43.3088, - 41.5696, - 40.2248, - 39.1493, - 53.128, - 77.2528, - 169.719, - 169.251, - 173.099, - 182.456, - 188.343, - 208.288, - 229.42, - 239.671, - 267.364, - 248.213, - 181.888, - 168.402, - 132.791, - 117.956, - 55.6072, - 54.3442, - 50.4752, - 50.8564, - 43.314, - 44.1468, - 40.5975, - 42.4545, - 61.8237, - 83.8814, - 179.564, - 181.856, - 187.731, - 199.521, - 206.907, - 237.989, - 253.827, - 266.555, - 270.053, - 246.661, - 183.849, - 174.16, - 143.882, - 124.935, - 56.049, - 63.9428, - 52.3269, - 54.0955, - 48.7688, - 45.9853, - 48.4604, - 45.1396, - 62.8727, - 88.3991, - 185.27, - 192.319, - 204.369, - 218.967, - 224.971, - 240.286, - 237.957, - 240.219, - 244.074, - 226.79, - 166.368, - 142.611, - 122.706, - 114.208, - 55.3775, - 55.6276, - 53.8955, - 55.8114, - 50.6682, - 48.4403, - 44.376, - 40.9011, - 65.2421, - 91.8925, - 189.946, - 191.572, - 200.394, - 210.663, - 212.894, - 236.448, - 233.736, - 233.453, - 236.511, - 220.226, - 153.032, - 134.71, - 115.017, - 107.627, - 52.4706, - 52.9088, - 50.9459, - 44.8227, - 39.9164, - 38.9098, - 33.5754, - 32.7778, - 61.6482, - 65.0358, - 99.9091, - 111.456, - 120.101, - 130.216, - 135.28, - 140.58, - 104.011, - 88.5092, - 83.2487, - 73.5501, - 42.0348, - 43.4659, - 48.8099, - 45.4935, - 40.366, - 46.6843, - 40.1852, - 39.0875, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 33.7386, - 42.337, - 42.6413, - 46.089, - 46.1486, - 48.5683, - 48.7843, - 51.9728, - 53.842, - 56.9833, - 58.9695, - 58.6243, - 56.0087, - 53.9867, - 59.7684, - 55.4939, - 48.2649, - 47.0565, - 45.929, - 50.8348, - 50.7394, - 46.1121, - 43.418, - 44.6032, - 62.4982, - 85.6449, - 179.676, - 180.858, - 186.87, - 195.896, - 194.681, - 210.054, - 222.614, - 231.86, - 241.768, - 227.94, - 168.587, - 141.169, - 115.999, - 105.249, - 51.8878, - 52.8176, - 45.9322, - 45.8918, - 42.4742, - 44.1116, - 43.8686, - 39.1493, - 60.4223, - 81.9874, - 176.35, - 176.467, - 182.878, - 192.537, - 197.577, - 213.882, - 230.351, - 242.38, - 262.879, - 243.216, - 177.519, - 159.466, - 122.521, - 110.824, - 53.5261, - 54.2693, - 48.3948, - 48.0172, - 42.2829, - 42.1038, - 43.4927, - 42.023, - 58.3894, - 81.8399, - 176.384, - 177.36, - 183.556, - 198.519, - 205.436, - 227.474, - 234.088, - 243.318, - 265.212, - 239.713, - 173.032, - 158.125, - 126.418, - 115.155, - 53.1454, - 54.3226, - 43.603, - 44.5581, - 43.9224, - 43.6183, - 40.3855, - 46.0786, - 59.9735, - 85.7831, - 179.564, - 178.074, - 183.776, - 193.534, - 202.685, - 224.102, - 231.887, - 235.16, - 238.205, - 223.247, - 167.112, - 143.997, - 118.061, - 106.13, - 49.3416, - 48.2079, - 50.7191, - 42.6157, - 44.2914, - 44.1357, - 38.9362, - 43.5614, - 58.3104, - 80.0074, - 174.458, - 177.339, - 184.796, - 195.871, - 197.261, - 213.799, - 218.113, - 227.562, - 234.058, - 218.814, - 148.895, - 135.533, - 116.041, - 107.272, - 51.3315, - 44.657, - 42.9241, - 39.2029, - 33.5754, - 38.0633, - 33.5754, - 31.108, - 57.2114, - 63.6639, - 100.045, - 111.288, - 117.718, - 126.963, - 131.419, - 134.424, - 107.096, - 112.752, - 118.337, - 107.472, - 54.5663, - 53.7819, - 63.5704, - 51.5017, - 49.8785, - 40.9568, - 44.8437, - 39.4481, - 33.5754, - 38.5082, - 33.5754, - 31.108, - 26.6324, - 38.0767, - 41.3759, - 46.7934, - 45.9877, - 50.341, - 49.5434, - 53.1495, - 53.0766, - 60.5704, - 59.7, - 65.137, - 58.0635, - 62.0228, - 63.6229, - 52.0985, - 48.7609, - 48.5218, - 40.181, - 44.4068, - 44.392, - 49.7717, - 43.547, - 43.4167, - 53.6739, - 75.8674, - 166.477, - 166.347, - 170.96, - 179.656, - 187.298, - 207.784, - 213.997, - 226.449, - 235.684, - 222.381, - 159.521, - 136.712, - 108.779, - 98.1551, - 46.3624, - 47.4517, - 44.3835, - 42.5014, - 40.6603, - 44.0905, - 42.3432, - 43.2771, - 64.1893, - 87.4765, - 180.794, - 178.612, - 182.845, - 191.271, - 195.32, - 218.052, - 230.498, - 238.679, - 253.019, - 238.489, - 170.146, - 147.956, - 120.915, - 111.364, - 53.6997, - 53.7652, - 46.9082, - 46.1314, - 44.2691, - 44.1433, - 42.3492, - 43.268, - 62.7639, - 82.6671, - 174.061, - 173.19, - 179.425, - 189.626, - 193.48, - 212.039, - 219.85, - 232.32, - 242.581, - 229.13, - 170.995, - 158.034, - 128.315, - 116.175, - 54.3369, - 53.6069, - 49.9744, - 51.395, - 43.5976, - 47.8716, - 44.3114, - 45.1859, - 64.0479, - 86.0618, - 180.445, - 181.047, - 188.547, - 201.536, - 206.967, - 228.837, - 235.904, - 237.077, - 236.135, - 215.1, - 148.387, - 139.165, - 121.078, - 115.944, - 53.754, - 53.9184, - 48.6521, - 49.6297, - 51.881, - 47.4248, - 46.9379, - 53.6783, - 67.1196, - 95.1423, - 192.118, - 192.277, - 198.143, - 208.741, - 211.687, - 230.798, - 237.856, - 241.091, - 247.018, - 226.618, - 164.59, - 146.778, - 124.682, - 116.646, - 52.6861, - 55.5299, - 48.9042, - 44.359, - 40.135, - 37.9039, - 37.7682, - 35.9296, - 69.8911, - 77.8263, - 113.315, - 123.156, - 128.296, - 135.746, - 140.246, - 144.86, - 114.824, - 112.984, - 112.531, - 101.288, - 49.8924, - 53.5724, - 57.756, - 54.0432, - 50.5727, - 46.704, - 46.1319, - 43.6732, - 38.6065, - 39.5153, - 35.6108, - 37.4501, - 35.7427, - 44.4723, - 43.7064, - 47.9957, - 47.9166, - 51.403, - 50.5861, - 54.8154, - 54.425, - 59.4849, - 60.5647, - 62.6532, - 54.5317, - 58.414, - 58.5186, - 61.5682, - 47.9798, - 50.1982, - 47.7063, - 53.9013, - 50.4018, - 52.8327, - 44.7234, - 51.7647, - 66.3187, - 91.7848, - 187.27, - 189.457, - 198.423, - 211.032, - 213.815, - 234.617, - 239.317, - 241.594, - 247.38, - 231.822, - 172.243, - 158.356, - 132.854, - 123.499, - 56.4571, - 64.7135, - 52.5461, - 56.6472, - 51.6279, - 51.6924, - 49.1962, - 55.2363, - 66.8192, - 95.584, - 195.199, - 198.176, - 208.016, - 222.079, - 220.943, - 240.802, - 241.053, - 245.115, - 260.336, - 243.385, - 176.091, - 160.173, - 131.819, - 122.342, - 58.6815, - 61.4263, - 52.1459, - 54.1163, - 50.6227, - 53.0123, - 46.5274, - 52.1488, - 67.1726, - 97.4161, - 198.747, - 199.237, - 205.219, - 215.563, - 217.295, - 237.997, - 238.132, - 234.513, - 229.294, - 204.344, - 135.409, - 125.779, - 107.001, - 101.285, - 41.6166, - 45.4419, - 45.2936, - 38.9362, - 44.7869, - 38.9362, - 42.7183, - 43.5263, - 64.9357, - 86.6601, - 180.328, - 180.285, - 185.117, - 194.753, - 197.727, - 215.212, - 216.522, - 213.195, - 209.67, - 188.519, - 127.952, - 124.773, - 108.067, - 103.729, - 47.8879, - 49.6724, - 43.9867, - 44.9625, - 38.9362, - 44.9278, - 41.0689, - 45.6282, - 65.3795, - 86.7174, - 181.402, - 182.158, - 189.276, - 199.12, - 199.549, - 213.588, - 214.044, - 215.864, - 229.554, - 204.339, - 139.526, - 132.364, - 111.523, - 104.501, - 48.5745, - 48.5515, - 48.4871, - 37.4321, - 39.2103, - 33.5754, - 33.5754, - 38.6193, - 60.9236, - 62.5945, - 95.6751, - 104.134, - 108.559, - 115.898, - 120.478, - 125.006, - 94.7252, - 95.6205, - 97.6665, - 83.9081, - 45.7082, - 49.8033, - 48.2965, - 43.4417, - 41.6877, - 40.6511, - 37.0641, - 35.2597, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 24.8134, - 35.6271, - 39.5227, - 39.6441, - 43.0601, - 42.4848, - 44.719, - 44.0115, - 47.7842, - 45.9234, - 49.3928, - 46.6474, - 46.8926, - 48.7065, - 50.2997, - 41.2534, - 38.029, - 38.477, - 36.6484, - 40.4648, - 43.3602, - 38.9362, - 38.9362, - 45.6027, - 55.2059, - 74.126, - 158.068, - 156.523, - 159.791, - 165.6, - 165.153, - 175.365, - 177.517, - 185.651, - 197.488, - 182.35, - 124.248, - 118.157, - 99.1892, - 93.6708, - 43.3983, - 42.4135, - 43.93, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.2829, - 76.0429, - 162.779, - 160.46, - 163.822, - 172.009, - 175.949, - 192.34, - 195.349, - 200.407, - 208.388, - 190.793, - 132.047, - 126.756, - 106.829, - 100.013, - 45.5902, - 40.8513, - 44.6885, - 38.9362, - 44.5805, - 38.9362, - 44.3847, - 41.6166, - 67.2318, - 87.1405, - 179.953, - 176.323, - 179.99, - 186.844, - 186.995, - 199.812, - 200.291, - 205.487, - 218.351, - 193.243, - 131.123, - 123.133, - 103.517, - 98.3167, - 43.6225, - 42.8175, - 44.6398, - 38.9362, - 44.3915, - 38.9362, - 38.9362, - 46.3771, - 61.653, - 80.1772, - 169.781, - 166.737, - 170.718, - 178.621, - 179.829, - 192.999, - 191.928, - 192.663, - 196.203, - 176.099, - 117.314, - 111.532, - 93.9735, - 88.894, - 41.6166, - 38.9362, - 41.4919, - 40.1882, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.3468, - 66.268, - 151.055, - 152.752, - 159.51, - 168.482, - 170.228, - 182.46, - 184.325, - 190.338, - 199.692, - 183.803, - 125.989, - 122.513, - 101.243, - 95.6889, - 41.6166, - 44.1988, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.6804, - 53.5744, - 84.9006, - 93.6935, - 98.7111, - 106.331, - 109.715, - 112.617, - 84.1476, - 87.7816, - 92.6876, - 81.1941, - 45.728, - 51.9171, - 48.4406, - 40.5704, - 37.3085, - 35.3701, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 24.2141, - 34.569, - 39.1216, - 39.9232, - 43.3933, - 42.762, - 45.6268, - 45.7289, - 49.975, - 48.7901, - 54.0039, - 50.7864, - 50.8207, - 53.7933, - 49.2994, - 46.4678, - 46.6811, - 40.0557, - 38.8491, - 42.2614, - 40.5767, - 43.5412, - 38.9362, - 45.798, - 58.4186, - 77.6283, - 165.984, - 163.591, - 168.404, - 177.218, - 180.179, - 195.66, - 196.958, - 198.702, - 201.357, - 180.987, - 121.235, - 118.733, - 98.4158, - 93.2084, - 41.6166, - 44.045, - 38.9362, - 43.0121, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.8956, - 72.0158, - 155.043, - 153.28, - 157.458, - 164.742, - 168.062, - 183.153, - 186.552, - 192.223, - 200.009, - 179.88, - 118.921, - 117.475, - 97.2274, - 90.396, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.191, - 65.218, - 144.693, - 142.639, - 148.684, - 157.615, - 161.36, - 176.141, - 179.804, - 186.846, - 196.418, - 179.344, - 120.526, - 117.332, - 96.5963, - 89.8572, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 43.1859, - 62.7987, - 144.703, - 145.544, - 153.793, - 162.912, - 166.476, - 181.202, - 184.302, - 190.361, - 198.962, - 181.775, - 123.003, - 119.871, - 98.6093, - 91.7976, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.5906, - 66.0527, - 146.916, - 147.268, - 154.108, - 162.22, - 163.907, - 175.631, - 177.439, - 184.156, - 194.116, - 177.51, - 119.076, - 115.478, - 94.8228, - 88.5889, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.4164, - 54.322, - 84.8721, - 90.6937, - 92.7119, - 98.6471, - 101.689, - 104.714, - 76.1689, - 78.5591, - 82.7785, - 71.8748, - 43.348, - 47.668, - 38.0057, - 38.8605, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 28.6054, - 35.6416, - 37.6241, - 40.3923, - 38.4898, - 40.7942, - 42.6306, - 46.4935, - 46.0358, - 50.7198, - 48.9622, - 49.2702, - 54.8801, - 54.0361, - 40.3988, - 38.5616, - 38.9802, - 33.5754, - 43.1845, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 54.796, - 71.5811, - 154.85, - 156.313, - 163.555, - 174.364, - 179.6, - 197.619, - 202.892, - 214.605, - 227.549, - 200.935, - 135.27, - 130.488, - 104.782, - 98.0332, - 43.5434, - 42.6625, - 42.228, - 40.5515, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 59.8837, - 75.1205, - 163.116, - 165.033, - 173.55, - 185.172, - 190.162, - 208.686, - 218.262, - 229.604, - 236.888, - 219.93, - 149.054, - 143.263, - 117.099, - 109.592, - 53.1358, - 47.151, - 47.5321, - 44.4344, - 42.2797, - 40.6172, - 42.072, - 43.1879, - 65.6485, - 80.8257, - 172.55, - 172.192, - 179.637, - 190.879, - 194.41, - 211.104, - 215.092, - 223.637, - 226.848, - 198.025, - 132.781, - 129.177, - 104.439, - 98.3105, - 45.4214, - 40.7682, - 44.1997, - 40.6239, - 42.259, - 38.9362, - 38.9362, - 41.6166, - 59.9208, - 75.2729, - 163.059, - 162.456, - 167.895, - 176.419, - 178.285, - 193.297, - 197.677, - 206.612, - 223.93, - 199.654, - 136.619, - 133.754, - 108.153, - 100.868, - 45.6362, - 40.867, - 44.5546, - 42.2175, - 40.5334, - 38.9362, - 38.9362, - 41.6166, - 56.6981, - 72.0095, - 160.365, - 161.404, - 169.072, - 180.346, - 184.657, - 201.266, - 204.642, - 214.671, - 226.751, - 199.908, - 134.31, - 131.547, - 106.28, - 99.0027, - 47.1671, - 42.5221, - 40.6585, - 38.5523, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.1872, - 59.8296, - 93.7304, - 103.044, - 110.072, - 118.312, - 121.851, - 124.789, - 94.9021, - 93.87, - 97.8319, - 86.1964, - 46.8296, - 54.9564, - 50.154, - 45.2214, - 44.1069, - 40.336, - 35.4415, - 39.0305, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6378, - 37.4826, - 43.7525, - 40.1368, - 47.5309, - 42.4114, - 49.2198, - 43.2365, - 49.214, - 43.5373, - 51.7506, - 45.2187, - 50.5429, - 55.5455, - 50.6289, - 46.6364, - 45.7194, - 45.7668, - 38.4806, - 39.2465, - 35.5526, - 37.5134, - 33.5754, - 39.4006, - 24.6627, - 40.6223, - 33.0678, - 40.9803, - 41.2902, - 48.2137, - 43.7281, - 51.6788, - 45.943, - 52.7711, - 46.2324, - 51.7696, - 41.3901, - 58.478, - 47.3869, - 47.3977, - 44.4679, - 39.991, - 37.286, - 49.923, - 44.828, - 44.6282, - 38.9362, - 47.0827, - 68.3536, - 81.0228, - 170.196, - 170.126, - 175.03, - 182.358, - 181.258, - 190.342, - 182.748, - 172.862, - 174.714, - 155.024, - 104.185, - 114.004, - 95.1747, - 93.3787, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 67.9698, - 82.7252, - 170.247, - 169.862, - 166.294, - 170.961, - 170.51, - 179.711, - 179.086, - 181.747, - 186.517, - 166.365, - 108.461, - 114.837, - 94.2927, - 90.8465, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.1259, - 66.2948, - 142.839, - 137.14, - 137.998, - 144.772, - 147.424, - 158.352, - 157.649, - 161.553, - 168.648, - 153.012, - 98.1798, - 101.503, - 80.4461, - 77.1089, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.4571, - 59.287, - 135.191, - 133.021, - 136.841, - 146.066, - 150.061, - 161.373, - 162.71, - 168.874, - 177.571, - 161.949, - 106.734, - 111.191, - 89.1601, - 84.2606, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.4901, - 52.1672, - 81.718, - 87.1399, - 88.5327, - 93.8173, - 97.2944, - 100.286, - 72.9623, - 74.8143, - 78.2353, - 66.7027, - 38.5575, - 44.3914, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 26.625, - 38.3819, - 35.0121, - 37.3239, - 39.6494, - 42.3622, - 40.4722, - 41.9708, - 40.438, - 42.1871, - 40.2419, - 37.7698, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.665, - 63.0871, - 139.164, - 136.008, - 139.765, - 148.789, - 151.506, - 162.108, - 162.81, - 167.866, - 175.352, - 159.845, - 107.686, - 110.156, - 88.84, - 84.7485, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.57, - 62.1903, - 139.665, - 137.439, - 146.16, - 155.907, - 157.758, - 168.679, - 168.941, - 173.155, - 179.648, - 161.908, - 107.204, - 108.056, - 87.2314, - 85.0369, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.5635, - 65.1211, - 144.1, - 143.306, - 152.966, - 163.04, - 165.568, - 177.386, - 178.086, - 180.615, - 187.087, - 168.082, - 111.046, - 113.324, - 91.73, - 88.5886, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.5353, - 70.5196, - 147.227, - 142.922, - 146.641, - 152.098, - 150.493, - 157.365, - 155.751, - 156.37, - 159.539, - 144.029, - 94.0475, - 99.2877, - 80.8626, - 78.383, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.9237, - 61.218, - 136.811, - 134.204, - 137.861, - 145.77, - 148.382, - 158.182, - 158.236, - 162.519, - 169.28, - 154.223, - 103.192, - 108.057, - 89.074, - 86.7985, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.0167, - 48.8811, - 79.475, - 87.0995, - 91.2371, - 97.4566, - 99.244, - 99.8961, - 70.9309, - 71.3896, - 73.1924, - 62.9195, - 41.6023, - 41.5555, - 39.0719, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 25.9684, - 38.6545, - 36.9889, - 42.3147, - 36.8098, - 43.4019, - 38.8707, - 44.1575, - 38.8925, - 43.8659, - 38.457, - 38.1652, - 36.8256, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.8754, - 55.6984, - 128.385, - 124.736, - 128.6, - 136.345, - 139.696, - 149.996, - 150.244, - 154.838, - 162.169, - 144.885, - 94.5631, - 95.4062, - 74.8387, - 70.3809, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.3533, - 59.3704, - 131.8, - 126.771, - 129.179, - 136.653, - 140.074, - 150.223, - 149.306, - 151.38, - 155.952, - 137.874, - 89.496, - 91.2082, - 71.6088, - 68.2371, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.5145, - 59.8162, - 132.202, - 128.148, - 131.643, - 138.954, - 141.423, - 150.454, - 149.405, - 152.197, - 157.582, - 141.112, - 93.2033, - 95.7944, - 75.591, - 70.908, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.1675, - 57.1151, - 127.558, - 126.84, - 135.297, - 146.677, - 150.604, - 160.103, - 159.802, - 165.264, - 173.031, - 156.734, - 104.788, - 103.796, - 81.2013, - 74.7141, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 42.5157, - 57.0065, - 130.553, - 130.625, - 140.224, - 152.92, - 156.757, - 169.636, - 171.111, - 176.9, - 184.412, - 165.89, - 111.399, - 110.72, - 88.5851, - 83.127, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 48.8516, - 46.551, - 77.7673, - 88.5776, - 95.4283, - 103.711, - 107.776, - 110.921, - 83.5935, - 85.1069, - 87.178, - 73.1912, - 45.0885, - 42.4597, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 26.091, - 37.3983, - 38.5478, - 42.3876, - 42.2935, - 47.2746, - 47.0837, - 51.2853, - 48.4349, - 52.3097, - 48.0048, - 55.4498, - 48.6646, - 42.501, - 41.1212, - 33.5754, - 38.2862, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 59.0797, - 69.4193, - 154.601, - 158.198, - 166.803, - 177.072, - 179.255, - 191.397, - 194.168, - 199.488, - 205.296, - 183.341, - 126.491, - 120.901, - 95.9272, - 89.8583, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 60.0303, - 69.7739, - 156.544, - 160.504, - 170.77, - 183.428, - 186.023, - 198.74, - 200.959, - 205.846, - 211.247, - 188.342, - 130.249, - 123.783, - 98.4286, - 92.2971, - 41.6166, - 41.9734, - 40.4334, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 62.6208, - 72.7506, - 158.489, - 161.143, - 169.413, - 180.156, - 183.308, - 198.43, - 202.572, - 207.821, - 212.641, - 188.503, - 129.776, - 123.196, - 99.019, - 94.6956, - 41.6166, - 44.3273, - 38.9362, - 43.928, - 38.9362, - 38.9362, - 38.9362, - 45.8383, - 64.596, - 74.8103, - 164.623, - 166.015, - 174.575, - 185.642, - 187.617, - 200.787, - 203.993, - 208.983, - 213.441, - 189.872, - 131.372, - 125.1, - 100.244, - 94.901, - 41.6166, - 44.2148, - 40.6097, - 42.2053, - 38.9362, - 38.9362, - 43.3734, - 41.6166, - 65.2067, - 73.6573, - 160.988, - 164.809, - 174.434, - 185.759, - 187.449, - 200.404, - 203.903, - 209.014, - 213.488, - 189.745, - 131.276, - 125.102, - 101.175, - 97.414, - 45.4534, - 42.5778, - 42.3984, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 62.744, - 55.0407, - 86.9558, - 97.5896, - 105.833, - 115.434, - 120.585, - 125.116, - 95.9509, - 96.7417, - 97.4233, - 81.6346, - 48.8256, - 47.5436, - 40.3227, - 39.64, - 37.4331, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 20.1719, - 25.6276, - 31.9693, - 31.5822, - 38.7868, - 37.4961, - 44.2482, - 39.8036, - 45.5593, - 40.3097, - 45.3344, - 43.6775, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.1518, - 56.9907, - 131.012, - 131.421, - 140.852, - 152.365, - 155.988, - 167.422, - 168.094, - 172.533, - 178.454, - 159.371, - 111.394, - 107.065, - 85.1635, - 80.5454, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.7241, - 60.577, - 135.704, - 135.434, - 144.362, - 154.924, - 158.367, - 170.544, - 172.569, - 177.51, - 183.482, - 165.165, - 117.313, - 113.553, - 91.7146, - 87.583, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.4419, - 64.3307, - 141.258, - 139.92, - 148.044, - 159.333, - 162.077, - 176.676, - 179.003, - 181.664, - 185.123, - 163.77, - 116.48, - 113.412, - 90.9948, - 85.6355, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.746, - 63.9027, - 140.09, - 140.719, - 150.38, - 160.583, - 163.43, - 175.717, - 176.27, - 177.369, - 178.099, - 158.408, - 115.173, - 112.87, - 91.4517, - 87.768, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 56.237, - 66.1249, - 143.366, - 141.158, - 150.097, - 159.625, - 160.392, - 168.968, - 166.219, - 166.629, - 169.473, - 151.276, - 108.295, - 106.343, - 87.0961, - 85.4366, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 49.864, - 43.4675, - 71.7492, - 78.8618, - 82.3028, - 88.4725, - 90.9685, - 90.932, - 62.229, - 61.0073, - 59.4274, - 49.1988, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 19.7076, - 31.681, - 32.2047, - 38.485, - 33.0662, - 42.1867, - 38.2387, - 43.4806, - 38.7768, - 36.7903, - 38.5198, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 25.3508, - 30.7673, - 32.7306, - 37.465, - 39.8992, - 39.5392, - 41.9212, - 40.9873, - 43.1902, - 41.2366, - 44.3939, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.618, - 59.0242, - 131.871, - 130.507, - 137.836, - 148.637, - 152.657, - 164.27, - 164.987, - 168.923, - 173.96, - 154.434, - 110.14, - 103.105, - 80.8263, - 75.5258, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.6475, - 57.9848, - 130.439, - 130.532, - 139.786, - 151.225, - 155.046, - 166.251, - 165.839, - 168.663, - 172.689, - 153.03, - 109.24, - 102.682, - 80.4704, - 74.822, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.7852, - 57.5463, - 129.876, - 129.889, - 139.373, - 150.596, - 152.793, - 162.025, - 162.733, - 167.264, - 172.652, - 153.462, - 109.037, - 101.341, - 78.8495, - 72.9751, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.4737, - 57.6887, - 129.707, - 129.516, - 139.048, - 151.044, - 155.496, - 167.615, - 168.156, - 172.089, - 177.028, - 155.951, - 109.521, - 100.77, - 78.4132, - 73.6942, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.7376, - 42.6857, - 70.6339, - 78.9823, - 85.3168, - 94.3621, - 98.9358, - 102.21, - 75.0765, - 74.8579, - 75.4814, - 61.6135, - 35.9619, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 22.072, - 30.1981, - 32.2823, - 39.2714, - 42.8957, - 43.5271, - 46.8531, - 44.6373, - 46.7388, - 43.4056, - 39.6717, - 37.0879, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.4657, - 58.0903, - 130.288, - 131.094, - 141.773, - 153.251, - 157.664, - 169.745, - 170.106, - 172.848, - 176.149, - 155.205, - 113.493, - 105.281, - 83.841, - 78.8944, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 50.6625, - 59.6821, - 133.043, - 133.997, - 143.227, - 154.334, - 157.757, - 169.02, - 169.426, - 172.232, - 175.548, - 154.298, - 112.567, - 103.536, - 82.148, - 77.8491, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.5019, - 58.6716, - 131.694, - 131.901, - 140.553, - 152.198, - 156.305, - 167.252, - 164.674, - 165.536, - 169.221, - 151.37, - 113.424, - 106.892, - 86.4543, - 82.5826, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.1658, - 59.8721, - 133.158, - 132.57, - 140.109, - 144.527, - 142.785, - 150.76, - 148.048, - 144.695, - 145.241, - 129.063, - 98.8065, - 92.9612, - 74.7919, - 72.4367, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.2639, - 57.5446, - 126.422, - 124.585, - 129.688, - 137.296, - 139.939, - 147.115, - 145.831, - 148.947, - 154.322, - 137.01, - 103.331, - 98.2235, - 80.1669, - 77.3086, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.973, - 40.9816, - 67.1259, - 73.9024, - 77.0225, - 82.8645, - 84.5272, - 84.4294, - 56.5795, - 55.1059, - 55.1031, - 45.2891, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 22.1999, - 34.5369, - 35.7034, - 38.084, - 37.2527, - 38.9203, - 36.7207, - 32.2255, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.261, - 59.7283, - 128.766, - 125.528, - 129.904, - 139.063, - 145.432, - 153.708, - 152.691, - 156.546, - 158.002, - 139.534, - 102.772, - 94.8214, - 74.8327, - 71.2853, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.941, - 57.8949, - 127.911, - 125.33, - 132.007, - 142.564, - 148.849, - 159.8, - 159.5, - 162.58, - 166.638, - 148.998, - 106.662, - 97.9036, - 76.9297, - 72.6832, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.4554, - 58.0579, - 128.346, - 126.164, - 132.655, - 143.22, - 148.846, - 159.463, - 159.633, - 163.557, - 168.312, - 150.523, - 107.713, - 98.2841, - 76.9482, - 72.654, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.3871, - 56.1121, - 126.516, - 124.749, - 131.16, - 141.454, - 147.396, - 158.539, - 158.873, - 163.271, - 168.513, - 150.666, - 108.289, - 99.2357, - 79.244, - 76.2735, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.0569, - 58.4865, - 128.073, - 122.333, - 124.24, - 132.6, - 135.863, - 140.863, - 138.111, - 140.216, - 144.094, - 130.291, - 98.0194, - 92.6835, - 73.5902, - 70.0135, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 55.4484, - 47.5295, - 68.7474, - 67.4079, - 66.2252, - 70.5351, - 72.19, - 71.8777, - 44.8802, - 44.9103, - 46.7946, - 43.7703, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.5183, - 29.1952, - 32.8783, - 34.2161, - 29.8992, - 19.8317, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.4217, - 73.522, - 127.884, - 117.287, - 117.576, - 122.589, - 125.968, - 132.455, - 131.446, - 132.993, - 137.568, - 124.207, - 91.2675, - 84.896, - 69.4161, - 68.7621, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 67.0101, - 74.7318, - 127.005, - 116.056, - 116.843, - 123.564, - 128.612, - 136.509, - 136.04, - 137.897, - 143.255, - 127.995, - 92.827, - 84.9527, - 68.3781, - 68.2545, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.7985, - 73.2803, - 125.888, - 116.456, - 119.148, - 126.412, - 131.549, - 139.786, - 138.742, - 140.214, - 144.989, - 129.032, - 93.9899, - 86.9202, - 69.1429, - 67.8901, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.1745, - 72.2689, - 125.993, - 119.515, - 122.365, - 130.581, - 136.978, - 147.005, - 146.223, - 147.983, - 152.423, - 136.822, - 97.0388, - 89.0893, - 70.572, - 68.6685, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.2383, - 71.4699, - 125.446, - 118.764, - 122.007, - 130.454, - 136.884, - 146.98, - 147.343, - 150.733, - 156.2, - 141.69, - 100.288, - 90.6473, - 71.6186, - 69.2678, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 59.944, - 52.4924, - 67.7256, - 73.4819, - 78.8701, - 86.7889, - 88.8722, - 89.3524, - 60.3137, - 57.9955, - 58.1322, - 54.376, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 22.4707, - 30.1791, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 72.3097, - 76.8391, - 127.519, - 117.105, - 115.904, - 119.458, - 120.974, - 123.411, - 119.801, - 120.157, - 127.008, - 124.462, - 88.589, - 86.3852, - 74.6652, - 77.0008, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.1916, - 72.6312, - 127.732, - 119.847, - 120.59, - 125.347, - 127.831, - 131.89, - 128.78, - 129.346, - 133.731, - 128.183, - 87.2977, - 85.2861, - 71.8305, - 72.3397, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 65.8689, - 69.3066, - 127.32, - 119.222, - 120.973, - 126.755, - 130.442, - 134.389, - 129.566, - 128.909, - 132.177, - 125.93, - 84.5782, - 82.3879, - 70.5891, - 73.0204, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 78.0021, - 76.3913, - 129.366, - 118.233, - 116.262, - 120.445, - 121.943, - 124.101, - 119.786, - 119.559, - 125.478, - 121.735, - 85.9076, - 85.7267, - 76.2722, - 80.4814, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 91.0597, - 82.4842, - 130.491, - 118.391, - 116.901, - 121.006, - 123.657, - 126.46, - 122.166, - 121.846, - 127.62, - 125.255, - 88.2466, - 86.7746, - 76.9748, - 79.3884, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 25.5477, - 31.0823, - 32.2828, - 32.9553, - 22.6726, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 99.5535, - 95.2738, - 133.538, - 120.15, - 119.917, - 124.632, - 128.682, - 132.156, - 127.853, - 128.219, - 136.58, - 130.147, - 92.3651, - 88.6475, - 76.4276, - 79.7062, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 83.5307, - 79.5097, - 129.444, - 118.909, - 119.739, - 126.674, - 132.345, - 138.108, - 134.918, - 135.191, - 140.948, - 131.72, - 89.7184, - 86.8222, - 72.4053, - 72.2565, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.4481, - 72.4592, - 126.736, - 119.181, - 121.675, - 130.656, - 138.5, - 145.204, - 141.087, - 140.645, - 143.673, - 132.354, - 88.1632, - 83.9694, - 69.513, - 70.7331, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 63.5094, - 66.6109, - 126.391, - 120.227, - 123.41, - 131.874, - 138.316, - 144.478, - 142.469, - 144.328, - 148.87, - 135.078, - 89.1987, - 83.6869, - 68.8725, - 68.9389, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.0378, - 63.4393, - 127.618, - 121.761, - 125.02, - 134.294, - 141.91, - 148.676, - 144.747, - 145.058, - 151.587, - 142.21, - 98.739, - 94.2967, - 78.1007, - 76.5967, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 47.3187, - 45.2722, - 73.0921, - 80.1723, - 84.3367, - 90.0762, - 91.7398, - 88.3912, - 54.836, - 50.1135, - 55.9587, - 57.184, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 19.4289, - 21.0097, - 24.4215, - 22.5192, - 19.7415, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 114.738, - 102.669, - 137.856, - 123.972, - 119.339, - 119.665, - 119.876, - 117.818, - 113.257, - 113.242, - 124.573, - 125.817, - 96.8943, - 99.7664, - 91.3891, - 93.7498, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 110.961, - 98.6396, - 136.274, - 122.907, - 119.332, - 120.349, - 122.97, - 122.675, - 118.809, - 118.838, - 127.342, - 122.209, - 89.8272, - 90.54, - 81.0463, - 82.6037, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 94.1034, - 94.1191, - 135.581, - 121.537, - 121.171, - 125.717, - 129.551, - 132.837, - 128.915, - 129.117, - 138.826, - 134.495, - 97.3665, - 93.6614, - 78.8909, - 77.8295, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 19.8353, - 23.0273, - 27.8795, - 26.8059, - 21.6979, - 21.4008, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 92.8133, - 84.2545, - 130.528, - 119.266, - 119.426, - 125.485, - 130.36, - 135.432, - 133.502, - 135.116, - 139.853, - 129.065, - 87.4191, - 84.5519, - 72.8685, - 75.5988, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 83.19, - 61.5623, - 73.6895, - 74.9336, - 77.3297, - 84.5303, - 87.6446, - 86.1237, - 58.5974, - 56.6508, - 61.8021, - 61.2189, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 24.7126, - 33.4857, - 36.9278, - 36.2823, - 37.83, - 27.1587, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 69.6088, - 71.1527, - 130.146, - 123.84, - 124.117, - 128.541, - 131.09, - 134.404, - 130.221, - 130.22, - 138.862, - 131.599, - 91.947, - 88.8011, - 74.8077, - 75.0668, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 70.2025, - 73.4774, - 126.911, - 119.793, - 122.315, - 128.879, - 134.0, - 140.083, - 135.93, - 135.048, - 139.393, - 129.255, - 86.6583, - 83.4962, - 70.0841, - 72.3256, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.6817, - 73.7693, - 128.025, - 117.855, - 118.755, - 124.472, - 127.666, - 132.636, - 129.515, - 129.878, - 137.304, - 129.34, - 88.1288, - 85.5871, - 71.2613, - 71.0198, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.8549, - 75.6644, - 127.325, - 119.991, - 121.515, - 128.255, - 132.946, - 137.602, - 132.644, - 131.563, - 138.128, - 129.321, - 87.5697, - 84.1435, - 70.062, - 72.2373, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.044, - 94.4318, - 135.886, - 121.886, - 118.155, - 119.194, - 118.73, - 116.641, - 112.647, - 112.623, - 123.708, - 123.555, - 93.4249, - 96.4195, - 88.614, - 91.4584, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 126.462, - 96.0492, - 93.8856, - 88.2251, - 84.7254, - 84.5289, - 80.8154, - 72.9443, - 47.6319, - 47.4507, - 61.843, - 76.1884, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.7678, - 39.7392, - 34.0452, - 22.7394, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.5481, - 42.708, - 43.4169, - 45.7942, - 45.0442, - 47.5569, - 48.6458, - 174.849, - 153.449, - 177.673, - 147.391, - 138.446, - 135.236, - 134.479, - 124.883, - 117.458, - 116.642, - 128.417, - 131.807, - 103.501, - 105.083, - 100.197, - 104.543, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 142.716, - 130.147, - 163.64, - 144.764, - 136.399, - 132.799, - 135.096, - 126.625, - 119.956, - 122.185, - 135.585, - 136.796, - 107.765, - 107.344, - 98.3049, - 100.079, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 125.637, - 106.366, - 139.745, - 124.877, - 119.956, - 120.732, - 121.123, - 117.948, - 113.594, - 113.361, - 125.578, - 126.851, - 96.1341, - 98.1574, - 88.7883, - 91.4962, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 120.558, - 103.439, - 139.968, - 125.361, - 123.454, - 125.02, - 126.631, - 123.953, - 119.157, - 114.755, - 127.02, - 129.035, - 100.066, - 101.623, - 92.802, - 94.2563, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 107.704, - 93.0854, - 132.757, - 122.881, - 122.966, - 126.735, - 128.363, - 128.619, - 123.695, - 123.212, - 132.763, - 130.237, - 93.9888, - 89.7531, - 79.1855, - 80.1595, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 101.81, - 76.0559, - 81.1263, - 78.6627, - 76.5332, - 80.1479, - 78.5346, - 73.1357, - 50.0273, - 48.8097, - 59.8428, - 68.167, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 125.204, - 106.47, - 139.239, - 123.677, - 120.589, - 125.521, - 128.534, - 128.732, - 125.019, - 124.147, - 131.156, - 123.959, - 90.0218, - 91.3038, - 82.2888, - 84.6191, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.387, - 90.1349, - 131.867, - 119.908, - 120.33, - 125.113, - 127.775, - 130.39, - 126.099, - 124.502, - 131.187, - 122.747, - 86.2379, - 87.0879, - 77.8866, - 80.6119, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 95.5569, - 86.5121, - 130.962, - 118.795, - 118.531, - 125.894, - 129.412, - 131.609, - 128.061, - 126.2, - 130.611, - 122.206, - 85.1413, - 85.4294, - 75.5376, - 78.2451, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.6874, - 81.2401, - 129.486, - 118.235, - 118.087, - 122.448, - 125.24, - 130.742, - 129.072, - 127.44, - 131.252, - 122.608, - 85.2104, - 85.6105, - 75.2164, - 78.2822, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 92.5747, - 83.2297, - 130.295, - 118.614, - 117.865, - 124.541, - 127.485, - 130.294, - 126.595, - 124.66, - 128.393, - 119.99, - 86.4495, - 87.4627, - 77.4643, - 80.062, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 105.852, - 77.818, - 82.059, - 78.4418, - 77.7328, - 80.9114, - 79.8116, - 74.8111, - 47.9128, - 49.0545, - 58.6146, - 65.9774, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 20.4555, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 148.924, - 123.952, - 150.773, - 131.07, - 124.398, - 126.319, - 131.069, - 129.249, - 125.114, - 124.165, - 130.74, - 125.235, - 94.2605, - 97.233, - 89.9435, - 93.3676, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 123.639, - 104.539, - 138.192, - 122.768, - 118.668, - 122.101, - 125.639, - 125.343, - 122.298, - 121.629, - 126.607, - 123.003, - 92.3402, - 93.3157, - 85.1471, - 88.1028, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 109.703, - 96.0474, - 134.96, - 121.46, - 117.841, - 122.672, - 125.775, - 127.79, - 125.056, - 123.622, - 128.198, - 121.315, - 87.6117, - 87.8139, - 78.0084, - 80.9289, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 91.3591, - 83.8439, - 129.812, - 120.692, - 122.24, - 126.446, - 128.608, - 132.154, - 128.418, - 124.915, - 127.402, - 119.953, - 86.341, - 87.2747, - 77.3827, - 80.8281, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.5284, - 84.3464, - 130.461, - 118.571, - 115.153, - 116.781, - 117.17, - 116.399, - 112.798, - 112.438, - 123.218, - 122.469, - 90.8552, - 93.1162, - 85.1782, - 87.3454, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 124.867, - 95.2851, - 93.4602, - 87.425, - 83.6816, - 84.3425, - 80.4443, - 73.4037, - 48.2827, - 47.9516, - 62.6055, - 77.8954, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.3025, - 37.793, - 22.5549, - 20.2539, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 36.3076, - 39.4666, - 39.2393, - 41.3982, - 40.3823, - 43.4003, - 39.4045, - 26.4328, - 22.573, - 22.1928, - 19.3614, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 36.3223, - 41.8002, - 44.4458, - 43.941, - 46.0452, - 45.2631, - 50.5404, - 184.05, - 157.579, - 182.405, - 158.086, - 147.42, - 146.227, - 151.141, - 141.751, - 136.294, - 134.65, - 145.47, - 147.7, - 120.624, - 119.31, - 112.66, - 115.181, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 139.677, - 124.682, - 152.342, - 129.812, - 123.939, - 126.263, - 128.578, - 124.587, - 119.881, - 118.743, - 128.723, - 128.17, - 96.8937, - 96.6911, - 88.0193, - 89.5504, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 95.2845, - 96.3731, - 143.728, - 133.032, - 131.387, - 128.042, - 125.128, - 123.904, - 120.515, - 121.101, - 132.352, - 132.045, - 101.204, - 100.116, - 90.1973, - 90.926, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.381, - 92.1395, - 134.191, - 127.398, - 124.534, - 121.291, - 121.403, - 119.586, - 113.14, - 113.142, - 124.211, - 124.255, - 93.5647, - 95.4133, - 86.2237, - 87.6581, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 115.837, - 86.2428, - 87.0184, - 83.278, - 81.0576, - 82.4189, - 78.4133, - 71.2169, - 51.699, - 52.4791, - 64.6016, - 75.8291, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754 - ], - "load_user_forecast_data_peak_shaving": [ - 0.0 - ], - "load_user_forecast_data_psd": [ - 0.0 - ], - "om_batt_capacity_cost": [ - 0.0 - ], - "om_batt_fixed_cost": [ - 0.0 - ], - "om_batt_nameplate": 203.04, - "om_batt_replacement_cost": [ - 0.0 - ], - "om_batt_variable_cost": [ - 0.0 - ], - "om_capacity_escal": 0.0, - "om_fixed_escal": 0.0, - "om_production_escal": 0.0, - "om_replacement_cost_escal": 0.0, - "peak_shaving_batt_dispatch_choice": 0.0, - "ppa_escalation": 1.0, - "ppa_multiplier_model": 0.0, - "ppa_price_input": [ - 0.0 - ], - "pv.storage.p1.charge": 1.0, - "pv.storage.p1.discharge": 0.0, - "pv.storage.p1.dischargetogrid": 0.0, - "pv.storage.p1.gridcharge": 0.0, - "pv.storage.p2.charge": 1.0, - "pv.storage.p2.discharge": 0.0, - "pv.storage.p2.dischargetogrid": 0.0, - "pv.storage.p2.gridcharge": 1.0, - "pv.storage.p3.charge": 1.0, - "pv.storage.p3.discharge": 1.0, - "pv.storage.p3.dischargetogrid": 0.0, - "pv.storage.p3.gridcharge": 0.0, - "pv.storage.p4.charge": 0.0, - "pv.storage.p4.discharge": 0.0, - "pv.storage.p4.dischargetogrid": 0.0, - "pv.storage.p4.gridcharge": 0.0, - "pv.storage.p5.charge": 0.0, - "pv.storage.p5.discharge": 0.0, - "pv.storage.p5.dischargetogrid": 0.0, - "pv.storage.p5.gridcharge": 0.0, - "pv.storage.p6.charge": 0.0, - "pv.storage.p6.discharge": 0.0, - "pv.storage.p6.dischargetogrid": 0.0, - "pv.storage.p6.gridcharge": 0.0, - "sales_tax_rate": 0.0, - "solar_resource_file": "phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "system_capacity": 20.304, - "total_direct_cost": 20304.0, - "total_indirect_cost": 0.0, - "total_installed_cost": 20304.0, - "ui_batt_life_model": 0.0, - "ui_copy_batt_discharge_percent_1": 25.0, - "ui_copy_batt_discharge_percent_2": 25.0, - "ui_copy_batt_discharge_percent_3": 25.0, - "ui_copy_batt_discharge_percent_4": 25.0, - "ui_copy_batt_discharge_percent_5": 25.0, - "ui_copy_batt_discharge_percent_6": 25.0 - }, - "Hybrid": { - "analysis_period": 30.0, - "analysis_period_warning": "", - "annual_crit": 0.0, - "annual_peak": 274.231, - "batt_minimum_outage_SOC": 10.0, - "batt_replacement_option": 0.0, - "batt_salvage_percentage": 0.0, - "batt_salvage_value": 0.0, - "battery_system_capacity": 20.304, - "battery_total_installed_cost": 20304.0, - "cbi_fed_amount": 0.0, - "cbi_fed_deprbas_fed": 0.0, - "cbi_fed_deprbas_sta": 0.0, - "cbi_fed_maxvalue": 0.0, - "cbi_fed_tax_fed": 1.0, - "cbi_fed_tax_sta": 1.0, - "cbi_oth_amount": 0.0, - "cbi_oth_deprbas_fed": 0.0, - "cbi_oth_deprbas_sta": 0.0, - "cbi_oth_maxvalue": 0.0, - "cbi_oth_tax_fed": 1.0, - "cbi_oth_tax_sta": 1.0, - "cbi_sta_amount": 0.0, - "cbi_sta_deprbas_fed": 0.0, - "cbi_sta_deprbas_sta": 0.0, - "cbi_sta_maxvalue": 0.0, - "cbi_sta_tax_fed": 1.0, - "cbi_sta_tax_sta": 1.0, - "cbi_uti_amount": 0.0, - "cbi_uti_deprbas_fed": 0.0, - "cbi_uti_deprbas_sta": 0.0, - "cbi_uti_maxvalue": 0.0, - "cbi_uti_tax_fed": 1.0, - "cbi_uti_tax_sta": 1.0, - "chk_update_peaks": 0.0, - "const_per_interest1": 0.0, - "const_per_interest2": 0.0, - "const_per_interest3": 0.0, - "const_per_interest4": 0.0, - "const_per_interest5": 0.0, - "const_per_interest_rate1": 0.0, - "const_per_interest_rate2": 0.0, - "const_per_interest_rate3": 0.0, - "const_per_interest_rate4": 0.0, - "const_per_interest_rate5": 0.0, - "const_per_interest_total": 0.0, - "const_per_months1": 0.0, - "const_per_months2": 0.0, - "const_per_months3": 0.0, - "const_per_months4": 0.0, - "const_per_months5": 0.0, - "const_per_name1": "Loan 1", - "const_per_name2": "Loan 2", - "const_per_name3": "Loan 3", - "const_per_name4": "Loan 4", - "const_per_name5": "Loan 5", - "const_per_percent1": 0.0, - "const_per_percent2": 0.0, - "const_per_percent3": 0.0, - "const_per_percent4": 0.0, - "const_per_percent5": 0.0, - "const_per_percent_total": 0.0, - "const_per_principal1": 0.0, - "const_per_principal2": 0.0, - "const_per_principal3": 0.0, - "const_per_principal4": 0.0, - "const_per_principal5": 0.0, - "const_per_principal_total": 0.0, - "const_per_total1": 0.0, - "const_per_total2": 0.0, - "const_per_total3": 0.0, - "const_per_total4": 0.0, - "const_per_total5": 0.0, - "const_per_upfront_rate1": 0.0, - "const_per_upfront_rate2": 0.0, - "const_per_upfront_rate3": 0.0, - "const_per_upfront_rate4": 0.0, - "const_per_upfront_rate5": 0.0, - "construction_financing_cost": 0.0, - "cost_debt_closing": 0.0, - "cost_debt_fee": 0.0, - "cost_other_financing": 0.0, - "crit_1": 0.0, - "crit_10": 0.0, - "crit_11": 0.0, - "crit_12": 0.0, - "crit_2": 0.0, - "crit_3": 0.0, - "crit_4": 0.0, - "crit_5": 0.0, - "crit_6": 0.0, - "crit_7": 0.0, - "crit_8": 0.0, - "crit_9": 0.0, - "crit_load": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "crit_load_escalation": [ - 0.0 - ], - "crit_load_pct": 0.0, - "crit_load_type": 0.0, - "crit_load_user_data": [ - 0.0 - ], - "debt_message": "", - "debt_option": 1.0, - "debt_percent": 50.0, - "depr_alloc_custom_percent": 0.0, - "depr_alloc_macrs_15_percent": 0.0, - "depr_alloc_macrs_5_percent": 100.0, - "depr_alloc_none": 0.0, - "depr_alloc_sl_15_percent": 0.0, - "depr_alloc_sl_20_percent": 0.0, - "depr_alloc_sl_39_percent": 0.0, - "depr_alloc_sl_5_percent": 0.0, - "depr_bonus_fed": 0.0, - "depr_bonus_fed_custom": 0.0, - "depr_bonus_fed_macrs_15": 0.0, - "depr_bonus_fed_macrs_5": 1.0, - "depr_bonus_fed_sl_15": 0.0, - "depr_bonus_fed_sl_20": 0.0, - "depr_bonus_fed_sl_39": 0.0, - "depr_bonus_fed_sl_5": 0.0, - "depr_bonus_sta": 0.0, - "depr_bonus_sta_custom": 0.0, - "depr_bonus_sta_macrs_15": 0.0, - "depr_bonus_sta_macrs_5": 0.0, - "depr_bonus_sta_sl_15": 0.0, - "depr_bonus_sta_sl_20": 0.0, - "depr_bonus_sta_sl_39": 0.0, - "depr_bonus_sta_sl_5": 0.0, - "depr_custom_schedule": [ - 0.0 - ], - "depr_fedbas_method": 1.0, - "depr_itc_fed_custom": 0.0, - "depr_itc_fed_macrs_15": 0.0, - "depr_itc_fed_macrs_5": 0.0, - "depr_itc_fed_sl_15": 0.0, - "depr_itc_fed_sl_20": 0.0, - "depr_itc_fed_sl_39": 0.0, - "depr_itc_fed_sl_5": 0.0, - "depr_itc_sta_custom": 0.0, - "depr_itc_sta_macrs_15": 0.0, - "depr_itc_sta_macrs_5": 0.0, - "depr_itc_sta_sl_15": 0.0, - "depr_itc_sta_sl_20": 0.0, - "depr_itc_sta_sl_39": 0.0, - "depr_itc_sta_sl_5": 0.0, - "depr_stabas_method": 1.0, - "dispatch_data_filename": "", - "dispatch_factors_ts": [ - 0.0, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1 - ], - "dispatch_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_tod_factors": [ - 1.1, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - "dscr": 0.0, - "dscr_limit_debt_fraction": 0.0, - "dscr_maximum_debt_fraction": 100.0, - "dscr_reserve_months": 0.0, - "enable_interconnection_limit": 0.0, - "energy_1": 57339.5, - "energy_10": 57692.5, - "energy_11": 51845.3, - "energy_12": 54338.5, - "energy_2": 48557.3, - "energy_3": 55750.1, - "energy_4": 53014.9, - "energy_5": 60460.7, - "energy_6": 70152.3, - "energy_7": 77708.5, - "energy_8": 77555.1, - "energy_9": 61793.7, - "equip1_reserve_cost": 0.0, - "equip1_reserve_freq": 0.0, - "equip2_reserve_cost": 0.0, - "equip2_reserve_freq": 0.0, - "equip3_reserve_cost": 0.0, - "equip3_reserve_freq": 0.0, - "equip_reserve_depr_fed": 0.0, - "equip_reserve_depr_sta": 0.0, - "escal_belpe": [ - 0.0 - ], - "escal_input_hourly": [ - 0.0 - ], - "escal_other": [ - 0.0 - ], - "federal_tax_rate": [ - 25.0 - ], - "flip_target_percent": 10.0, - "flip_target_year": 10.0, - "grid_curtailment": [ - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0 - ], - "grid_interconnection_limit_kwac": 20000.0, - "grid_outage": [ - 0.0 - ], - "host_nominal_discount_rate": 8.1375, - "host_real_discount_rate": 5.5, - "hybrid_capital_cost": 0.0, - "hybrid_om_capacity_cost": 0.0, - "hybrid_om_fixed_cost": 0.0, - "ibi_fed_amount": 0.0, - "ibi_fed_amount_deprbas_fed": 0.0, - "ibi_fed_amount_deprbas_sta": 0.0, - "ibi_fed_amount_tax_fed": 1.0, - "ibi_fed_amount_tax_sta": 1.0, - "ibi_fed_percent": 0.0, - "ibi_fed_percent_deprbas_fed": 0.0, - "ibi_fed_percent_deprbas_sta": 0.0, - "ibi_fed_percent_maxvalue": 0.0, - "ibi_fed_percent_tax_fed": 1.0, - "ibi_fed_percent_tax_sta": 1.0, - "ibi_oth_amount": 0.0, - "ibi_oth_amount_deprbas_fed": 0.0, - "ibi_oth_amount_deprbas_sta": 0.0, - "ibi_oth_amount_tax_fed": 1.0, - "ibi_oth_amount_tax_sta": 1.0, - "ibi_oth_percent": 0.0, - "ibi_oth_percent_deprbas_fed": 0.0, - "ibi_oth_percent_deprbas_sta": 0.0, - "ibi_oth_percent_maxvalue": 0.0, - "ibi_oth_percent_tax_fed": 1.0, - "ibi_oth_percent_tax_sta": 1.0, - "ibi_sta_amount": 0.0, - "ibi_sta_amount_deprbas_fed": 0.0, - "ibi_sta_amount_deprbas_sta": 0.0, - "ibi_sta_amount_tax_fed": 1.0, - "ibi_sta_amount_tax_sta": 1.0, - "ibi_sta_percent": 0.0, - "ibi_sta_percent_deprbas_fed": 0.0, - "ibi_sta_percent_deprbas_sta": 0.0, - "ibi_sta_percent_maxvalue": 0.0, - "ibi_sta_percent_tax_fed": 1.0, - "ibi_sta_percent_tax_sta": 1.0, - "ibi_uti_amount": 0.0, - "ibi_uti_amount_deprbas_fed": 0.0, - "ibi_uti_amount_deprbas_sta": 0.0, - "ibi_uti_amount_tax_fed": 1.0, - "ibi_uti_amount_tax_sta": 1.0, - "ibi_uti_percent": 0.0, - "ibi_uti_percent_deprbas_fed": 0.0, - "ibi_uti_percent_deprbas_sta": 0.0, - "ibi_uti_percent_maxvalue": 0.0, - "ibi_uti_percent_tax_fed": 1.0, - "ibi_uti_percent_tax_sta": 1.0, - "inflation_rate": 2.5, - "insurance_rate": 1.0, - "is_btm": 0.0, - "itc_fed_amount": [ - 0.0 - ], - "itc_fed_amount_deprbas_fed": 1.0, - "itc_fed_amount_deprbas_sta": 1.0, - "itc_fed_percent": [ - 30.0 - ], - "itc_fed_percent_deprbas_fed": 1.0, - "itc_fed_percent_deprbas_sta": 1.0, - "itc_fed_percent_maxvalue": [ - 1.0 - ], - "itc_sta_amount": [ - 0.0 - ], - "itc_sta_amount_deprbas_fed": 0.0, - "itc_sta_amount_deprbas_sta": 0.0, - "itc_sta_percent": [ - 0.0 - ], - "itc_sta_percent_deprbas_fed": 0.0, - "itc_sta_percent_deprbas_sta": 0.0, - "itc_sta_percent_maxvalue": [ - 1.0 - ], - "lib_dispatch_factor1": 1.0, - "lib_dispatch_factor2": 1.0, - "lib_dispatch_factor3": 1.0, - "lib_dispatch_factor4": 1.0, - "lib_dispatch_factor5": 1.0, - "lib_dispatch_factor6": 1.0, - "lib_dispatch_factor7": 1.0, - "lib_dispatch_factor8": 1.0, - "lib_dispatch_factor9": 1.0, - "lib_dispatch_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "lib_dispatch_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "load": [ - 65.6374, - 62.5244, - 75.1593, - 66.7696, - 78.4194, - 69.7381, - 79.1304, - 50.4748, - 50.3969, - 37.7489, - 37.1335, - 28.3751, - 27.4458, - 23.7839, - 21.3821, - 20.961, - 26.3378, - 42.4988, - 44.2319, - 50.8582, - 50.5752, - 57.2174, - 54.77, - 62.5655, - 62.5466, - 69.0273, - 64.4617, - 71.8981, - 68.3173, - 74.5008, - 234.676, - 217.896, - 230.172, - 191.794, - 172.779, - 165.046, - 163.967, - 149.935, - 141.272, - 139.715, - 147.919, - 155.912, - 130.833, - 132.272, - 128.764, - 130.137, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 163.928, - 142.125, - 168.956, - 147.914, - 139.89, - 136.938, - 140.046, - 132.461, - 127.632, - 127.446, - 136.442, - 141.771, - 114.75, - 114.797, - 108.864, - 112.226, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 142.076, - 124.442, - 158.465, - 139.534, - 130.405, - 127.095, - 126.792, - 121.982, - 117.195, - 117.09, - 126.775, - 131.461, - 102.11, - 102.849, - 94.7595, - 97.4781, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 120.517, - 110.213, - 150.037, - 131.9, - 122.468, - 122.902, - 124.274, - 124.874, - 120.462, - 119.828, - 127.824, - 134.513, - 105.677, - 104.267, - 94.6319, - 95.9547, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 126.096, - 107.02, - 140.049, - 124.387, - 120.537, - 122.295, - 122.559, - 119.695, - 115.207, - 114.45, - 121.73, - 125.166, - 95.4737, - 98.0856, - 89.4985, - 91.2355, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 126.974, - 100.036, - 99.832, - 90.0105, - 85.6978, - 85.3829, - 82.6116, - 75.2912, - 50.1919, - 50.7114, - 57.5579, - 74.0381, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 157.675, - 130.223, - 152.852, - 132.786, - 126.285, - 125.564, - 129.219, - 125.691, - 121.073, - 120.048, - 124.656, - 126.2, - 96.4566, - 98.3456, - 91.5109, - 95.96, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.52, - 115.363, - 149.152, - 129.205, - 121.57, - 121.96, - 125.404, - 124.593, - 120.611, - 119.448, - 124.295, - 123.945, - 92.6343, - 94.9597, - 87.2084, - 89.9637, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 106.737, - 94.7459, - 132.714, - 123.344, - 123.674, - 126.724, - 127.939, - 127.712, - 121.745, - 118.511, - 122.133, - 122.241, - 90.8912, - 92.1783, - 84.1639, - 86.7476, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 118.927, - 105.466, - 139.501, - 122.954, - 118.424, - 119.174, - 119.868, - 118.334, - 114.487, - 113.276, - 118.782, - 124.638, - 94.6371, - 97.2347, - 88.9331, - 91.8463, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 128.102, - 112.693, - 148.291, - 127.728, - 121.797, - 122.218, - 122.967, - 121.95, - 118.389, - 118.561, - 121.841, - 123.79, - 93.4902, - 96.5931, - 89.4855, - 92.9568, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 134.508, - 98.5746, - 96.21, - 89.2509, - 85.5446, - 85.8898, - 84.1672, - 78.4454, - 52.9495, - 51.6784, - 55.8877, - 71.3139, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 147.559, - 131.93, - 163.137, - 146.109, - 138.832, - 137.181, - 141.728, - 134.655, - 127.192, - 126.152, - 132.177, - 141.752, - 114.362, - 113.585, - 106.758, - 109.238, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.179, - 112.448, - 143.62, - 128.368, - 124.368, - 125.725, - 127.368, - 122.678, - 116.183, - 115.887, - 122.147, - 129.775, - 101.286, - 103.536, - 96.5743, - 99.8303, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.58, - 111.15, - 143.304, - 127.538, - 122.19, - 123.156, - 124.548, - 120.996, - 115.086, - 114.839, - 120.735, - 126.89, - 97.3894, - 99.7454, - 92.5019, - 96.1164, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 144.45, - 129.516, - 151.284, - 131.085, - 126.278, - 125.197, - 125.161, - 120.774, - 114.79, - 114.411, - 120.586, - 127.896, - 99.4435, - 102.658, - 95.887, - 99.5242, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 145.87, - 117.274, - 109.549, - 98.5057, - 91.9249, - 91.0462, - 85.4779, - 79.4584, - 56.5764, - 55.8243, - 60.2569, - 79.6187, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.5655, - 20.9088, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 41.7921, - 43.4777, - 45.2287, - 47.5001, - 172.999, - 141.927, - 162.336, - 139.992, - 131.967, - 130.293, - 132.978, - 128.174, - 122.601, - 122.073, - 122.983, - 127.575, - 98.1835, - 101.311, - 94.9811, - 98.5038, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 135.61, - 111.334, - 140.777, - 125.304, - 119.919, - 122.722, - 126.224, - 126.482, - 121.984, - 120.772, - 120.758, - 122.099, - 90.8145, - 93.2282, - 85.5756, - 87.9259, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 130.189, - 116.199, - 146.624, - 127.765, - 119.847, - 120.588, - 123.609, - 123.747, - 120.589, - 119.739, - 119.921, - 122.218, - 91.1469, - 93.502, - 86.4831, - 89.4501, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 122.168, - 105.558, - 139.074, - 122.528, - 118.052, - 119.799, - 122.725, - 124.003, - 120.995, - 121.575, - 120.365, - 121.677, - 89.1019, - 90.3988, - 82.4726, - 85.6087, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 106.553, - 99.4828, - 142.845, - 126.185, - 120.437, - 119.817, - 120.242, - 121.363, - 117.417, - 118.02, - 118.961, - 123.149, - 90.2986, - 92.1996, - 83.8984, - 86.4467, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 109.401, - 84.4718, - 94.076, - 88.0738, - 80.7528, - 83.2127, - 82.3072, - 77.4494, - 52.4732, - 52.6818, - 51.4629, - 66.9579, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.1874, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 103.701, - 89.6664, - 131.359, - 120.056, - 118.578, - 121.705, - 123.177, - 124.276, - 120.591, - 120.166, - 120.769, - 121.954, - 86.7076, - 88.5998, - 79.3628, - 82.0893, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 99.4304, - 94.0663, - 140.365, - 121.417, - 116.782, - 118.719, - 120.955, - 122.154, - 119.54, - 118.523, - 118.45, - 121.761, - 88.234, - 89.043, - 81.3983, - 83.9472, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 112.606, - 96.9766, - 135.261, - 121.816, - 117.521, - 119.454, - 122.226, - 122.842, - 119.272, - 117.702, - 119.457, - 119.407, - 88.74, - 90.1967, - 81.9256, - 84.2286, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 114.974, - 99.5702, - 136.224, - 122.069, - 117.45, - 121.217, - 123.712, - 123.718, - 119.188, - 118.688, - 120.167, - 119.499, - 89.2523, - 90.2419, - 81.1791, - 85.0077, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.853, - 92.1261, - 133.285, - 120.232, - 117.332, - 123.502, - 128.51, - 132.09, - 128.274, - 126.301, - 126.843, - 124.682, - 88.5132, - 86.9869, - 77.7439, - 81.0848, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 91.5629, - 78.622, - 92.9278, - 91.5897, - 87.6784, - 87.8362, - 85.3483, - 82.296, - 64.1723, - 66.6132, - 68.2239, - 80.7772, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 31.108, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 149.261, - 123.809, - 147.564, - 128.823, - 122.579, - 125.586, - 128.886, - 124.836, - 120.228, - 119.962, - 121.28, - 121.551, - 90.2391, - 90.854, - 82.0314, - 85.2273, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.751, - 92.1889, - 131.301, - 121.325, - 124.155, - 131.137, - 134.179, - 137.996, - 134.567, - 133.442, - 133.533, - 128.937, - 90.1826, - 88.5796, - 76.377, - 76.5461, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.1058, - 68.9968, - 130.897, - 125.398, - 125.853, - 129.808, - 132.809, - 137.547, - 131.545, - 129.309, - 129.218, - 127.428, - 89.0817, - 85.0062, - 70.6225, - 70.7933, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 72.2074, - 69.7315, - 126.489, - 119.797, - 123.214, - 129.632, - 130.027, - 128.089, - 121.849, - 121.219, - 122.95, - 117.617, - 84.5629, - 86.6192, - 78.6097, - 81.9264, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 98.7741, - 89.931, - 134.027, - 121.379, - 117.417, - 118.573, - 118.658, - 116.505, - 112.105, - 112.11, - 115.22, - 118.809, - 91.3067, - 93.6335, - 85.9244, - 88.7878, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 120.459, - 99.6859, - 104.526, - 94.9952, - 85.6986, - 85.5286, - 82.0141, - 75.6155, - 49.6814, - 49.085, - 50.8381, - 69.4183, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.4371, - 41.0401, - 40.9483, - 38.1295, - 23.7508, - 20.2999, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 44.2703, - 43.7942, - 46.1776, - 47.9632, - 173.422, - 145.755, - 164.054, - 142.812, - 131.482, - 129.958, - 134.414, - 129.863, - 124.955, - 125.085, - 124.736, - 122.557, - 93.7905, - 96.9914, - 90.1429, - 94.0503, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 109.515, - 99.2944, - 134.339, - 121.258, - 122.881, - 131.254, - 135.374, - 137.977, - 135.063, - 134.647, - 131.529, - 124.041, - 87.7284, - 85.0509, - 72.6978, - 74.8696, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 81.2074, - 82.4488, - 130.369, - 117.397, - 114.228, - 118.597, - 122.657, - 127.271, - 125.734, - 126.648, - 127.612, - 121.029, - 84.843, - 82.3218, - 70.757, - 72.6708, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 73.2832, - 77.8289, - 131.034, - 119.115, - 120.381, - 123.198, - 122.685, - 126.631, - 125.8, - 125.527, - 126.347, - 122.171, - 87.7384, - 85.7473, - 72.2303, - 73.0312, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 64.1811, - 69.3571, - 129.61, - 123.291, - 125.708, - 135.655, - 141.792, - 145.267, - 139.921, - 140.845, - 142.165, - 133.427, - 94.2794, - 87.8435, - 70.1639, - 69.64, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 68.789, - 62.8391, - 76.5092, - 70.8421, - 72.5226, - 80.6939, - 89.3684, - 89.9595, - 58.6703, - 56.3079, - 54.2341, - 53.9706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.5829, - 29.4769, - 29.4311, - 25.239, - 28.1271, - 29.5355, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.3406, - 21.0933, - 18.7714, - 18.7714, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 85.0074, - 81.8724, - 128.434, - 119.469, - 117.72, - 120.887, - 122.664, - 124.63, - 119.242, - 118.264, - 120.064, - 114.243, - 84.6477, - 85.9791, - 76.7819, - 79.5819, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.574, - 91.18, - 133.428, - 120.105, - 116.96, - 120.703, - 122.511, - 124.757, - 121.607, - 121.502, - 125.737, - 117.521, - 83.5195, - 83.6921, - 74.9305, - 78.3314, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 88.3255, - 83.8613, - 130.367, - 118.412, - 118.386, - 124.314, - 128.832, - 134.719, - 131.073, - 131.513, - 134.676, - 124.996, - 88.1483, - 82.9673, - 69.6922, - 72.431, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.6865, - 78.7972, - 128.897, - 119.916, - 123.694, - 130.92, - 132.223, - 138.328, - 134.88, - 134.717, - 139.558, - 129.299, - 91.851, - 89.2706, - 74.6701, - 73.6442, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.5923, - 50.8136, - 70.4639, - 75.6751, - 77.8559, - 83.5333, - 83.3455, - 79.8957, - 50.416, - 47.6804, - 45.3121, - 44.5892, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 20.8954, - 20.4926, - 21.1161, - 20.7317, - 22.5712, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.9661, - 78.4209, - 128.068, - 120.228, - 122.232, - 128.351, - 133.361, - 137.966, - 135.023, - 136.043, - 137.51, - 127.135, - 89.708, - 85.6968, - 69.9352, - 69.2689, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 65.8279, - 68.543, - 128.276, - 123.124, - 127.45, - 137.01, - 143.12, - 149.938, - 148.811, - 153.625, - 155.723, - 142.307, - 101.58, - 94.3632, - 77.483, - 74.4637, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 63.9313, - 72.8519, - 125.761, - 116.131, - 115.616, - 118.396, - 121.175, - 124.148, - 120.239, - 119.952, - 120.909, - 113.931, - 83.5578, - 83.2938, - 71.9689, - 74.3505, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.305, - 78.6787, - 128.767, - 117.487, - 119.011, - 124.25, - 125.161, - 128.011, - 122.36, - 120.84, - 123.713, - 117.172, - 82.9132, - 82.5355, - 72.2463, - 75.3409, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 78.0567, - 86.869, - 135.769, - 121.407, - 118.063, - 120.168, - 120.839, - 121.408, - 116.83, - 116.419, - 119.312, - 117.314, - 89.3496, - 88.8048, - 78.5845, - 80.3799, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 80.9004, - 68.1961, - 77.0203, - 78.0225, - 79.1845, - 81.9923, - 80.7572, - 77.9939, - 55.052, - 57.562, - 60.5822, - 64.7182, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.1838, - 84.9033, - 130.385, - 119.919, - 119.306, - 123.454, - 124.22, - 124.452, - 121.401, - 121.577, - 123.318, - 114.867, - 86.1306, - 84.0178, - 73.4622, - 76.872, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.3915, - 82.324, - 128.165, - 119.55, - 120.943, - 126.516, - 129.961, - 135.918, - 133.583, - 133.788, - 132.953, - 120.288, - 88.3243, - 84.1777, - 69.6655, - 70.7734, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 69.8507, - 73.0825, - 127.65, - 121.858, - 126.34, - 135.444, - 139.881, - 146.08, - 141.763, - 140.45, - 142.052, - 131.52, - 95.68, - 88.7978, - 71.6284, - 70.0038, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 54.0338, - 66.7377, - 129.097, - 127.287, - 130.95, - 142.693, - 144.605, - 149.31, - 146.199, - 145.083, - 146.327, - 134.154, - 100.622, - 93.4091, - 73.7635, - 74.4936, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.5939, - 61.398, - 129.761, - 125.369, - 132.447, - 142.265, - 143.388, - 148.734, - 146.38, - 146.5, - 145.575, - 130.295, - 94.4359, - 89.4222, - 73.1822, - 71.6438, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 42.2636, - 47.6857, - 73.3371, - 76.9813, - 78.8421, - 85.4943, - 87.3965, - 84.7442, - 53.8986, - 49.861, - 47.6768, - 43.6239, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.9283, - 79.8551, - 134.102, - 121.489, - 117.108, - 117.637, - 118.244, - 116.48, - 112.39, - 114.382, - 118.608, - 107.881, - 74.618, - 85.984, - 77.7682, - 80.5875, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 89.8266, - 86.848, - 132.776, - 118.442, - 116.302, - 119.762, - 121.633, - 123.643, - 122.477, - 123.919, - 125.068, - 112.251, - 78.2212, - 85.6934, - 71.4617, - 71.6353, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 87.7677, - 82.3362, - 131.651, - 118.206, - 118.501, - 123.843, - 127.326, - 132.751, - 131.767, - 132.713, - 136.447, - 124.041, - 87.1944, - 91.2282, - 73.0287, - 71.5962, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.4917, - 70.8912, - 126.346, - 121.111, - 123.364, - 129.271, - 135.667, - 143.393, - 144.88, - 149.774, - 156.483, - 141.859, - 93.5192, - 94.3326, - 73.1323, - 68.8229, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 62.7122, - 66.7855, - 125.881, - 121.267, - 125.944, - 135.4, - 141.945, - 149.745, - 148.69, - 152.957, - 158.845, - 144.611, - 96.5542, - 99.3558, - 78.2298, - 73.5792, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 54.3162, - 45.0313, - 69.98, - 77.8579, - 84.4665, - 92.0897, - 94.2012, - 94.5013, - 66.0984, - 65.0125, - 64.7387, - 55.3079, - 26.7323, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 22.2332, - 22.8165, - 32.7295, - 37.6599, - 42.0701, - 38.1407, - 44.4115, - 39.7426, - 34.3168, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 56.4291, - 62.9524, - 130.125, - 128.084, - 132.488, - 140.636, - 147.665, - 159.957, - 160.645, - 165.921, - 172.007, - 156.374, - 105.246, - 106.153, - 85.1244, - 80.1288, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.7446, - 60.8894, - 131.822, - 130.161, - 138.527, - 149.876, - 153.78, - 164.872, - 164.495, - 167.643, - 171.376, - 152.257, - 102.968, - 104.106, - 82.4524, - 78.2332, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.1166, - 63.819, - 135.209, - 128.197, - 128.413, - 134.494, - 134.603, - 144.112, - 144.12, - 146.048, - 146.055, - 126.037, - 80.1284, - 86.106, - 68.9044, - 67.4134, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 64.5567, - 68.3103, - 126.165, - 115.742, - 112.888, - 115.208, - 117.619, - 122.46, - 119.82, - 121.409, - 126.168, - 113.241, - 74.1242, - 81.7248, - 65.0699, - 67.1585, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 79.8937, - 77.5744, - 130.24, - 116.98, - 114.939, - 119.615, - 122.49, - 128.465, - 127.97, - 129.468, - 134.053, - 122.069, - 81.1643, - 87.5077, - 70.7853, - 70.079, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.8839, - 54.3597, - 70.9123, - 72.6442, - 74.5289, - 78.9558, - 79.7041, - 80.2502, - 52.361, - 52.0242, - 51.074, - 41.9165, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 19.7223, - 19.2984, - 18.7714, - 18.7714, - 20.8346, - 22.3906, - 23.2765, - 30.6705, - 32.2232, - 23.4251, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 74.3379, - 76.7696, - 128.834, - 122.656, - 124.814, - 131.312, - 135.25, - 141.939, - 140.479, - 141.374, - 143.878, - 129.222, - 86.5641, - 91.8302, - 73.8203, - 72.2079, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.6246, - 65.8477, - 131.372, - 124.827, - 125.691, - 130.759, - 132.752, - 135.725, - 130.679, - 130.025, - 131.039, - 117.887, - 76.7346, - 86.1244, - 68.4688, - 66.315, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 73.4407, - 75.4997, - 128.957, - 116.648, - 113.645, - 117.508, - 120.712, - 126.149, - 125.324, - 127.242, - 132.425, - 118.756, - 75.9322, - 85.9619, - 68.4119, - 68.4751, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.6345, - 74.551, - 126.805, - 119.035, - 120.842, - 127.823, - 132.001, - 140.423, - 139.36, - 144.286, - 151.053, - 135.855, - 89.5411, - 94.8905, - 74.4708, - 71.4572, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 57.5239, - 64.2065, - 126.383, - 121.758, - 125.553, - 134.499, - 139.971, - 149.351, - 150.718, - 155.601, - 162.368, - 148.948, - 99.1819, - 102.062, - 77.8837, - 74.6351, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 48.4773, - 46.4038, - 73.8587, - 81.9449, - 86.7091, - 93.9951, - 97.8267, - 99.6959, - 71.2797, - 70.8948, - 73.2264, - 62.7134, - 28.1005, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 21.4214, - 27.1091, - 29.0738, - 28.741, - 34.6766, - 42.2791, - 40.22, - 45.0991, - 44.1284, - 45.5909, - 37.87, - 38.2507, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.8532, - 61.3864, - 133.685, - 132.233, - 138.316, - 147.485, - 150.226, - 161.462, - 162.997, - 168.239, - 174.332, - 159.009, - 104.438, - 110.972, - 87.2418, - 79.706, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.0407, - 59.0522, - 131.243, - 128.37, - 133.604, - 146.386, - 149.751, - 160.785, - 162.466, - 167.56, - 173.898, - 157.862, - 102.947, - 107.683, - 83.2585, - 77.247, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.0113, - 58.6284, - 128.191, - 121.964, - 123.165, - 129.6, - 132.302, - 142.052, - 145.55, - 153.425, - 157.602, - 138.45, - 88.281, - 92.9608, - 71.7437, - 68.7407, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.5548, - 60.7805, - 125.684, - 118.949, - 120.68, - 126.622, - 129.827, - 137.531, - 136.577, - 138.099, - 141.574, - 125.918, - 78.6719, - 86.0583, - 68.4183, - 67.742, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.152, - 61.7136, - 128.356, - 124.008, - 125.953, - 134.08, - 139.931, - 149.139, - 149.117, - 154.462, - 161.711, - 147.407, - 96.131, - 102.454, - 80.3328, - 75.8685, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 43.6683, - 43.7258, - 71.7377, - 77.1791, - 78.296, - 82.8729, - 86.2269, - 88.0662, - 60.9816, - 61.6987, - 64.5998, - 56.7667, - 23.9401, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 25.04, - 30.5038, - 28.4587, - 25.8726, - 26.0957, - 33.045, - 31.8747, - 41.1916, - 41.7748, - 31.4146, - 20.8047, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.1829, - 63.2713, - 134.211, - 130.156, - 136.589, - 146.542, - 149.222, - 159.608, - 160.34, - 164.756, - 169.711, - 153.234, - 99.254, - 102.567, - 82.4729, - 77.4227, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 42.1528, - 61.6384, - 136.06, - 132.857, - 134.569, - 141.395, - 145.353, - 156.327, - 157.611, - 163.197, - 171.062, - 158.189, - 104.83, - 108.849, - 89.532, - 84.8615, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.0389, - 64.8366, - 141.346, - 139.321, - 144.299, - 151.952, - 152.562, - 162.376, - 163.236, - 168.388, - 175.314, - 160.928, - 106.651, - 110.023, - 91.6166, - 86.5361, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.9963, - 64.093, - 140.857, - 139.35, - 146.126, - 157.494, - 162.556, - 173.804, - 175.24, - 179.983, - 188.079, - 172.409, - 114.799, - 115.247, - 95.0421, - 90.5571, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.3695, - 65.4903, - 144.748, - 149.109, - 156.554, - 168.384, - 174.395, - 187.699, - 188.245, - 189.789, - 191.434, - 170.932, - 114.593, - 116.762, - 94.9978, - 90.3065, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 46.9595, - 44.4482, - 69.3626, - 72.3624, - 75.5321, - 80.0536, - 78.7292, - 77.2167, - 48.6567, - 46.9404, - 45.868, - 36.2655, - 22.2226, - 31.108, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.5402, - 37.4433, - 32.9169, - 30.4931, - 31.9691, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.7083, - 66.1048, - 124.628, - 115.509, - 115.295, - 119.871, - 122.147, - 126.515, - 123.744, - 124.697, - 127.929, - 113.915, - 71.3495, - 78.9198, - 65.7292, - 67.9154, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 60.369, - 70.151, - 127.021, - 116.399, - 116.048, - 119.76, - 121.555, - 126.9, - 125.811, - 125.623, - 126.897, - 112.548, - 70.7588, - 77.7666, - 66.6192, - 69.4532, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.8006, - 75.2788, - 128.81, - 116.877, - 116.2, - 120.524, - 122.047, - 125.011, - 121.618, - 120.914, - 123.746, - 113.029, - 73.9834, - 81.9082, - 67.3704, - 67.7235, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 61.3638, - 70.2604, - 126.562, - 118.576, - 118.586, - 123.154, - 125.612, - 131.682, - 131.134, - 134.266, - 140.869, - 128.786, - 84.7729, - 89.89, - 73.7651, - 71.0646, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 50.7243, - 64.3224, - 126.366, - 120.706, - 122.544, - 130.042, - 134.492, - 142.155, - 142.368, - 147.787, - 155.382, - 141.624, - 91.4614, - 88.4601, - 70.4178, - 69.3797, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 46.0842, - 46.0879, - 74.0487, - 82.7558, - 84.3139, - 91.8135, - 95.1591, - 94.1539, - 66.0308, - 66.6632, - 68.8192, - 60.3246, - 38.8048, - 39.0184, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 19.0765, - 27.1583, - 32.9917, - 34.3032, - 28.7563, - 20.4896, - 30.7491, - 34.6851, - 41.9585, - 46.1535, - 44.0584, - 38.6508, - 37.4947, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.0391, - 62.8071, - 134.314, - 128.465, - 128.327, - 131.675, - 131.761, - 136.86, - 132.215, - 130.117, - 132.756, - 122.496, - 83.3951, - 89.1851, - 76.1967, - 75.5725, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.2543, - 62.7236, - 132.484, - 127.787, - 127.896, - 132.541, - 134.898, - 143.959, - 144.492, - 149.319, - 154.887, - 140.308, - 90.1835, - 90.2344, - 73.5422, - 69.9378, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 39.2137, - 60.5049, - 128.769, - 122.621, - 123.305, - 126.911, - 128.55, - 134.286, - 130.593, - 130.716, - 132.876, - 117.576, - 74.4659, - 78.6808, - 66.8812, - 65.9344, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.1688, - 62.6748, - 125.124, - 119.281, - 120.392, - 124.942, - 127.83, - 132.31, - 129.663, - 131.354, - 136.478, - 122.485, - 77.7101, - 81.0276, - 67.4824, - 66.0547, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.3424, - 64.4753, - 124.595, - 117.906, - 118.406, - 123.321, - 127.374, - 134.869, - 135.002, - 139.331, - 148.026, - 138.45, - 93.9842, - 96.6093, - 81.8488, - 78.0342, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 37.5736, - 43.8939, - 71.6506, - 76.011, - 79.2391, - 85.9904, - 88.1892, - 89.5123, - 60.9987, - 57.9527, - 57.3685, - 47.3507, - 24.8517, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 20.0854, - 20.6267, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 22.7355, - 26.607, - 27.0776, - 22.1449, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.5681, - 66.3784, - 126.361, - 120.779, - 120.521, - 126.185, - 127.953, - 131.208, - 129.658, - 134.934, - 143.569, - 133.209, - 89.0637, - 92.7855, - 79.1082, - 75.7776, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.769, - 64.9103, - 137.224, - 130.992, - 131.823, - 139.611, - 142.618, - 151.561, - 149.946, - 152.682, - 152.739, - 133.288, - 82.2126, - 83.7803, - 70.7446, - 68.4837, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 41.0623, - 64.038, - 127.931, - 121.924, - 121.913, - 126.77, - 128.229, - 132.896, - 130.55, - 131.374, - 136.072, - 122.141, - 78.0349, - 82.8535, - 70.6593, - 68.6232, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.534, - 60.0089, - 126.871, - 119.838, - 120.58, - 126.795, - 131.086, - 138.568, - 140.457, - 145.869, - 152.216, - 136.241, - 86.6061, - 87.5908, - 72.2149, - 68.9076, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.618, - 60.2328, - 128.616, - 125.855, - 130.205, - 137.279, - 140.82, - 149.723, - 149.823, - 156.073, - 163.947, - 150.005, - 100.953, - 97.1752, - 82.3749, - 78.4735, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 37.6882, - 46.9793, - 77.0701, - 86.4713, - 90.7444, - 95.6547, - 97.3365, - 97.9433, - 71.222, - 73.9435, - 75.7702, - 66.9583, - 43.0092, - 44.4502, - 38.5038, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 19.2731, - 31.2054, - 36.2287, - 38.889, - 38.172, - 35.3701, - 32.7311, - 34.3047, - 39.4602, - 44.7426, - 46.7916, - 45.3803, - 44.9368, - 46.3228, - 38.1948, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 41.8589, - 65.5684, - 144.535, - 141.984, - 145.659, - 152.241, - 154.308, - 165.571, - 165.349, - 164.595, - 168.628, - 150.286, - 98.0196, - 93.5665, - 79.3866, - 74.9124, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.4022, - 62.6383, - 135.175, - 131.618, - 133.625, - 140.946, - 146.244, - 157.409, - 157.625, - 161.693, - 166.174, - 150.201, - 96.0865, - 91.109, - 76.3352, - 72.127, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 34.5302, - 58.1158, - 131.084, - 128.3, - 131.648, - 140.337, - 145.856, - 157.564, - 156.871, - 160.652, - 169.102, - 154.733, - 103.853, - 102.152, - 86.4883, - 81.043, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 37.6487, - 62.003, - 139.446, - 137.906, - 143.738, - 152.449, - 154.172, - 164.235, - 165.526, - 170.759, - 179.88, - 167.905, - 115.776, - 109.426, - 91.0097, - 87.6493, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 42.8851, - 68.8443, - 150.728, - 150.571, - 154.553, - 162.81, - 166.841, - 179.973, - 182.987, - 189.059, - 193.68, - 175.524, - 120.186, - 112.16, - 91.3878, - 87.0327, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 47.2172, - 55.4205, - 88.1531, - 94.3473, - 96.6294, - 103.09, - 105.696, - 104.775, - 74.932, - 76.291, - 74.8477, - 61.5106, - 38.2127, - 33.3459, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 22.8031, - 29.5567, - 30.0297, - 33.1169, - 26.2197, - 22.0104, - 29.8991, - 29.805, - 43.4732, - 43.262, - 44.4254, - 40.4732, - 35.0622, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.7472, - 58.2243, - 127.954, - 122.102, - 123.479, - 128.871, - 131.774, - 140.554, - 140.774, - 149.723, - 159.505, - 146.714, - 97.3258, - 92.1289, - 75.1853, - 70.4336, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.2205, - 58.6763, - 131.154, - 127.893, - 132.55, - 140.255, - 143.895, - 154.066, - 153.286, - 158.36, - 166.178, - 152.008, - 104.901, - 100.167, - 82.7435, - 78.6812, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.0223, - 60.2995, - 137.967, - 137.604, - 142.959, - 152.617, - 155.874, - 166.593, - 168.194, - 174.007, - 180.822, - 167.851, - 117.818, - 112.151, - 92.2795, - 87.5903, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 39.835, - 65.6699, - 146.917, - 143.135, - 146.877, - 157.39, - 163.599, - 176.08, - 177.324, - 180.874, - 188.515, - 174.686, - 118.414, - 107.539, - 90.9205, - 86.1264, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 47.4124, - 69.9245, - 149.821, - 147.249, - 150.162, - 156.323, - 157.526, - 168.027, - 167.913, - 173.603, - 182.131, - 164.107, - 111.132, - 105.028, - 88.0136, - 81.831, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 38.0113, - 47.846, - 77.6638, - 82.8101, - 85.4024, - 90.6292, - 92.6013, - 94.0708, - 67.9454, - 71.1323, - 73.1412, - 62.8177, - 39.7923, - 40.4683, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 22.482, - 28.5051, - 32.017, - 31.5775, - 26.9776, - 24.3388, - 27.726, - 33.1362, - 41.692, - 44.9824, - 43.355, - 45.2788, - 43.5553, - 40.4872, - 35.0372, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 37.7471, - 62.4864, - 136.434, - 132.212, - 133.91, - 141.817, - 145.867, - 154.757, - 155.024, - 162.016, - 174.378, - 161.139, - 108.2, - 101.263, - 88.66, - 83.2539, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 46.4421, - 71.1007, - 148.301, - 145.521, - 148.258, - 152.931, - 152.667, - 160.065, - 159.495, - 162.482, - 167.864, - 153.517, - 104.212, - 100.28, - 86.088, - 82.4135, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 43.9368, - 67.6722, - 147.521, - 144.574, - 145.272, - 151.639, - 150.254, - 158.385, - 156.288, - 156.628, - 155.792, - 139.086, - 95.2451, - 94.772, - 84.7145, - 81.3023, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 43.4624, - 70.2877, - 150.636, - 149.334, - 152.466, - 158.872, - 160.123, - 172.598, - 175.783, - 180.294, - 188.872, - 175.125, - 122.873, - 113.839, - 95.9802, - 91.3442, - 41.6166, - 43.8912, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 51.3942, - 75.8401, - 160.924, - 159.327, - 164.61, - 174.91, - 174.524, - 187.088, - 191.371, - 198.295, - 197.203, - 174.797, - 120.109, - 113.761, - 98.6324, - 94.0161, - 41.6166, - 44.328, - 40.6651, - 36.9883, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 55.0653, - 61.5475, - 92.2712, - 101.527, - 108.619, - 114.725, - 116.985, - 115.622, - 83.1234, - 77.4784, - 73.8617, - 62.0457, - 32.0179, - 39.8192, - 39.6141, - 33.5754, - 38.9989, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 27.9937, - 40.5519, - 40.1898, - 43.1526, - 42.5758, - 44.2812, - 40.6347, - 46.6996, - 45.9491, - 48.8877, - 42.8779, - 48.7192, - 42.5042, - 46.7276, - 46.6115, - 44.262, - 39.2828, - 38.9584, - 33.5754, - 38.273, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 26.3122, - 37.4182, - 38.5624, - 41.9616, - 40.7537, - 41.376, - 34.673, - 38.3448, - 39.3285, - 46.3254, - 44.2231, - 46.0086, - 41.7476, - 45.3716, - 40.165, - 37.4708, - 35.9762, - 34.7379, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 39.4475, - 63.2309, - 136.576, - 131.774, - 133.582, - 139.793, - 144.552, - 154.588, - 156.853, - 165.411, - 172.347, - 158.304, - 108.565, - 103.181, - 85.1724, - 78.5426, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 34.4608, - 60.6191, - 135.035, - 131.593, - 135.807, - 144.967, - 147.986, - 159.688, - 163.708, - 172.084, - 180.383, - 164.111, - 112.446, - 107.492, - 92.4108, - 87.6429, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 42.029, - 67.7405, - 147.362, - 147.151, - 150.956, - 160.051, - 165.412, - 178.98, - 182.611, - 190.276, - 197.942, - 184.529, - 131.691, - 122.398, - 101.919, - 95.6129, - 41.6166, - 44.3309, - 40.5071, - 42.0127, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 52.8469, - 76.1312, - 164.442, - 167.055, - 174.091, - 183.095, - 184.461, - 197.069, - 203.315, - 214.152, - 218.819, - 200.012, - 142.555, - 126.957, - 104.333, - 97.9217, - 47.3522, - 40.6726, - 42.2983, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 54.4545, - 62.2385, - 97.1897, - 107.672, - 112.136, - 118.59, - 122.749, - 127.635, - 96.6729, - 97.5014, - 100.49, - 91.9638, - 49.7253, - 47.0907, - 53.8132, - 48.154, - 41.006, - 39.3456, - 37.241, - 38.2512, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 27.7897, - 37.4294, - 39.3973, - 43.9902, - 44.7326, - 49.0706, - 46.918, - 50.2413, - 46.7583, - 54.2284, - 50.1686, - 50.6717, - 47.5885, - 51.393, - 50.31, - 50.0658, - 45.7723, - 47.8415, - 38.0145, - 45.3427, - 45.1451, - 42.3807, - 42.3128, - 42.3515, - 61.4454, - 87.9024, - 178.222, - 175.99, - 180.207, - 189.254, - 188.357, - 194.164, - 184.555, - 187.238, - 186.724, - 167.208, - 114.255, - 107.468, - 96.8432, - 93.1377, - 41.6166, - 44.0362, - 40.5905, - 42.201, - 40.4416, - 41.9224, - 38.9362, - 38.7523, - 56.8425, - 77.0015, - 162.326, - 159.031, - 163.428, - 169.726, - 167.377, - 179.461, - 165.433, - 140.453, - 144.898, - 144.305, - 101.433, - 97.2058, - 86.1532, - 82.2764, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 49.5294, - 74.2807, - 163.04, - 155.226, - 149.508, - 156.335, - 157.139, - 166.377, - 168.007, - 174.004, - 180.992, - 165.504, - 112.133, - 103.68, - 93.2984, - 88.2411, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 50.2052, - 75.3768, - 158.096, - 153.897, - 154.367, - 159.764, - 160.553, - 172.794, - 176.017, - 181.31, - 188.91, - 176.213, - 120.439, - 111.134, - 96.1823, - 91.4155, - 41.6166, - 44.5323, - 38.9362, - 38.9362, - 43.5449, - 38.9362, - 38.9362, - 34.2146, - 52.1434, - 75.832, - 161.881, - 158.778, - 159.565, - 164.934, - 168.334, - 181.133, - 183.116, - 191.664, - 199.86, - 185.156, - 133.389, - 123.836, - 104.565, - 95.45, - 47.1942, - 40.5303, - 42.1295, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 50.4699, - 57.0338, - 89.5093, - 98.2043, - 102.354, - 109.235, - 113.742, - 117.252, - 89.3465, - 94.1742, - 94.6693, - 80.8635, - 45.54, - 44.5284, - 46.6009, - 40.4631, - 37.3388, - 35.3927, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 23.893, - 31.5964, - 39.8916, - 37.9223, - 44.8673, - 40.8063, - 44.4725, - 39.6935, - 49.063, - 47.7767, - 55.5106, - 49.2277, - 54.5874, - 47.7973, - 57.3912, - 46.3232, - 41.2949, - 36.6476, - 35.0666, - 42.8602, - 42.394, - 38.9362, - 38.9362, - 34.2146, - 51.0176, - 77.2111, - 163.975, - 164.133, - 171.458, - 182.86, - 185.69, - 200.225, - 207.667, - 218.618, - 223.04, - 203.129, - 145.857, - 132.971, - 112.46, - 101.449, - 46.327, - 44.3437, - 42.2325, - 40.5486, - 43.3079, - 38.9362, - 38.9362, - 35.4955, - 56.0097, - 80.9663, - 170.519, - 171.558, - 180.337, - 192.46, - 196.779, - 221.416, - 230.861, - 231.478, - 233.301, - 215.573, - 150.935, - 130.769, - 106.225, - 98.3201, - 47.3371, - 42.5317, - 40.7036, - 43.8262, - 41.7352, - 40.2955, - 38.9362, - 34.2146, - 50.2569, - 76.2754, - 165.894, - 166.405, - 171.05, - 179.005, - 181.102, - 193.768, - 194.582, - 198.032, - 202.401, - 183.049, - 126.684, - 114.322, - 95.7278, - 88.0385, - 41.6166, - 42.4275, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 40.6255, - 64.5003, - 143.482, - 140.56, - 146.776, - 156.185, - 158.851, - 170.161, - 173.71, - 180.588, - 188.605, - 172.501, - 117.267, - 107.136, - 92.3655, - 86.2837, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 42.286, - 67.9923, - 149.315, - 149.746, - 153.872, - 163.297, - 164.402, - 174.823, - 178.843, - 185.58, - 192.488, - 176.751, - 123.447, - 114.691, - 97.8279, - 90.8628, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 44.6952, - 54.0664, - 87.9593, - 96.1507, - 98.9663, - 105.266, - 108.893, - 111.455, - 81.9898, - 83.5974, - 85.7555, - 74.9004, - 43.9267, - 42.9032, - 44.3724, - 37.473, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 22.8747, - 34.9112, - 38.5529, - 41.7981, - 41.8734, - 43.2313, - 38.9733, - 43.6701, - 43.8712, - 47.0199, - 50.7143, - 47.5638, - 48.2188, - 44.6832, - 48.593, - 40.9827, - 33.5754, - 37.8721, - 33.5754, - 42.366, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 46.3487, - 71.8328, - 157.981, - 157.303, - 160.162, - 168.558, - 171.841, - 185.954, - 189.417, - 194.657, - 200.163, - 180.558, - 126.138, - 115.4, - 97.2838, - 91.373, - 41.6166, - 42.0957, - 40.4904, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 53.2926, - 76.1921, - 162.199, - 159.538, - 162.493, - 168.727, - 171.107, - 184.944, - 187.726, - 193.197, - 198.915, - 181.314, - 125.734, - 114.518, - 96.7329, - 90.0728, - 41.6166, - 41.5805, - 40.2179, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 47.0066, - 73.5484, - 161.117, - 157.988, - 160.225, - 165.749, - 166.594, - 180.533, - 183.688, - 190.568, - 196.046, - 176.356, - 120.142, - 110.104, - 93.4373, - 86.8373, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 43.4058, - 67.4768, - 151.342, - 151.186, - 155.036, - 163.369, - 168.158, - 181.031, - 182.766, - 191.158, - 199.768, - 186.927, - 136.072, - 127.73, - 105.675, - 97.2228, - 45.3655, - 40.7566, - 43.6201, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 48.4657, - 74.9302, - 164.579, - 164.54, - 169.419, - 179.131, - 182.12, - 195.115, - 194.666, - 205.14, - 217.956, - 191.46, - 137.172, - 131.869, - 115.503, - 104.751, - 51.149, - 45.9375, - 44.3028, - 33.5754, - 38.1535, - 33.5754, - 33.5754, - 26.1734, - 52.8389, - 61.1192, - 95.4662, - 107.007, - 114.54, - 122.832, - 127.042, - 128.877, - 99.644, - 102.101, - 104.116, - 93.6105, - 50.1247, - 47.0144, - 53.0985, - 45.0806, - 44.6686, - 37.4366, - 38.9231, - 33.5754, - 37.7027, - 33.5754, - 33.5754, - 26.1734, - 26.9605, - 34.6029, - 43.3024, - 40.565, - 48.1295, - 44.7838, - 52.0177, - 47.351, - 56.0725, - 52.216, - 60.4164, - 53.2734, - 58.5794, - 50.4741, - 62.2833, - 47.6445, - 47.7476, - 44.9171, - 40.51, - 44.8603, - 43.7901, - 42.2282, - 42.0918, - 37.9532, - 59.8601, - 83.8223, - 173.585, - 174.439, - 180.255, - 191.034, - 198.996, - 223.288, - 224.332, - 228.653, - 236.469, - 222.903, - 170.413, - 156.192, - 121.69, - 107.725, - 50.7132, - 49.0044, - 43.2153, - 43.3173, - 41.6221, - 40.2571, - 42.6657, - 34.2146, - 57.4315, - 82.7227, - 174.964, - 175.313, - 180.917, - 191.575, - 196.725, - 220.556, - 228.578, - 230.428, - 235.475, - 216.219, - 153.331, - 133.903, - 111.424, - 103.002, - 47.1273, - 48.58, - 43.7784, - 44.595, - 44.0435, - 38.9362, - 43.5038, - 36.6819, - 57.8219, - 80.3781, - 169.609, - 168.873, - 174.987, - 184.451, - 188.176, - 202.989, - 209.921, - 222.049, - 225.338, - 198.407, - 136.558, - 123.628, - 105.676, - 100.01, - 47.6686, - 48.7252, - 38.9362, - 43.5535, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 49.5892, - 76.2959, - 166.449, - 164.986, - 168.561, - 177.766, - 179.416, - 194.0, - 205.258, - 220.558, - 225.96, - 206.924, - 140.781, - 127.773, - 108.266, - 99.6405, - 47.4092, - 40.7942, - 42.6126, - 44.1141, - 38.9362, - 42.6497, - 38.9362, - 36.6819, - 47.8256, - 73.1196, - 163.686, - 161.049, - 163.083, - 171.578, - 175.851, - 192.346, - 201.453, - 218.077, - 224.355, - 203.692, - 139.746, - 122.483, - 101.139, - 95.1179, - 43.3981, - 42.426, - 43.6435, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 48.4555, - 55.6408, - 86.9337, - 92.244, - 95.1485, - 102.142, - 106.066, - 110.269, - 83.5964, - 88.2165, - 92.1577, - 83.6654, - 48.4356, - 47.031, - 59.4297, - 44.6869, - 41.5394, - 38.3123, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 24.5123, - 35.3661, - 37.2975, - 40.1954, - 39.5906, - 42.6903, - 40.9116, - 41.4746, - 46.9348, - 50.1979, - 53.2835, - 54.8975, - 55.734, - 54.0741, - 60.9568, - 53.3001, - 46.8896, - 41.1054, - 39.3078, - 41.5509, - 41.4085, - 41.3429, - 41.046, - 37.7176, - 47.2819, - 73.0506, - 162.641, - 161.747, - 168.063, - 179.831, - 186.613, - 204.564, - 218.925, - 231.067, - 240.167, - 224.568, - 168.531, - 150.237, - 122.516, - 109.776, - 50.769, - 49.1468, - 43.5484, - 36.5959, - 35.0726, - 35.0097, - 36.4128, - 28.6407, - 31.9025, - 39.9773, - 40.6932, - 44.5379, - 44.4366, - 48.3603, - 48.8608, - 54.5533, - 55.1346, - 60.0521, - 55.0052, - 58.5356, - 57.2107, - 58.0062, - 62.3018, - 57.6018, - 52.8137, - 48.5705, - 45.674, - 47.0158, - 48.88, - 43.4671, - 43.9847, - 41.3714, - 61.914, - 86.9467, - 181.086, - 181.449, - 187.562, - 199.634, - 206.893, - 236.446, - 243.442, - 249.831, - 252.822, - 237.092, - 178.633, - 160.063, - 129.085, - 120.251, - 55.3817, - 56.595, - 48.1278, - 49.9898, - 42.4037, - 42.1935, - 43.3778, - 39.4545, - 59.3723, - 84.6907, - 180.423, - 180.836, - 186.225, - 197.275, - 205.302, - 231.362, - 240.712, - 249.681, - 263.722, - 249.91, - 188.621, - 173.452, - 134.803, - 121.631, - 56.1207, - 57.5053, - 50.6343, - 49.1768, - 44.4999, - 44.3999, - 44.3927, - 42.8778, - 61.4139, - 86.7599, - 186.891, - 190.837, - 198.747, - 207.645, - 211.838, - 241.774, - 262.163, - 268.742, - 274.231, - 262.211, - 199.747, - 178.862, - 145.017, - 122.382, - 55.8128, - 58.1977, - 51.3724, - 48.2751, - 42.5604, - 39.8775, - 38.8493, - 38.2728, - 62.2958, - 66.9385, - 99.3759, - 111.364, - 120.912, - 129.247, - 133.878, - 135.635, - 106.555, - 114.328, - 119.437, - 104.461, - 50.5622, - 47.9985, - 60.8511, - 54.6621, - 49.8308, - 46.5466, - 46.981, - 41.9778, - 42.7805, - 39.0512, - 37.0722, - 31.2265, - 33.3839, - 38.6382, - 43.8889, - 43.7071, - 48.3729, - 47.5619, - 51.6026, - 50.1884, - 54.9051, - 53.3735, - 58.4586, - 53.8277, - 54.7098, - 50.0536, - 59.649, - 48.6389, - 48.6278, - 45.5337, - 44.6283, - 44.7576, - 44.0365, - 43.6571, - 43.2912, - 40.7662, - 53.3583, - 77.4842, - 168.633, - 166.629, - 172.569, - 182.683, - 184.18, - 199.375, - 207.337, - 223.627, - 228.76, - 212.11, - 141.785, - 128.243, - 108.852, - 100.156, - 47.3052, - 46.6773, - 44.1374, - 42.0815, - 40.4822, - 43.2651, - 38.9362, - 41.0257, - 56.3967, - 77.2287, - 163.718, - 162.252, - 165.364, - 174.903, - 178.224, - 190.424, - 186.102, - 192.375, - 182.099, - 166.713, - 117.976, - 111.192, - 98.874, - 93.7265, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 58.1613, - 81.4868, - 169.651, - 166.546, - 171.223, - 180.723, - 181.846, - 198.188, - 203.565, - 211.162, - 224.519, - 209.796, - 145.074, - 133.809, - 111.991, - 101.711, - 46.3424, - 47.2849, - 43.4706, - 43.8225, - 40.3381, - 41.6981, - 38.9362, - 37.268, - 52.7569, - 76.9014, - 167.819, - 166.542, - 171.1, - 182.61, - 189.547, - 206.086, - 218.413, - 229.232, - 234.381, - 219.312, - 163.146, - 143.124, - 117.897, - 105.469, - 51.9356, - 44.7061, - 48.4803, - 43.1027, - 43.7765, - 41.7532, - 40.3411, - 36.6819, - 55.673, - 80.1385, - 172.714, - 172.068, - 177.2, - 187.604, - 191.443, - 211.824, - 228.314, - 235.905, - 242.704, - 226.84, - 169.503, - 147.46, - 118.477, - 106.185, - 52.4005, - 50.5653, - 44.8634, - 39.272, - 39.0331, - 35.3188, - 36.9355, - 28.6407, - 56.9511, - 64.0667, - 100.283, - 108.994, - 113.569, - 123.17, - 131.912, - 135.384, - 102.516, - 105.33, - 107.235, - 95.356, - 49.603, - 46.9469, - 58.7117, - 48.3927, - 42.806, - 43.7419, - 37.1876, - 35.3266, - 37.1296, - 35.2908, - 33.5754, - 28.6407, - 27.5441, - 39.43, - 38.2675, - 45.2798, - 41.5173, - 48.8497, - 43.617, - 51.4193, - 46.1962, - 56.6401, - 52.1132, - 60.4468, - 50.5762, - 55.8279, - 56.1373, - 50.161, - 44.2489, - 40.4876, - 36.8065, - 43.5722, - 41.8963, - 43.0588, - 42.8575, - 39.1493, - 53.8225, - 77.2312, - 167.766, - 166.532, - 171.628, - 180.525, - 183.954, - 198.706, - 207.218, - 224.855, - 234.923, - 221.492, - 166.208, - 143.212, - 116.2, - 104.984, - 51.8005, - 45.1544, - 42.5807, - 43.6598, - 43.3088, - 41.5696, - 40.2248, - 39.1493, - 53.128, - 77.2528, - 169.719, - 169.251, - 173.099, - 182.456, - 188.343, - 208.288, - 229.42, - 239.671, - 267.364, - 248.213, - 181.888, - 168.402, - 132.791, - 117.956, - 55.6072, - 54.3442, - 50.4752, - 50.8564, - 43.314, - 44.1468, - 40.5975, - 42.4545, - 61.8237, - 83.8814, - 179.564, - 181.856, - 187.731, - 199.521, - 206.907, - 237.989, - 253.827, - 266.555, - 270.053, - 246.661, - 183.849, - 174.16, - 143.882, - 124.935, - 56.049, - 63.9428, - 52.3269, - 54.0955, - 48.7688, - 45.9853, - 48.4604, - 45.1396, - 62.8727, - 88.3991, - 185.27, - 192.319, - 204.369, - 218.967, - 224.971, - 240.286, - 237.957, - 240.219, - 244.074, - 226.79, - 166.368, - 142.611, - 122.706, - 114.208, - 55.3775, - 55.6276, - 53.8955, - 55.8114, - 50.6682, - 48.4403, - 44.376, - 40.9011, - 65.2421, - 91.8925, - 189.946, - 191.572, - 200.394, - 210.663, - 212.894, - 236.448, - 233.736, - 233.453, - 236.511, - 220.226, - 153.032, - 134.71, - 115.017, - 107.627, - 52.4706, - 52.9088, - 50.9459, - 44.8227, - 39.9164, - 38.9098, - 33.5754, - 32.7778, - 61.6482, - 65.0358, - 99.9091, - 111.456, - 120.101, - 130.216, - 135.28, - 140.58, - 104.011, - 88.5092, - 83.2487, - 73.5501, - 42.0348, - 43.4659, - 48.8099, - 45.4935, - 40.366, - 46.6843, - 40.1852, - 39.0875, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 33.7386, - 42.337, - 42.6413, - 46.089, - 46.1486, - 48.5683, - 48.7843, - 51.9728, - 53.842, - 56.9833, - 58.9695, - 58.6243, - 56.0087, - 53.9867, - 59.7684, - 55.4939, - 48.2649, - 47.0565, - 45.929, - 50.8348, - 50.7394, - 46.1121, - 43.418, - 44.6032, - 62.4982, - 85.6449, - 179.676, - 180.858, - 186.87, - 195.896, - 194.681, - 210.054, - 222.614, - 231.86, - 241.768, - 227.94, - 168.587, - 141.169, - 115.999, - 105.249, - 51.8878, - 52.8176, - 45.9322, - 45.8918, - 42.4742, - 44.1116, - 43.8686, - 39.1493, - 60.4223, - 81.9874, - 176.35, - 176.467, - 182.878, - 192.537, - 197.577, - 213.882, - 230.351, - 242.38, - 262.879, - 243.216, - 177.519, - 159.466, - 122.521, - 110.824, - 53.5261, - 54.2693, - 48.3948, - 48.0172, - 42.2829, - 42.1038, - 43.4927, - 42.023, - 58.3894, - 81.8399, - 176.384, - 177.36, - 183.556, - 198.519, - 205.436, - 227.474, - 234.088, - 243.318, - 265.212, - 239.713, - 173.032, - 158.125, - 126.418, - 115.155, - 53.1454, - 54.3226, - 43.603, - 44.5581, - 43.9224, - 43.6183, - 40.3855, - 46.0786, - 59.9735, - 85.7831, - 179.564, - 178.074, - 183.776, - 193.534, - 202.685, - 224.102, - 231.887, - 235.16, - 238.205, - 223.247, - 167.112, - 143.997, - 118.061, - 106.13, - 49.3416, - 48.2079, - 50.7191, - 42.6157, - 44.2914, - 44.1357, - 38.9362, - 43.5614, - 58.3104, - 80.0074, - 174.458, - 177.339, - 184.796, - 195.871, - 197.261, - 213.799, - 218.113, - 227.562, - 234.058, - 218.814, - 148.895, - 135.533, - 116.041, - 107.272, - 51.3315, - 44.657, - 42.9241, - 39.2029, - 33.5754, - 38.0633, - 33.5754, - 31.108, - 57.2114, - 63.6639, - 100.045, - 111.288, - 117.718, - 126.963, - 131.419, - 134.424, - 107.096, - 112.752, - 118.337, - 107.472, - 54.5663, - 53.7819, - 63.5704, - 51.5017, - 49.8785, - 40.9568, - 44.8437, - 39.4481, - 33.5754, - 38.5082, - 33.5754, - 31.108, - 26.6324, - 38.0767, - 41.3759, - 46.7934, - 45.9877, - 50.341, - 49.5434, - 53.1495, - 53.0766, - 60.5704, - 59.7, - 65.137, - 58.0635, - 62.0228, - 63.6229, - 52.0985, - 48.7609, - 48.5218, - 40.181, - 44.4068, - 44.392, - 49.7717, - 43.547, - 43.4167, - 53.6739, - 75.8674, - 166.477, - 166.347, - 170.96, - 179.656, - 187.298, - 207.784, - 213.997, - 226.449, - 235.684, - 222.381, - 159.521, - 136.712, - 108.779, - 98.1551, - 46.3624, - 47.4517, - 44.3835, - 42.5014, - 40.6603, - 44.0905, - 42.3432, - 43.2771, - 64.1893, - 87.4765, - 180.794, - 178.612, - 182.845, - 191.271, - 195.32, - 218.052, - 230.498, - 238.679, - 253.019, - 238.489, - 170.146, - 147.956, - 120.915, - 111.364, - 53.6997, - 53.7652, - 46.9082, - 46.1314, - 44.2691, - 44.1433, - 42.3492, - 43.268, - 62.7639, - 82.6671, - 174.061, - 173.19, - 179.425, - 189.626, - 193.48, - 212.039, - 219.85, - 232.32, - 242.581, - 229.13, - 170.995, - 158.034, - 128.315, - 116.175, - 54.3369, - 53.6069, - 49.9744, - 51.395, - 43.5976, - 47.8716, - 44.3114, - 45.1859, - 64.0479, - 86.0618, - 180.445, - 181.047, - 188.547, - 201.536, - 206.967, - 228.837, - 235.904, - 237.077, - 236.135, - 215.1, - 148.387, - 139.165, - 121.078, - 115.944, - 53.754, - 53.9184, - 48.6521, - 49.6297, - 51.881, - 47.4248, - 46.9379, - 53.6783, - 67.1196, - 95.1423, - 192.118, - 192.277, - 198.143, - 208.741, - 211.687, - 230.798, - 237.856, - 241.091, - 247.018, - 226.618, - 164.59, - 146.778, - 124.682, - 116.646, - 52.6861, - 55.5299, - 48.9042, - 44.359, - 40.135, - 37.9039, - 37.7682, - 35.9296, - 69.8911, - 77.8263, - 113.315, - 123.156, - 128.296, - 135.746, - 140.246, - 144.86, - 114.824, - 112.984, - 112.531, - 101.288, - 49.8924, - 53.5724, - 57.756, - 54.0432, - 50.5727, - 46.704, - 46.1319, - 43.6732, - 38.6065, - 39.5153, - 35.6108, - 37.4501, - 35.7427, - 44.4723, - 43.7064, - 47.9957, - 47.9166, - 51.403, - 50.5861, - 54.8154, - 54.425, - 59.4849, - 60.5647, - 62.6532, - 54.5317, - 58.414, - 58.5186, - 61.5682, - 47.9798, - 50.1982, - 47.7063, - 53.9013, - 50.4018, - 52.8327, - 44.7234, - 51.7647, - 66.3187, - 91.7848, - 187.27, - 189.457, - 198.423, - 211.032, - 213.815, - 234.617, - 239.317, - 241.594, - 247.38, - 231.822, - 172.243, - 158.356, - 132.854, - 123.499, - 56.4571, - 64.7135, - 52.5461, - 56.6472, - 51.6279, - 51.6924, - 49.1962, - 55.2363, - 66.8192, - 95.584, - 195.199, - 198.176, - 208.016, - 222.079, - 220.943, - 240.802, - 241.053, - 245.115, - 260.336, - 243.385, - 176.091, - 160.173, - 131.819, - 122.342, - 58.6815, - 61.4263, - 52.1459, - 54.1163, - 50.6227, - 53.0123, - 46.5274, - 52.1488, - 67.1726, - 97.4161, - 198.747, - 199.237, - 205.219, - 215.563, - 217.295, - 237.997, - 238.132, - 234.513, - 229.294, - 204.344, - 135.409, - 125.779, - 107.001, - 101.285, - 41.6166, - 45.4419, - 45.2936, - 38.9362, - 44.7869, - 38.9362, - 42.7183, - 43.5263, - 64.9357, - 86.6601, - 180.328, - 180.285, - 185.117, - 194.753, - 197.727, - 215.212, - 216.522, - 213.195, - 209.67, - 188.519, - 127.952, - 124.773, - 108.067, - 103.729, - 47.8879, - 49.6724, - 43.9867, - 44.9625, - 38.9362, - 44.9278, - 41.0689, - 45.6282, - 65.3795, - 86.7174, - 181.402, - 182.158, - 189.276, - 199.12, - 199.549, - 213.588, - 214.044, - 215.864, - 229.554, - 204.339, - 139.526, - 132.364, - 111.523, - 104.501, - 48.5745, - 48.5515, - 48.4871, - 37.4321, - 39.2103, - 33.5754, - 33.5754, - 38.6193, - 60.9236, - 62.5945, - 95.6751, - 104.134, - 108.559, - 115.898, - 120.478, - 125.006, - 94.7252, - 95.6205, - 97.6665, - 83.9081, - 45.7082, - 49.8033, - 48.2965, - 43.4417, - 41.6877, - 40.6511, - 37.0641, - 35.2597, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 24.8134, - 35.6271, - 39.5227, - 39.6441, - 43.0601, - 42.4848, - 44.719, - 44.0115, - 47.7842, - 45.9234, - 49.3928, - 46.6474, - 46.8926, - 48.7065, - 50.2997, - 41.2534, - 38.029, - 38.477, - 36.6484, - 40.4648, - 43.3602, - 38.9362, - 38.9362, - 45.6027, - 55.2059, - 74.126, - 158.068, - 156.523, - 159.791, - 165.6, - 165.153, - 175.365, - 177.517, - 185.651, - 197.488, - 182.35, - 124.248, - 118.157, - 99.1892, - 93.6708, - 43.3983, - 42.4135, - 43.93, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.2829, - 76.0429, - 162.779, - 160.46, - 163.822, - 172.009, - 175.949, - 192.34, - 195.349, - 200.407, - 208.388, - 190.793, - 132.047, - 126.756, - 106.829, - 100.013, - 45.5902, - 40.8513, - 44.6885, - 38.9362, - 44.5805, - 38.9362, - 44.3847, - 41.6166, - 67.2318, - 87.1405, - 179.953, - 176.323, - 179.99, - 186.844, - 186.995, - 199.812, - 200.291, - 205.487, - 218.351, - 193.243, - 131.123, - 123.133, - 103.517, - 98.3167, - 43.6225, - 42.8175, - 44.6398, - 38.9362, - 44.3915, - 38.9362, - 38.9362, - 46.3771, - 61.653, - 80.1772, - 169.781, - 166.737, - 170.718, - 178.621, - 179.829, - 192.999, - 191.928, - 192.663, - 196.203, - 176.099, - 117.314, - 111.532, - 93.9735, - 88.894, - 41.6166, - 38.9362, - 41.4919, - 40.1882, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.3468, - 66.268, - 151.055, - 152.752, - 159.51, - 168.482, - 170.228, - 182.46, - 184.325, - 190.338, - 199.692, - 183.803, - 125.989, - 122.513, - 101.243, - 95.6889, - 41.6166, - 44.1988, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.6804, - 53.5744, - 84.9006, - 93.6935, - 98.7111, - 106.331, - 109.715, - 112.617, - 84.1476, - 87.7816, - 92.6876, - 81.1941, - 45.728, - 51.9171, - 48.4406, - 40.5704, - 37.3085, - 35.3701, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 24.2141, - 34.569, - 39.1216, - 39.9232, - 43.3933, - 42.762, - 45.6268, - 45.7289, - 49.975, - 48.7901, - 54.0039, - 50.7864, - 50.8207, - 53.7933, - 49.2994, - 46.4678, - 46.6811, - 40.0557, - 38.8491, - 42.2614, - 40.5767, - 43.5412, - 38.9362, - 45.798, - 58.4186, - 77.6283, - 165.984, - 163.591, - 168.404, - 177.218, - 180.179, - 195.66, - 196.958, - 198.702, - 201.357, - 180.987, - 121.235, - 118.733, - 98.4158, - 93.2084, - 41.6166, - 44.045, - 38.9362, - 43.0121, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.8956, - 72.0158, - 155.043, - 153.28, - 157.458, - 164.742, - 168.062, - 183.153, - 186.552, - 192.223, - 200.009, - 179.88, - 118.921, - 117.475, - 97.2274, - 90.396, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.191, - 65.218, - 144.693, - 142.639, - 148.684, - 157.615, - 161.36, - 176.141, - 179.804, - 186.846, - 196.418, - 179.344, - 120.526, - 117.332, - 96.5963, - 89.8572, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 43.1859, - 62.7987, - 144.703, - 145.544, - 153.793, - 162.912, - 166.476, - 181.202, - 184.302, - 190.361, - 198.962, - 181.775, - 123.003, - 119.871, - 98.6093, - 91.7976, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.5906, - 66.0527, - 146.916, - 147.268, - 154.108, - 162.22, - 163.907, - 175.631, - 177.439, - 184.156, - 194.116, - 177.51, - 119.076, - 115.478, - 94.8228, - 88.5889, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.4164, - 54.322, - 84.8721, - 90.6937, - 92.7119, - 98.6471, - 101.689, - 104.714, - 76.1689, - 78.5591, - 82.7785, - 71.8748, - 43.348, - 47.668, - 38.0057, - 38.8605, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 28.6054, - 35.6416, - 37.6241, - 40.3923, - 38.4898, - 40.7942, - 42.6306, - 46.4935, - 46.0358, - 50.7198, - 48.9622, - 49.2702, - 54.8801, - 54.0361, - 40.3988, - 38.5616, - 38.9802, - 33.5754, - 43.1845, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 54.796, - 71.5811, - 154.85, - 156.313, - 163.555, - 174.364, - 179.6, - 197.619, - 202.892, - 214.605, - 227.549, - 200.935, - 135.27, - 130.488, - 104.782, - 98.0332, - 43.5434, - 42.6625, - 42.228, - 40.5515, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 59.8837, - 75.1205, - 163.116, - 165.033, - 173.55, - 185.172, - 190.162, - 208.686, - 218.262, - 229.604, - 236.888, - 219.93, - 149.054, - 143.263, - 117.099, - 109.592, - 53.1358, - 47.151, - 47.5321, - 44.4344, - 42.2797, - 40.6172, - 42.072, - 43.1879, - 65.6485, - 80.8257, - 172.55, - 172.192, - 179.637, - 190.879, - 194.41, - 211.104, - 215.092, - 223.637, - 226.848, - 198.025, - 132.781, - 129.177, - 104.439, - 98.3105, - 45.4214, - 40.7682, - 44.1997, - 40.6239, - 42.259, - 38.9362, - 38.9362, - 41.6166, - 59.9208, - 75.2729, - 163.059, - 162.456, - 167.895, - 176.419, - 178.285, - 193.297, - 197.677, - 206.612, - 223.93, - 199.654, - 136.619, - 133.754, - 108.153, - 100.868, - 45.6362, - 40.867, - 44.5546, - 42.2175, - 40.5334, - 38.9362, - 38.9362, - 41.6166, - 56.6981, - 72.0095, - 160.365, - 161.404, - 169.072, - 180.346, - 184.657, - 201.266, - 204.642, - 214.671, - 226.751, - 199.908, - 134.31, - 131.547, - 106.28, - 99.0027, - 47.1671, - 42.5221, - 40.6585, - 38.5523, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.1872, - 59.8296, - 93.7304, - 103.044, - 110.072, - 118.312, - 121.851, - 124.789, - 94.9021, - 93.87, - 97.8319, - 86.1964, - 46.8296, - 54.9564, - 50.154, - 45.2214, - 44.1069, - 40.336, - 35.4415, - 39.0305, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6378, - 37.4826, - 43.7525, - 40.1368, - 47.5309, - 42.4114, - 49.2198, - 43.2365, - 49.214, - 43.5373, - 51.7506, - 45.2187, - 50.5429, - 55.5455, - 50.6289, - 46.6364, - 45.7194, - 45.7668, - 38.4806, - 39.2465, - 35.5526, - 37.5134, - 33.5754, - 39.4006, - 24.6627, - 40.6223, - 33.0678, - 40.9803, - 41.2902, - 48.2137, - 43.7281, - 51.6788, - 45.943, - 52.7711, - 46.2324, - 51.7696, - 41.3901, - 58.478, - 47.3869, - 47.3977, - 44.4679, - 39.991, - 37.286, - 49.923, - 44.828, - 44.6282, - 38.9362, - 47.0827, - 68.3536, - 81.0228, - 170.196, - 170.126, - 175.03, - 182.358, - 181.258, - 190.342, - 182.748, - 172.862, - 174.714, - 155.024, - 104.185, - 114.004, - 95.1747, - 93.3787, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 67.9698, - 82.7252, - 170.247, - 169.862, - 166.294, - 170.961, - 170.51, - 179.711, - 179.086, - 181.747, - 186.517, - 166.365, - 108.461, - 114.837, - 94.2927, - 90.8465, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.1259, - 66.2948, - 142.839, - 137.14, - 137.998, - 144.772, - 147.424, - 158.352, - 157.649, - 161.553, - 168.648, - 153.012, - 98.1798, - 101.503, - 80.4461, - 77.1089, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.4571, - 59.287, - 135.191, - 133.021, - 136.841, - 146.066, - 150.061, - 161.373, - 162.71, - 168.874, - 177.571, - 161.949, - 106.734, - 111.191, - 89.1601, - 84.2606, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.4901, - 52.1672, - 81.718, - 87.1399, - 88.5327, - 93.8173, - 97.2944, - 100.286, - 72.9623, - 74.8143, - 78.2353, - 66.7027, - 38.5575, - 44.3914, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 26.625, - 38.3819, - 35.0121, - 37.3239, - 39.6494, - 42.3622, - 40.4722, - 41.9708, - 40.438, - 42.1871, - 40.2419, - 37.7698, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.665, - 63.0871, - 139.164, - 136.008, - 139.765, - 148.789, - 151.506, - 162.108, - 162.81, - 167.866, - 175.352, - 159.845, - 107.686, - 110.156, - 88.84, - 84.7485, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.57, - 62.1903, - 139.665, - 137.439, - 146.16, - 155.907, - 157.758, - 168.679, - 168.941, - 173.155, - 179.648, - 161.908, - 107.204, - 108.056, - 87.2314, - 85.0369, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.5635, - 65.1211, - 144.1, - 143.306, - 152.966, - 163.04, - 165.568, - 177.386, - 178.086, - 180.615, - 187.087, - 168.082, - 111.046, - 113.324, - 91.73, - 88.5886, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.5353, - 70.5196, - 147.227, - 142.922, - 146.641, - 152.098, - 150.493, - 157.365, - 155.751, - 156.37, - 159.539, - 144.029, - 94.0475, - 99.2877, - 80.8626, - 78.383, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.9237, - 61.218, - 136.811, - 134.204, - 137.861, - 145.77, - 148.382, - 158.182, - 158.236, - 162.519, - 169.28, - 154.223, - 103.192, - 108.057, - 89.074, - 86.7985, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.0167, - 48.8811, - 79.475, - 87.0995, - 91.2371, - 97.4566, - 99.244, - 99.8961, - 70.9309, - 71.3896, - 73.1924, - 62.9195, - 41.6023, - 41.5555, - 39.0719, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 25.9684, - 38.6545, - 36.9889, - 42.3147, - 36.8098, - 43.4019, - 38.8707, - 44.1575, - 38.8925, - 43.8659, - 38.457, - 38.1652, - 36.8256, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.8754, - 55.6984, - 128.385, - 124.736, - 128.6, - 136.345, - 139.696, - 149.996, - 150.244, - 154.838, - 162.169, - 144.885, - 94.5631, - 95.4062, - 74.8387, - 70.3809, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.3533, - 59.3704, - 131.8, - 126.771, - 129.179, - 136.653, - 140.074, - 150.223, - 149.306, - 151.38, - 155.952, - 137.874, - 89.496, - 91.2082, - 71.6088, - 68.2371, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.5145, - 59.8162, - 132.202, - 128.148, - 131.643, - 138.954, - 141.423, - 150.454, - 149.405, - 152.197, - 157.582, - 141.112, - 93.2033, - 95.7944, - 75.591, - 70.908, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.1675, - 57.1151, - 127.558, - 126.84, - 135.297, - 146.677, - 150.604, - 160.103, - 159.802, - 165.264, - 173.031, - 156.734, - 104.788, - 103.796, - 81.2013, - 74.7141, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 42.5157, - 57.0065, - 130.553, - 130.625, - 140.224, - 152.92, - 156.757, - 169.636, - 171.111, - 176.9, - 184.412, - 165.89, - 111.399, - 110.72, - 88.5851, - 83.127, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 48.8516, - 46.551, - 77.7673, - 88.5776, - 95.4283, - 103.711, - 107.776, - 110.921, - 83.5935, - 85.1069, - 87.178, - 73.1912, - 45.0885, - 42.4597, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 26.091, - 37.3983, - 38.5478, - 42.3876, - 42.2935, - 47.2746, - 47.0837, - 51.2853, - 48.4349, - 52.3097, - 48.0048, - 55.4498, - 48.6646, - 42.501, - 41.1212, - 33.5754, - 38.2862, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 59.0797, - 69.4193, - 154.601, - 158.198, - 166.803, - 177.072, - 179.255, - 191.397, - 194.168, - 199.488, - 205.296, - 183.341, - 126.491, - 120.901, - 95.9272, - 89.8583, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 60.0303, - 69.7739, - 156.544, - 160.504, - 170.77, - 183.428, - 186.023, - 198.74, - 200.959, - 205.846, - 211.247, - 188.342, - 130.249, - 123.783, - 98.4286, - 92.2971, - 41.6166, - 41.9734, - 40.4334, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 62.6208, - 72.7506, - 158.489, - 161.143, - 169.413, - 180.156, - 183.308, - 198.43, - 202.572, - 207.821, - 212.641, - 188.503, - 129.776, - 123.196, - 99.019, - 94.6956, - 41.6166, - 44.3273, - 38.9362, - 43.928, - 38.9362, - 38.9362, - 38.9362, - 45.8383, - 64.596, - 74.8103, - 164.623, - 166.015, - 174.575, - 185.642, - 187.617, - 200.787, - 203.993, - 208.983, - 213.441, - 189.872, - 131.372, - 125.1, - 100.244, - 94.901, - 41.6166, - 44.2148, - 40.6097, - 42.2053, - 38.9362, - 38.9362, - 43.3734, - 41.6166, - 65.2067, - 73.6573, - 160.988, - 164.809, - 174.434, - 185.759, - 187.449, - 200.404, - 203.903, - 209.014, - 213.488, - 189.745, - 131.276, - 125.102, - 101.175, - 97.414, - 45.4534, - 42.5778, - 42.3984, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 62.744, - 55.0407, - 86.9558, - 97.5896, - 105.833, - 115.434, - 120.585, - 125.116, - 95.9509, - 96.7417, - 97.4233, - 81.6346, - 48.8256, - 47.5436, - 40.3227, - 39.64, - 37.4331, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 20.1719, - 25.6276, - 31.9693, - 31.5822, - 38.7868, - 37.4961, - 44.2482, - 39.8036, - 45.5593, - 40.3097, - 45.3344, - 43.6775, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.1518, - 56.9907, - 131.012, - 131.421, - 140.852, - 152.365, - 155.988, - 167.422, - 168.094, - 172.533, - 178.454, - 159.371, - 111.394, - 107.065, - 85.1635, - 80.5454, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.7241, - 60.577, - 135.704, - 135.434, - 144.362, - 154.924, - 158.367, - 170.544, - 172.569, - 177.51, - 183.482, - 165.165, - 117.313, - 113.553, - 91.7146, - 87.583, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.4419, - 64.3307, - 141.258, - 139.92, - 148.044, - 159.333, - 162.077, - 176.676, - 179.003, - 181.664, - 185.123, - 163.77, - 116.48, - 113.412, - 90.9948, - 85.6355, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.746, - 63.9027, - 140.09, - 140.719, - 150.38, - 160.583, - 163.43, - 175.717, - 176.27, - 177.369, - 178.099, - 158.408, - 115.173, - 112.87, - 91.4517, - 87.768, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 56.237, - 66.1249, - 143.366, - 141.158, - 150.097, - 159.625, - 160.392, - 168.968, - 166.219, - 166.629, - 169.473, - 151.276, - 108.295, - 106.343, - 87.0961, - 85.4366, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 49.864, - 43.4675, - 71.7492, - 78.8618, - 82.3028, - 88.4725, - 90.9685, - 90.932, - 62.229, - 61.0073, - 59.4274, - 49.1988, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 19.7076, - 31.681, - 32.2047, - 38.485, - 33.0662, - 42.1867, - 38.2387, - 43.4806, - 38.7768, - 36.7903, - 38.5198, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 25.3508, - 30.7673, - 32.7306, - 37.465, - 39.8992, - 39.5392, - 41.9212, - 40.9873, - 43.1902, - 41.2366, - 44.3939, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.618, - 59.0242, - 131.871, - 130.507, - 137.836, - 148.637, - 152.657, - 164.27, - 164.987, - 168.923, - 173.96, - 154.434, - 110.14, - 103.105, - 80.8263, - 75.5258, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.6475, - 57.9848, - 130.439, - 130.532, - 139.786, - 151.225, - 155.046, - 166.251, - 165.839, - 168.663, - 172.689, - 153.03, - 109.24, - 102.682, - 80.4704, - 74.822, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.7852, - 57.5463, - 129.876, - 129.889, - 139.373, - 150.596, - 152.793, - 162.025, - 162.733, - 167.264, - 172.652, - 153.462, - 109.037, - 101.341, - 78.8495, - 72.9751, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.4737, - 57.6887, - 129.707, - 129.516, - 139.048, - 151.044, - 155.496, - 167.615, - 168.156, - 172.089, - 177.028, - 155.951, - 109.521, - 100.77, - 78.4132, - 73.6942, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.7376, - 42.6857, - 70.6339, - 78.9823, - 85.3168, - 94.3621, - 98.9358, - 102.21, - 75.0765, - 74.8579, - 75.4814, - 61.6135, - 35.9619, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 22.072, - 30.1981, - 32.2823, - 39.2714, - 42.8957, - 43.5271, - 46.8531, - 44.6373, - 46.7388, - 43.4056, - 39.6717, - 37.0879, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.4657, - 58.0903, - 130.288, - 131.094, - 141.773, - 153.251, - 157.664, - 169.745, - 170.106, - 172.848, - 176.149, - 155.205, - 113.493, - 105.281, - 83.841, - 78.8944, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 50.6625, - 59.6821, - 133.043, - 133.997, - 143.227, - 154.334, - 157.757, - 169.02, - 169.426, - 172.232, - 175.548, - 154.298, - 112.567, - 103.536, - 82.148, - 77.8491, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.5019, - 58.6716, - 131.694, - 131.901, - 140.553, - 152.198, - 156.305, - 167.252, - 164.674, - 165.536, - 169.221, - 151.37, - 113.424, - 106.892, - 86.4543, - 82.5826, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.1658, - 59.8721, - 133.158, - 132.57, - 140.109, - 144.527, - 142.785, - 150.76, - 148.048, - 144.695, - 145.241, - 129.063, - 98.8065, - 92.9612, - 74.7919, - 72.4367, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.2639, - 57.5446, - 126.422, - 124.585, - 129.688, - 137.296, - 139.939, - 147.115, - 145.831, - 148.947, - 154.322, - 137.01, - 103.331, - 98.2235, - 80.1669, - 77.3086, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.973, - 40.9816, - 67.1259, - 73.9024, - 77.0225, - 82.8645, - 84.5272, - 84.4294, - 56.5795, - 55.1059, - 55.1031, - 45.2891, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 22.1999, - 34.5369, - 35.7034, - 38.084, - 37.2527, - 38.9203, - 36.7207, - 32.2255, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.261, - 59.7283, - 128.766, - 125.528, - 129.904, - 139.063, - 145.432, - 153.708, - 152.691, - 156.546, - 158.002, - 139.534, - 102.772, - 94.8214, - 74.8327, - 71.2853, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.941, - 57.8949, - 127.911, - 125.33, - 132.007, - 142.564, - 148.849, - 159.8, - 159.5, - 162.58, - 166.638, - 148.998, - 106.662, - 97.9036, - 76.9297, - 72.6832, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.4554, - 58.0579, - 128.346, - 126.164, - 132.655, - 143.22, - 148.846, - 159.463, - 159.633, - 163.557, - 168.312, - 150.523, - 107.713, - 98.2841, - 76.9482, - 72.654, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.3871, - 56.1121, - 126.516, - 124.749, - 131.16, - 141.454, - 147.396, - 158.539, - 158.873, - 163.271, - 168.513, - 150.666, - 108.289, - 99.2357, - 79.244, - 76.2735, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.0569, - 58.4865, - 128.073, - 122.333, - 124.24, - 132.6, - 135.863, - 140.863, - 138.111, - 140.216, - 144.094, - 130.291, - 98.0194, - 92.6835, - 73.5902, - 70.0135, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 55.4484, - 47.5295, - 68.7474, - 67.4079, - 66.2252, - 70.5351, - 72.19, - 71.8777, - 44.8802, - 44.9103, - 46.7946, - 43.7703, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.5183, - 29.1952, - 32.8783, - 34.2161, - 29.8992, - 19.8317, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.4217, - 73.522, - 127.884, - 117.287, - 117.576, - 122.589, - 125.968, - 132.455, - 131.446, - 132.993, - 137.568, - 124.207, - 91.2675, - 84.896, - 69.4161, - 68.7621, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 67.0101, - 74.7318, - 127.005, - 116.056, - 116.843, - 123.564, - 128.612, - 136.509, - 136.04, - 137.897, - 143.255, - 127.995, - 92.827, - 84.9527, - 68.3781, - 68.2545, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.7985, - 73.2803, - 125.888, - 116.456, - 119.148, - 126.412, - 131.549, - 139.786, - 138.742, - 140.214, - 144.989, - 129.032, - 93.9899, - 86.9202, - 69.1429, - 67.8901, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.1745, - 72.2689, - 125.993, - 119.515, - 122.365, - 130.581, - 136.978, - 147.005, - 146.223, - 147.983, - 152.423, - 136.822, - 97.0388, - 89.0893, - 70.572, - 68.6685, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.2383, - 71.4699, - 125.446, - 118.764, - 122.007, - 130.454, - 136.884, - 146.98, - 147.343, - 150.733, - 156.2, - 141.69, - 100.288, - 90.6473, - 71.6186, - 69.2678, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 59.944, - 52.4924, - 67.7256, - 73.4819, - 78.8701, - 86.7889, - 88.8722, - 89.3524, - 60.3137, - 57.9955, - 58.1322, - 54.376, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 22.4707, - 30.1791, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 72.3097, - 76.8391, - 127.519, - 117.105, - 115.904, - 119.458, - 120.974, - 123.411, - 119.801, - 120.157, - 127.008, - 124.462, - 88.589, - 86.3852, - 74.6652, - 77.0008, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.1916, - 72.6312, - 127.732, - 119.847, - 120.59, - 125.347, - 127.831, - 131.89, - 128.78, - 129.346, - 133.731, - 128.183, - 87.2977, - 85.2861, - 71.8305, - 72.3397, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 65.8689, - 69.3066, - 127.32, - 119.222, - 120.973, - 126.755, - 130.442, - 134.389, - 129.566, - 128.909, - 132.177, - 125.93, - 84.5782, - 82.3879, - 70.5891, - 73.0204, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 78.0021, - 76.3913, - 129.366, - 118.233, - 116.262, - 120.445, - 121.943, - 124.101, - 119.786, - 119.559, - 125.478, - 121.735, - 85.9076, - 85.7267, - 76.2722, - 80.4814, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 91.0597, - 82.4842, - 130.491, - 118.391, - 116.901, - 121.006, - 123.657, - 126.46, - 122.166, - 121.846, - 127.62, - 125.255, - 88.2466, - 86.7746, - 76.9748, - 79.3884, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 25.5477, - 31.0823, - 32.2828, - 32.9553, - 22.6726, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 99.5535, - 95.2738, - 133.538, - 120.15, - 119.917, - 124.632, - 128.682, - 132.156, - 127.853, - 128.219, - 136.58, - 130.147, - 92.3651, - 88.6475, - 76.4276, - 79.7062, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 83.5307, - 79.5097, - 129.444, - 118.909, - 119.739, - 126.674, - 132.345, - 138.108, - 134.918, - 135.191, - 140.948, - 131.72, - 89.7184, - 86.8222, - 72.4053, - 72.2565, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.4481, - 72.4592, - 126.736, - 119.181, - 121.675, - 130.656, - 138.5, - 145.204, - 141.087, - 140.645, - 143.673, - 132.354, - 88.1632, - 83.9694, - 69.513, - 70.7331, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 63.5094, - 66.6109, - 126.391, - 120.227, - 123.41, - 131.874, - 138.316, - 144.478, - 142.469, - 144.328, - 148.87, - 135.078, - 89.1987, - 83.6869, - 68.8725, - 68.9389, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.0378, - 63.4393, - 127.618, - 121.761, - 125.02, - 134.294, - 141.91, - 148.676, - 144.747, - 145.058, - 151.587, - 142.21, - 98.739, - 94.2967, - 78.1007, - 76.5967, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 47.3187, - 45.2722, - 73.0921, - 80.1723, - 84.3367, - 90.0762, - 91.7398, - 88.3912, - 54.836, - 50.1135, - 55.9587, - 57.184, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 19.4289, - 21.0097, - 24.4215, - 22.5192, - 19.7415, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 114.738, - 102.669, - 137.856, - 123.972, - 119.339, - 119.665, - 119.876, - 117.818, - 113.257, - 113.242, - 124.573, - 125.817, - 96.8943, - 99.7664, - 91.3891, - 93.7498, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 110.961, - 98.6396, - 136.274, - 122.907, - 119.332, - 120.349, - 122.97, - 122.675, - 118.809, - 118.838, - 127.342, - 122.209, - 89.8272, - 90.54, - 81.0463, - 82.6037, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 94.1034, - 94.1191, - 135.581, - 121.537, - 121.171, - 125.717, - 129.551, - 132.837, - 128.915, - 129.117, - 138.826, - 134.495, - 97.3665, - 93.6614, - 78.8909, - 77.8295, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 19.8353, - 23.0273, - 27.8795, - 26.8059, - 21.6979, - 21.4008, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 92.8133, - 84.2545, - 130.528, - 119.266, - 119.426, - 125.485, - 130.36, - 135.432, - 133.502, - 135.116, - 139.853, - 129.065, - 87.4191, - 84.5519, - 72.8685, - 75.5988, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 83.19, - 61.5623, - 73.6895, - 74.9336, - 77.3297, - 84.5303, - 87.6446, - 86.1237, - 58.5974, - 56.6508, - 61.8021, - 61.2189, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 24.7126, - 33.4857, - 36.9278, - 36.2823, - 37.83, - 27.1587, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 69.6088, - 71.1527, - 130.146, - 123.84, - 124.117, - 128.541, - 131.09, - 134.404, - 130.221, - 130.22, - 138.862, - 131.599, - 91.947, - 88.8011, - 74.8077, - 75.0668, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 70.2025, - 73.4774, - 126.911, - 119.793, - 122.315, - 128.879, - 134.0, - 140.083, - 135.93, - 135.048, - 139.393, - 129.255, - 86.6583, - 83.4962, - 70.0841, - 72.3256, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.6817, - 73.7693, - 128.025, - 117.855, - 118.755, - 124.472, - 127.666, - 132.636, - 129.515, - 129.878, - 137.304, - 129.34, - 88.1288, - 85.5871, - 71.2613, - 71.0198, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.8549, - 75.6644, - 127.325, - 119.991, - 121.515, - 128.255, - 132.946, - 137.602, - 132.644, - 131.563, - 138.128, - 129.321, - 87.5697, - 84.1435, - 70.062, - 72.2373, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.044, - 94.4318, - 135.886, - 121.886, - 118.155, - 119.194, - 118.73, - 116.641, - 112.647, - 112.623, - 123.708, - 123.555, - 93.4249, - 96.4195, - 88.614, - 91.4584, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 126.462, - 96.0492, - 93.8856, - 88.2251, - 84.7254, - 84.5289, - 80.8154, - 72.9443, - 47.6319, - 47.4507, - 61.843, - 76.1884, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.7678, - 39.7392, - 34.0452, - 22.7394, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.5481, - 42.708, - 43.4169, - 45.7942, - 45.0442, - 47.5569, - 48.6458, - 174.849, - 153.449, - 177.673, - 147.391, - 138.446, - 135.236, - 134.479, - 124.883, - 117.458, - 116.642, - 128.417, - 131.807, - 103.501, - 105.083, - 100.197, - 104.543, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 142.716, - 130.147, - 163.64, - 144.764, - 136.399, - 132.799, - 135.096, - 126.625, - 119.956, - 122.185, - 135.585, - 136.796, - 107.765, - 107.344, - 98.3049, - 100.079, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 125.637, - 106.366, - 139.745, - 124.877, - 119.956, - 120.732, - 121.123, - 117.948, - 113.594, - 113.361, - 125.578, - 126.851, - 96.1341, - 98.1574, - 88.7883, - 91.4962, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 120.558, - 103.439, - 139.968, - 125.361, - 123.454, - 125.02, - 126.631, - 123.953, - 119.157, - 114.755, - 127.02, - 129.035, - 100.066, - 101.623, - 92.802, - 94.2563, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 107.704, - 93.0854, - 132.757, - 122.881, - 122.966, - 126.735, - 128.363, - 128.619, - 123.695, - 123.212, - 132.763, - 130.237, - 93.9888, - 89.7531, - 79.1855, - 80.1595, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 101.81, - 76.0559, - 81.1263, - 78.6627, - 76.5332, - 80.1479, - 78.5346, - 73.1357, - 50.0273, - 48.8097, - 59.8428, - 68.167, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 125.204, - 106.47, - 139.239, - 123.677, - 120.589, - 125.521, - 128.534, - 128.732, - 125.019, - 124.147, - 131.156, - 123.959, - 90.0218, - 91.3038, - 82.2888, - 84.6191, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.387, - 90.1349, - 131.867, - 119.908, - 120.33, - 125.113, - 127.775, - 130.39, - 126.099, - 124.502, - 131.187, - 122.747, - 86.2379, - 87.0879, - 77.8866, - 80.6119, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 95.5569, - 86.5121, - 130.962, - 118.795, - 118.531, - 125.894, - 129.412, - 131.609, - 128.061, - 126.2, - 130.611, - 122.206, - 85.1413, - 85.4294, - 75.5376, - 78.2451, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.6874, - 81.2401, - 129.486, - 118.235, - 118.087, - 122.448, - 125.24, - 130.742, - 129.072, - 127.44, - 131.252, - 122.608, - 85.2104, - 85.6105, - 75.2164, - 78.2822, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 92.5747, - 83.2297, - 130.295, - 118.614, - 117.865, - 124.541, - 127.485, - 130.294, - 126.595, - 124.66, - 128.393, - 119.99, - 86.4495, - 87.4627, - 77.4643, - 80.062, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 105.852, - 77.818, - 82.059, - 78.4418, - 77.7328, - 80.9114, - 79.8116, - 74.8111, - 47.9128, - 49.0545, - 58.6146, - 65.9774, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 20.4555, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 148.924, - 123.952, - 150.773, - 131.07, - 124.398, - 126.319, - 131.069, - 129.249, - 125.114, - 124.165, - 130.74, - 125.235, - 94.2605, - 97.233, - 89.9435, - 93.3676, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 123.639, - 104.539, - 138.192, - 122.768, - 118.668, - 122.101, - 125.639, - 125.343, - 122.298, - 121.629, - 126.607, - 123.003, - 92.3402, - 93.3157, - 85.1471, - 88.1028, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 109.703, - 96.0474, - 134.96, - 121.46, - 117.841, - 122.672, - 125.775, - 127.79, - 125.056, - 123.622, - 128.198, - 121.315, - 87.6117, - 87.8139, - 78.0084, - 80.9289, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 91.3591, - 83.8439, - 129.812, - 120.692, - 122.24, - 126.446, - 128.608, - 132.154, - 128.418, - 124.915, - 127.402, - 119.953, - 86.341, - 87.2747, - 77.3827, - 80.8281, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.5284, - 84.3464, - 130.461, - 118.571, - 115.153, - 116.781, - 117.17, - 116.399, - 112.798, - 112.438, - 123.218, - 122.469, - 90.8552, - 93.1162, - 85.1782, - 87.3454, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 124.867, - 95.2851, - 93.4602, - 87.425, - 83.6816, - 84.3425, - 80.4443, - 73.4037, - 48.2827, - 47.9516, - 62.6055, - 77.8954, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.3025, - 37.793, - 22.5549, - 20.2539, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 36.3076, - 39.4666, - 39.2393, - 41.3982, - 40.3823, - 43.4003, - 39.4045, - 26.4328, - 22.573, - 22.1928, - 19.3614, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 36.3223, - 41.8002, - 44.4458, - 43.941, - 46.0452, - 45.2631, - 50.5404, - 184.05, - 157.579, - 182.405, - 158.086, - 147.42, - 146.227, - 151.141, - 141.751, - 136.294, - 134.65, - 145.47, - 147.7, - 120.624, - 119.31, - 112.66, - 115.181, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 139.677, - 124.682, - 152.342, - 129.812, - 123.939, - 126.263, - 128.578, - 124.587, - 119.881, - 118.743, - 128.723, - 128.17, - 96.8937, - 96.6911, - 88.0193, - 89.5504, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 95.2845, - 96.3731, - 143.728, - 133.032, - 131.387, - 128.042, - 125.128, - 123.904, - 120.515, - 121.101, - 132.352, - 132.045, - 101.204, - 100.116, - 90.1973, - 90.926, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.381, - 92.1395, - 134.191, - 127.398, - 124.534, - 121.291, - 121.403, - 119.586, - 113.14, - 113.142, - 124.211, - 124.255, - 93.5647, - 95.4133, - 86.2237, - 87.6581, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 115.837, - 86.2428, - 87.0184, - 83.278, - 81.0576, - 82.4189, - 78.4133, - 71.2169, - 51.699, - 52.4791, - 64.6016, - 75.8291, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754 - ], - "load_annual_total": 726208.0, - "load_escalation": [ - 0.0 - ], - "load_model": 1.0, - "load_user_data": [ - 65.6374, - 62.5244, - 75.1593, - 66.7696, - 78.4194, - 69.7381, - 79.1304, - 50.4748, - 50.3969, - 37.7489, - 37.1335, - 28.3751, - 27.4458, - 23.7839, - 21.3821, - 20.961, - 26.3378, - 42.4988, - 44.2319, - 50.8582, - 50.5752, - 57.2174, - 54.77, - 62.5655, - 62.5466, - 69.0273, - 64.4617, - 71.8981, - 68.3173, - 74.5008, - 234.676, - 217.896, - 230.172, - 191.794, - 172.779, - 165.046, - 163.967, - 149.935, - 141.272, - 139.715, - 147.919, - 155.912, - 130.833, - 132.272, - 128.764, - 130.137, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 163.928, - 142.125, - 168.956, - 147.914, - 139.89, - 136.938, - 140.046, - 132.461, - 127.632, - 127.446, - 136.442, - 141.771, - 114.75, - 114.797, - 108.864, - 112.226, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 142.076, - 124.442, - 158.465, - 139.534, - 130.405, - 127.095, - 126.792, - 121.982, - 117.195, - 117.09, - 126.775, - 131.461, - 102.11, - 102.849, - 94.7595, - 97.4781, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 120.517, - 110.213, - 150.037, - 131.9, - 122.468, - 122.902, - 124.274, - 124.874, - 120.462, - 119.828, - 127.824, - 134.513, - 105.677, - 104.267, - 94.6319, - 95.9547, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 126.096, - 107.02, - 140.049, - 124.387, - 120.537, - 122.295, - 122.559, - 119.695, - 115.207, - 114.45, - 121.73, - 125.166, - 95.4737, - 98.0856, - 89.4985, - 91.2355, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 126.974, - 100.036, - 99.832, - 90.0105, - 85.6978, - 85.3829, - 82.6116, - 75.2912, - 50.1919, - 50.7114, - 57.5579, - 74.0381, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 157.675, - 130.223, - 152.852, - 132.786, - 126.285, - 125.564, - 129.219, - 125.691, - 121.073, - 120.048, - 124.656, - 126.2, - 96.4566, - 98.3456, - 91.5109, - 95.96, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.52, - 115.363, - 149.152, - 129.205, - 121.57, - 121.96, - 125.404, - 124.593, - 120.611, - 119.448, - 124.295, - 123.945, - 92.6343, - 94.9597, - 87.2084, - 89.9637, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 106.737, - 94.7459, - 132.714, - 123.344, - 123.674, - 126.724, - 127.939, - 127.712, - 121.745, - 118.511, - 122.133, - 122.241, - 90.8912, - 92.1783, - 84.1639, - 86.7476, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 118.927, - 105.466, - 139.501, - 122.954, - 118.424, - 119.174, - 119.868, - 118.334, - 114.487, - 113.276, - 118.782, - 124.638, - 94.6371, - 97.2347, - 88.9331, - 91.8463, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 128.102, - 112.693, - 148.291, - 127.728, - 121.797, - 122.218, - 122.967, - 121.95, - 118.389, - 118.561, - 121.841, - 123.79, - 93.4902, - 96.5931, - 89.4855, - 92.9568, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 134.508, - 98.5746, - 96.21, - 89.2509, - 85.5446, - 85.8898, - 84.1672, - 78.4454, - 52.9495, - 51.6784, - 55.8877, - 71.3139, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 147.559, - 131.93, - 163.137, - 146.109, - 138.832, - 137.181, - 141.728, - 134.655, - 127.192, - 126.152, - 132.177, - 141.752, - 114.362, - 113.585, - 106.758, - 109.238, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.179, - 112.448, - 143.62, - 128.368, - 124.368, - 125.725, - 127.368, - 122.678, - 116.183, - 115.887, - 122.147, - 129.775, - 101.286, - 103.536, - 96.5743, - 99.8303, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 134.58, - 111.15, - 143.304, - 127.538, - 122.19, - 123.156, - 124.548, - 120.996, - 115.086, - 114.839, - 120.735, - 126.89, - 97.3894, - 99.7454, - 92.5019, - 96.1164, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 144.45, - 129.516, - 151.284, - 131.085, - 126.278, - 125.197, - 125.161, - 120.774, - 114.79, - 114.411, - 120.586, - 127.896, - 99.4435, - 102.658, - 95.887, - 99.5242, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 145.87, - 117.274, - 109.549, - 98.5057, - 91.9249, - 91.0462, - 85.4779, - 79.4584, - 56.5764, - 55.8243, - 60.2569, - 79.6187, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.5655, - 20.9088, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 41.7921, - 43.4777, - 45.2287, - 47.5001, - 172.999, - 141.927, - 162.336, - 139.992, - 131.967, - 130.293, - 132.978, - 128.174, - 122.601, - 122.073, - 122.983, - 127.575, - 98.1835, - 101.311, - 94.9811, - 98.5038, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 135.61, - 111.334, - 140.777, - 125.304, - 119.919, - 122.722, - 126.224, - 126.482, - 121.984, - 120.772, - 120.758, - 122.099, - 90.8145, - 93.2282, - 85.5756, - 87.9259, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 130.189, - 116.199, - 146.624, - 127.765, - 119.847, - 120.588, - 123.609, - 123.747, - 120.589, - 119.739, - 119.921, - 122.218, - 91.1469, - 93.502, - 86.4831, - 89.4501, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 122.168, - 105.558, - 139.074, - 122.528, - 118.052, - 119.799, - 122.725, - 124.003, - 120.995, - 121.575, - 120.365, - 121.677, - 89.1019, - 90.3988, - 82.4726, - 85.6087, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 106.553, - 99.4828, - 142.845, - 126.185, - 120.437, - 119.817, - 120.242, - 121.363, - 117.417, - 118.02, - 118.961, - 123.149, - 90.2986, - 92.1996, - 83.8984, - 86.4467, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 109.401, - 84.4718, - 94.076, - 88.0738, - 80.7528, - 83.2127, - 82.3072, - 77.4494, - 52.4732, - 52.6818, - 51.4629, - 66.9579, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.1874, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 103.701, - 89.6664, - 131.359, - 120.056, - 118.578, - 121.705, - 123.177, - 124.276, - 120.591, - 120.166, - 120.769, - 121.954, - 86.7076, - 88.5998, - 79.3628, - 82.0893, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 99.4304, - 94.0663, - 140.365, - 121.417, - 116.782, - 118.719, - 120.955, - 122.154, - 119.54, - 118.523, - 118.45, - 121.761, - 88.234, - 89.043, - 81.3983, - 83.9472, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 112.606, - 96.9766, - 135.261, - 121.816, - 117.521, - 119.454, - 122.226, - 122.842, - 119.272, - 117.702, - 119.457, - 119.407, - 88.74, - 90.1967, - 81.9256, - 84.2286, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 114.974, - 99.5702, - 136.224, - 122.069, - 117.45, - 121.217, - 123.712, - 123.718, - 119.188, - 118.688, - 120.167, - 119.499, - 89.2523, - 90.2419, - 81.1791, - 85.0077, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.853, - 92.1261, - 133.285, - 120.232, - 117.332, - 123.502, - 128.51, - 132.09, - 128.274, - 126.301, - 126.843, - 124.682, - 88.5132, - 86.9869, - 77.7439, - 81.0848, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 91.5629, - 78.622, - 92.9278, - 91.5897, - 87.6784, - 87.8362, - 85.3483, - 82.296, - 64.1723, - 66.6132, - 68.2239, - 80.7772, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 31.108, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 149.261, - 123.809, - 147.564, - 128.823, - 122.579, - 125.586, - 128.886, - 124.836, - 120.228, - 119.962, - 121.28, - 121.551, - 90.2391, - 90.854, - 82.0314, - 85.2273, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.751, - 92.1889, - 131.301, - 121.325, - 124.155, - 131.137, - 134.179, - 137.996, - 134.567, - 133.442, - 133.533, - 128.937, - 90.1826, - 88.5796, - 76.377, - 76.5461, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.1058, - 68.9968, - 130.897, - 125.398, - 125.853, - 129.808, - 132.809, - 137.547, - 131.545, - 129.309, - 129.218, - 127.428, - 89.0817, - 85.0062, - 70.6225, - 70.7933, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 72.2074, - 69.7315, - 126.489, - 119.797, - 123.214, - 129.632, - 130.027, - 128.089, - 121.849, - 121.219, - 122.95, - 117.617, - 84.5629, - 86.6192, - 78.6097, - 81.9264, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 98.7741, - 89.931, - 134.027, - 121.379, - 117.417, - 118.573, - 118.658, - 116.505, - 112.105, - 112.11, - 115.22, - 118.809, - 91.3067, - 93.6335, - 85.9244, - 88.7878, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 120.459, - 99.6859, - 104.526, - 94.9952, - 85.6986, - 85.5286, - 82.0141, - 75.6155, - 49.6814, - 49.085, - 50.8381, - 69.4183, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.4371, - 41.0401, - 40.9483, - 38.1295, - 23.7508, - 20.2999, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 44.2703, - 43.7942, - 46.1776, - 47.9632, - 173.422, - 145.755, - 164.054, - 142.812, - 131.482, - 129.958, - 134.414, - 129.863, - 124.955, - 125.085, - 124.736, - 122.557, - 93.7905, - 96.9914, - 90.1429, - 94.0503, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 109.515, - 99.2944, - 134.339, - 121.258, - 122.881, - 131.254, - 135.374, - 137.977, - 135.063, - 134.647, - 131.529, - 124.041, - 87.7284, - 85.0509, - 72.6978, - 74.8696, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 81.2074, - 82.4488, - 130.369, - 117.397, - 114.228, - 118.597, - 122.657, - 127.271, - 125.734, - 126.648, - 127.612, - 121.029, - 84.843, - 82.3218, - 70.757, - 72.6708, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 73.2832, - 77.8289, - 131.034, - 119.115, - 120.381, - 123.198, - 122.685, - 126.631, - 125.8, - 125.527, - 126.347, - 122.171, - 87.7384, - 85.7473, - 72.2303, - 73.0312, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 64.1811, - 69.3571, - 129.61, - 123.291, - 125.708, - 135.655, - 141.792, - 145.267, - 139.921, - 140.845, - 142.165, - 133.427, - 94.2794, - 87.8435, - 70.1639, - 69.64, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 68.789, - 62.8391, - 76.5092, - 70.8421, - 72.5226, - 80.6939, - 89.3684, - 89.9595, - 58.6703, - 56.3079, - 54.2341, - 53.9706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.5829, - 29.4769, - 29.4311, - 25.239, - 28.1271, - 29.5355, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 21.3406, - 21.0933, - 18.7714, - 18.7714, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 85.0074, - 81.8724, - 128.434, - 119.469, - 117.72, - 120.887, - 122.664, - 124.63, - 119.242, - 118.264, - 120.064, - 114.243, - 84.6477, - 85.9791, - 76.7819, - 79.5819, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.574, - 91.18, - 133.428, - 120.105, - 116.96, - 120.703, - 122.511, - 124.757, - 121.607, - 121.502, - 125.737, - 117.521, - 83.5195, - 83.6921, - 74.9305, - 78.3314, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 88.3255, - 83.8613, - 130.367, - 118.412, - 118.386, - 124.314, - 128.832, - 134.719, - 131.073, - 131.513, - 134.676, - 124.996, - 88.1483, - 82.9673, - 69.6922, - 72.431, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.6865, - 78.7972, - 128.897, - 119.916, - 123.694, - 130.92, - 132.223, - 138.328, - 134.88, - 134.717, - 139.558, - 129.299, - 91.851, - 89.2706, - 74.6701, - 73.6442, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.5923, - 50.8136, - 70.4639, - 75.6751, - 77.8559, - 83.5333, - 83.3455, - 79.8957, - 50.416, - 47.6804, - 45.3121, - 44.5892, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 20.8954, - 20.4926, - 21.1161, - 20.7317, - 22.5712, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.9661, - 78.4209, - 128.068, - 120.228, - 122.232, - 128.351, - 133.361, - 137.966, - 135.023, - 136.043, - 137.51, - 127.135, - 89.708, - 85.6968, - 69.9352, - 69.2689, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 65.8279, - 68.543, - 128.276, - 123.124, - 127.45, - 137.01, - 143.12, - 149.938, - 148.811, - 153.625, - 155.723, - 142.307, - 101.58, - 94.3632, - 77.483, - 74.4637, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 63.9313, - 72.8519, - 125.761, - 116.131, - 115.616, - 118.396, - 121.175, - 124.148, - 120.239, - 119.952, - 120.909, - 113.931, - 83.5578, - 83.2938, - 71.9689, - 74.3505, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 80.305, - 78.6787, - 128.767, - 117.487, - 119.011, - 124.25, - 125.161, - 128.011, - 122.36, - 120.84, - 123.713, - 117.172, - 82.9132, - 82.5355, - 72.2463, - 75.3409, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 78.0567, - 86.869, - 135.769, - 121.407, - 118.063, - 120.168, - 120.839, - 121.408, - 116.83, - 116.419, - 119.312, - 117.314, - 89.3496, - 88.8048, - 78.5845, - 80.3799, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 80.9004, - 68.1961, - 77.0203, - 78.0225, - 79.1845, - 81.9923, - 80.7572, - 77.9939, - 55.052, - 57.562, - 60.5822, - 64.7182, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.1838, - 84.9033, - 130.385, - 119.919, - 119.306, - 123.454, - 124.22, - 124.452, - 121.401, - 121.577, - 123.318, - 114.867, - 86.1306, - 84.0178, - 73.4622, - 76.872, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.3915, - 82.324, - 128.165, - 119.55, - 120.943, - 126.516, - 129.961, - 135.918, - 133.583, - 133.788, - 132.953, - 120.288, - 88.3243, - 84.1777, - 69.6655, - 70.7734, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 69.8507, - 73.0825, - 127.65, - 121.858, - 126.34, - 135.444, - 139.881, - 146.08, - 141.763, - 140.45, - 142.052, - 131.52, - 95.68, - 88.7978, - 71.6284, - 70.0038, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 54.0338, - 66.7377, - 129.097, - 127.287, - 130.95, - 142.693, - 144.605, - 149.31, - 146.199, - 145.083, - 146.327, - 134.154, - 100.622, - 93.4091, - 73.7635, - 74.4936, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.5939, - 61.398, - 129.761, - 125.369, - 132.447, - 142.265, - 143.388, - 148.734, - 146.38, - 146.5, - 145.575, - 130.295, - 94.4359, - 89.4222, - 73.1822, - 71.6438, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 42.2636, - 47.6857, - 73.3371, - 76.9813, - 78.8421, - 85.4943, - 87.3965, - 84.7442, - 53.8986, - 49.861, - 47.6768, - 43.6239, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.9283, - 79.8551, - 134.102, - 121.489, - 117.108, - 117.637, - 118.244, - 116.48, - 112.39, - 114.382, - 118.608, - 107.881, - 74.618, - 85.984, - 77.7682, - 80.5875, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 89.8266, - 86.848, - 132.776, - 118.442, - 116.302, - 119.762, - 121.633, - 123.643, - 122.477, - 123.919, - 125.068, - 112.251, - 78.2212, - 85.6934, - 71.4617, - 71.6353, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 87.7677, - 82.3362, - 131.651, - 118.206, - 118.501, - 123.843, - 127.326, - 132.751, - 131.767, - 132.713, - 136.447, - 124.041, - 87.1944, - 91.2282, - 73.0287, - 71.5962, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.4917, - 70.8912, - 126.346, - 121.111, - 123.364, - 129.271, - 135.667, - 143.393, - 144.88, - 149.774, - 156.483, - 141.859, - 93.5192, - 94.3326, - 73.1323, - 68.8229, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 62.7122, - 66.7855, - 125.881, - 121.267, - 125.944, - 135.4, - 141.945, - 149.745, - 148.69, - 152.957, - 158.845, - 144.611, - 96.5542, - 99.3558, - 78.2298, - 73.5792, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 54.3162, - 45.0313, - 69.98, - 77.8579, - 84.4665, - 92.0897, - 94.2012, - 94.5013, - 66.0984, - 65.0125, - 64.7387, - 55.3079, - 26.7323, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 22.2332, - 22.8165, - 32.7295, - 37.6599, - 42.0701, - 38.1407, - 44.4115, - 39.7426, - 34.3168, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 56.4291, - 62.9524, - 130.125, - 128.084, - 132.488, - 140.636, - 147.665, - 159.957, - 160.645, - 165.921, - 172.007, - 156.374, - 105.246, - 106.153, - 85.1244, - 80.1288, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.7446, - 60.8894, - 131.822, - 130.161, - 138.527, - 149.876, - 153.78, - 164.872, - 164.495, - 167.643, - 171.376, - 152.257, - 102.968, - 104.106, - 82.4524, - 78.2332, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.1166, - 63.819, - 135.209, - 128.197, - 128.413, - 134.494, - 134.603, - 144.112, - 144.12, - 146.048, - 146.055, - 126.037, - 80.1284, - 86.106, - 68.9044, - 67.4134, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 64.5567, - 68.3103, - 126.165, - 115.742, - 112.888, - 115.208, - 117.619, - 122.46, - 119.82, - 121.409, - 126.168, - 113.241, - 74.1242, - 81.7248, - 65.0699, - 67.1585, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 79.8937, - 77.5744, - 130.24, - 116.98, - 114.939, - 119.615, - 122.49, - 128.465, - 127.97, - 129.468, - 134.053, - 122.069, - 81.1643, - 87.5077, - 70.7853, - 70.079, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.8839, - 54.3597, - 70.9123, - 72.6442, - 74.5289, - 78.9558, - 79.7041, - 80.2502, - 52.361, - 52.0242, - 51.074, - 41.9165, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 19.7223, - 19.2984, - 18.7714, - 18.7714, - 20.8346, - 22.3906, - 23.2765, - 30.6705, - 32.2232, - 23.4251, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 74.3379, - 76.7696, - 128.834, - 122.656, - 124.814, - 131.312, - 135.25, - 141.939, - 140.479, - 141.374, - 143.878, - 129.222, - 86.5641, - 91.8302, - 73.8203, - 72.2079, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.6246, - 65.8477, - 131.372, - 124.827, - 125.691, - 130.759, - 132.752, - 135.725, - 130.679, - 130.025, - 131.039, - 117.887, - 76.7346, - 86.1244, - 68.4688, - 66.315, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 73.4407, - 75.4997, - 128.957, - 116.648, - 113.645, - 117.508, - 120.712, - 126.149, - 125.324, - 127.242, - 132.425, - 118.756, - 75.9322, - 85.9619, - 68.4119, - 68.4751, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.6345, - 74.551, - 126.805, - 119.035, - 120.842, - 127.823, - 132.001, - 140.423, - 139.36, - 144.286, - 151.053, - 135.855, - 89.5411, - 94.8905, - 74.4708, - 71.4572, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 57.5239, - 64.2065, - 126.383, - 121.758, - 125.553, - 134.499, - 139.971, - 149.351, - 150.718, - 155.601, - 162.368, - 148.948, - 99.1819, - 102.062, - 77.8837, - 74.6351, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 48.4773, - 46.4038, - 73.8587, - 81.9449, - 86.7091, - 93.9951, - 97.8267, - 99.6959, - 71.2797, - 70.8948, - 73.2264, - 62.7134, - 28.1005, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 21.4214, - 27.1091, - 29.0738, - 28.741, - 34.6766, - 42.2791, - 40.22, - 45.0991, - 44.1284, - 45.5909, - 37.87, - 38.2507, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.8532, - 61.3864, - 133.685, - 132.233, - 138.316, - 147.485, - 150.226, - 161.462, - 162.997, - 168.239, - 174.332, - 159.009, - 104.438, - 110.972, - 87.2418, - 79.706, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.0407, - 59.0522, - 131.243, - 128.37, - 133.604, - 146.386, - 149.751, - 160.785, - 162.466, - 167.56, - 173.898, - 157.862, - 102.947, - 107.683, - 83.2585, - 77.247, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.0113, - 58.6284, - 128.191, - 121.964, - 123.165, - 129.6, - 132.302, - 142.052, - 145.55, - 153.425, - 157.602, - 138.45, - 88.281, - 92.9608, - 71.7437, - 68.7407, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.5548, - 60.7805, - 125.684, - 118.949, - 120.68, - 126.622, - 129.827, - 137.531, - 136.577, - 138.099, - 141.574, - 125.918, - 78.6719, - 86.0583, - 68.4183, - 67.742, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.152, - 61.7136, - 128.356, - 124.008, - 125.953, - 134.08, - 139.931, - 149.139, - 149.117, - 154.462, - 161.711, - 147.407, - 96.131, - 102.454, - 80.3328, - 75.8685, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 43.6683, - 43.7258, - 71.7377, - 77.1791, - 78.296, - 82.8729, - 86.2269, - 88.0662, - 60.9816, - 61.6987, - 64.5998, - 56.7667, - 23.9401, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 25.04, - 30.5038, - 28.4587, - 25.8726, - 26.0957, - 33.045, - 31.8747, - 41.1916, - 41.7748, - 31.4146, - 20.8047, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.1829, - 63.2713, - 134.211, - 130.156, - 136.589, - 146.542, - 149.222, - 159.608, - 160.34, - 164.756, - 169.711, - 153.234, - 99.254, - 102.567, - 82.4729, - 77.4227, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 42.1528, - 61.6384, - 136.06, - 132.857, - 134.569, - 141.395, - 145.353, - 156.327, - 157.611, - 163.197, - 171.062, - 158.189, - 104.83, - 108.849, - 89.532, - 84.8615, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.0389, - 64.8366, - 141.346, - 139.321, - 144.299, - 151.952, - 152.562, - 162.376, - 163.236, - 168.388, - 175.314, - 160.928, - 106.651, - 110.023, - 91.6166, - 86.5361, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.9963, - 64.093, - 140.857, - 139.35, - 146.126, - 157.494, - 162.556, - 173.804, - 175.24, - 179.983, - 188.079, - 172.409, - 114.799, - 115.247, - 95.0421, - 90.5571, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.3695, - 65.4903, - 144.748, - 149.109, - 156.554, - 168.384, - 174.395, - 187.699, - 188.245, - 189.789, - 191.434, - 170.932, - 114.593, - 116.762, - 94.9978, - 90.3065, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 46.9595, - 44.4482, - 69.3626, - 72.3624, - 75.5321, - 80.0536, - 78.7292, - 77.2167, - 48.6567, - 46.9404, - 45.868, - 36.2655, - 22.2226, - 31.108, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.5402, - 37.4433, - 32.9169, - 30.4931, - 31.9691, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.7083, - 66.1048, - 124.628, - 115.509, - 115.295, - 119.871, - 122.147, - 126.515, - 123.744, - 124.697, - 127.929, - 113.915, - 71.3495, - 78.9198, - 65.7292, - 67.9154, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 60.369, - 70.151, - 127.021, - 116.399, - 116.048, - 119.76, - 121.555, - 126.9, - 125.811, - 125.623, - 126.897, - 112.548, - 70.7588, - 77.7666, - 66.6192, - 69.4532, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.8006, - 75.2788, - 128.81, - 116.877, - 116.2, - 120.524, - 122.047, - 125.011, - 121.618, - 120.914, - 123.746, - 113.029, - 73.9834, - 81.9082, - 67.3704, - 67.7235, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 61.3638, - 70.2604, - 126.562, - 118.576, - 118.586, - 123.154, - 125.612, - 131.682, - 131.134, - 134.266, - 140.869, - 128.786, - 84.7729, - 89.89, - 73.7651, - 71.0646, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 50.7243, - 64.3224, - 126.366, - 120.706, - 122.544, - 130.042, - 134.492, - 142.155, - 142.368, - 147.787, - 155.382, - 141.624, - 91.4614, - 88.4601, - 70.4178, - 69.3797, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 46.0842, - 46.0879, - 74.0487, - 82.7558, - 84.3139, - 91.8135, - 95.1591, - 94.1539, - 66.0308, - 66.6632, - 68.8192, - 60.3246, - 38.8048, - 39.0184, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 19.0765, - 27.1583, - 32.9917, - 34.3032, - 28.7563, - 20.4896, - 30.7491, - 34.6851, - 41.9585, - 46.1535, - 44.0584, - 38.6508, - 37.4947, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.0391, - 62.8071, - 134.314, - 128.465, - 128.327, - 131.675, - 131.761, - 136.86, - 132.215, - 130.117, - 132.756, - 122.496, - 83.3951, - 89.1851, - 76.1967, - 75.5725, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.2543, - 62.7236, - 132.484, - 127.787, - 127.896, - 132.541, - 134.898, - 143.959, - 144.492, - 149.319, - 154.887, - 140.308, - 90.1835, - 90.2344, - 73.5422, - 69.9378, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 39.2137, - 60.5049, - 128.769, - 122.621, - 123.305, - 126.911, - 128.55, - 134.286, - 130.593, - 130.716, - 132.876, - 117.576, - 74.4659, - 78.6808, - 66.8812, - 65.9344, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.1688, - 62.6748, - 125.124, - 119.281, - 120.392, - 124.942, - 127.83, - 132.31, - 129.663, - 131.354, - 136.478, - 122.485, - 77.7101, - 81.0276, - 67.4824, - 66.0547, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.3424, - 64.4753, - 124.595, - 117.906, - 118.406, - 123.321, - 127.374, - 134.869, - 135.002, - 139.331, - 148.026, - 138.45, - 93.9842, - 96.6093, - 81.8488, - 78.0342, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 37.5736, - 43.8939, - 71.6506, - 76.011, - 79.2391, - 85.9904, - 88.1892, - 89.5123, - 60.9987, - 57.9527, - 57.3685, - 47.3507, - 24.8517, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 20.0854, - 20.6267, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 22.7355, - 26.607, - 27.0776, - 22.1449, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.5681, - 66.3784, - 126.361, - 120.779, - 120.521, - 126.185, - 127.953, - 131.208, - 129.658, - 134.934, - 143.569, - 133.209, - 89.0637, - 92.7855, - 79.1082, - 75.7776, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.769, - 64.9103, - 137.224, - 130.992, - 131.823, - 139.611, - 142.618, - 151.561, - 149.946, - 152.682, - 152.739, - 133.288, - 82.2126, - 83.7803, - 70.7446, - 68.4837, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 41.0623, - 64.038, - 127.931, - 121.924, - 121.913, - 126.77, - 128.229, - 132.896, - 130.55, - 131.374, - 136.072, - 122.141, - 78.0349, - 82.8535, - 70.6593, - 68.6232, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.534, - 60.0089, - 126.871, - 119.838, - 120.58, - 126.795, - 131.086, - 138.568, - 140.457, - 145.869, - 152.216, - 136.241, - 86.6061, - 87.5908, - 72.2149, - 68.9076, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.618, - 60.2328, - 128.616, - 125.855, - 130.205, - 137.279, - 140.82, - 149.723, - 149.823, - 156.073, - 163.947, - 150.005, - 100.953, - 97.1752, - 82.3749, - 78.4735, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 37.6882, - 46.9793, - 77.0701, - 86.4713, - 90.7444, - 95.6547, - 97.3365, - 97.9433, - 71.222, - 73.9435, - 75.7702, - 66.9583, - 43.0092, - 44.4502, - 38.5038, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 19.2731, - 31.2054, - 36.2287, - 38.889, - 38.172, - 35.3701, - 32.7311, - 34.3047, - 39.4602, - 44.7426, - 46.7916, - 45.3803, - 44.9368, - 46.3228, - 38.1948, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 41.8589, - 65.5684, - 144.535, - 141.984, - 145.659, - 152.241, - 154.308, - 165.571, - 165.349, - 164.595, - 168.628, - 150.286, - 98.0196, - 93.5665, - 79.3866, - 74.9124, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 39.4022, - 62.6383, - 135.175, - 131.618, - 133.625, - 140.946, - 146.244, - 157.409, - 157.625, - 161.693, - 166.174, - 150.201, - 96.0865, - 91.109, - 76.3352, - 72.127, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 34.5302, - 58.1158, - 131.084, - 128.3, - 131.648, - 140.337, - 145.856, - 157.564, - 156.871, - 160.652, - 169.102, - 154.733, - 103.853, - 102.152, - 86.4883, - 81.043, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 37.6487, - 62.003, - 139.446, - 137.906, - 143.738, - 152.449, - 154.172, - 164.235, - 165.526, - 170.759, - 179.88, - 167.905, - 115.776, - 109.426, - 91.0097, - 87.6493, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 39.1493, - 42.8851, - 68.8443, - 150.728, - 150.571, - 154.553, - 162.81, - 166.841, - 179.973, - 182.987, - 189.059, - 193.68, - 175.524, - 120.186, - 112.16, - 91.3878, - 87.0327, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 47.2172, - 55.4205, - 88.1531, - 94.3473, - 96.6294, - 103.09, - 105.696, - 104.775, - 74.932, - 76.291, - 74.8477, - 61.5106, - 38.2127, - 33.3459, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 22.8031, - 29.5567, - 30.0297, - 33.1169, - 26.2197, - 22.0104, - 29.8991, - 29.805, - 43.4732, - 43.262, - 44.4254, - 40.4732, - 35.0622, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.7472, - 58.2243, - 127.954, - 122.102, - 123.479, - 128.871, - 131.774, - 140.554, - 140.774, - 149.723, - 159.505, - 146.714, - 97.3258, - 92.1289, - 75.1853, - 70.4336, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.2205, - 58.6763, - 131.154, - 127.893, - 132.55, - 140.255, - 143.895, - 154.066, - 153.286, - 158.36, - 166.178, - 152.008, - 104.901, - 100.167, - 82.7435, - 78.6812, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 35.0223, - 60.2995, - 137.967, - 137.604, - 142.959, - 152.617, - 155.874, - 166.593, - 168.194, - 174.007, - 180.822, - 167.851, - 117.818, - 112.151, - 92.2795, - 87.5903, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 39.835, - 65.6699, - 146.917, - 143.135, - 146.877, - 157.39, - 163.599, - 176.08, - 177.324, - 180.874, - 188.515, - 174.686, - 118.414, - 107.539, - 90.9205, - 86.1264, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 47.4124, - 69.9245, - 149.821, - 147.249, - 150.162, - 156.323, - 157.526, - 168.027, - 167.913, - 173.603, - 182.131, - 164.107, - 111.132, - 105.028, - 88.0136, - 81.831, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 38.0113, - 47.846, - 77.6638, - 82.8101, - 85.4024, - 90.6292, - 92.6013, - 94.0708, - 67.9454, - 71.1323, - 73.1412, - 62.8177, - 39.7923, - 40.4683, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 22.482, - 28.5051, - 32.017, - 31.5775, - 26.9776, - 24.3388, - 27.726, - 33.1362, - 41.692, - 44.9824, - 43.355, - 45.2788, - 43.5553, - 40.4872, - 35.0372, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 37.7471, - 62.4864, - 136.434, - 132.212, - 133.91, - 141.817, - 145.867, - 154.757, - 155.024, - 162.016, - 174.378, - 161.139, - 108.2, - 101.263, - 88.66, - 83.2539, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 46.4421, - 71.1007, - 148.301, - 145.521, - 148.258, - 152.931, - 152.667, - 160.065, - 159.495, - 162.482, - 167.864, - 153.517, - 104.212, - 100.28, - 86.088, - 82.4135, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 43.9368, - 67.6722, - 147.521, - 144.574, - 145.272, - 151.639, - 150.254, - 158.385, - 156.288, - 156.628, - 155.792, - 139.086, - 95.2451, - 94.772, - 84.7145, - 81.3023, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 43.4624, - 70.2877, - 150.636, - 149.334, - 152.466, - 158.872, - 160.123, - 172.598, - 175.783, - 180.294, - 188.872, - 175.125, - 122.873, - 113.839, - 95.9802, - 91.3442, - 41.6166, - 43.8912, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 51.3942, - 75.8401, - 160.924, - 159.327, - 164.61, - 174.91, - 174.524, - 187.088, - 191.371, - 198.295, - 197.203, - 174.797, - 120.109, - 113.761, - 98.6324, - 94.0161, - 41.6166, - 44.328, - 40.6651, - 36.9883, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 55.0653, - 61.5475, - 92.2712, - 101.527, - 108.619, - 114.725, - 116.985, - 115.622, - 83.1234, - 77.4784, - 73.8617, - 62.0457, - 32.0179, - 39.8192, - 39.6141, - 33.5754, - 38.9989, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 27.9937, - 40.5519, - 40.1898, - 43.1526, - 42.5758, - 44.2812, - 40.6347, - 46.6996, - 45.9491, - 48.8877, - 42.8779, - 48.7192, - 42.5042, - 46.7276, - 46.6115, - 44.262, - 39.2828, - 38.9584, - 33.5754, - 38.273, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 26.3122, - 37.4182, - 38.5624, - 41.9616, - 40.7537, - 41.376, - 34.673, - 38.3448, - 39.3285, - 46.3254, - 44.2231, - 46.0086, - 41.7476, - 45.3716, - 40.165, - 37.4708, - 35.9762, - 34.7379, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 39.4475, - 63.2309, - 136.576, - 131.774, - 133.582, - 139.793, - 144.552, - 154.588, - 156.853, - 165.411, - 172.347, - 158.304, - 108.565, - 103.181, - 85.1724, - 78.5426, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 34.4608, - 60.6191, - 135.035, - 131.593, - 135.807, - 144.967, - 147.986, - 159.688, - 163.708, - 172.084, - 180.383, - 164.111, - 112.446, - 107.492, - 92.4108, - 87.6429, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 42.029, - 67.7405, - 147.362, - 147.151, - 150.956, - 160.051, - 165.412, - 178.98, - 182.611, - 190.276, - 197.942, - 184.529, - 131.691, - 122.398, - 101.919, - 95.6129, - 41.6166, - 44.3309, - 40.5071, - 42.0127, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 52.8469, - 76.1312, - 164.442, - 167.055, - 174.091, - 183.095, - 184.461, - 197.069, - 203.315, - 214.152, - 218.819, - 200.012, - 142.555, - 126.957, - 104.333, - 97.9217, - 47.3522, - 40.6726, - 42.2983, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 54.4545, - 62.2385, - 97.1897, - 107.672, - 112.136, - 118.59, - 122.749, - 127.635, - 96.6729, - 97.5014, - 100.49, - 91.9638, - 49.7253, - 47.0907, - 53.8132, - 48.154, - 41.006, - 39.3456, - 37.241, - 38.2512, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 27.7897, - 37.4294, - 39.3973, - 43.9902, - 44.7326, - 49.0706, - 46.918, - 50.2413, - 46.7583, - 54.2284, - 50.1686, - 50.6717, - 47.5885, - 51.393, - 50.31, - 50.0658, - 45.7723, - 47.8415, - 38.0145, - 45.3427, - 45.1451, - 42.3807, - 42.3128, - 42.3515, - 61.4454, - 87.9024, - 178.222, - 175.99, - 180.207, - 189.254, - 188.357, - 194.164, - 184.555, - 187.238, - 186.724, - 167.208, - 114.255, - 107.468, - 96.8432, - 93.1377, - 41.6166, - 44.0362, - 40.5905, - 42.201, - 40.4416, - 41.9224, - 38.9362, - 38.7523, - 56.8425, - 77.0015, - 162.326, - 159.031, - 163.428, - 169.726, - 167.377, - 179.461, - 165.433, - 140.453, - 144.898, - 144.305, - 101.433, - 97.2058, - 86.1532, - 82.2764, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 49.5294, - 74.2807, - 163.04, - 155.226, - 149.508, - 156.335, - 157.139, - 166.377, - 168.007, - 174.004, - 180.992, - 165.504, - 112.133, - 103.68, - 93.2984, - 88.2411, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 50.2052, - 75.3768, - 158.096, - 153.897, - 154.367, - 159.764, - 160.553, - 172.794, - 176.017, - 181.31, - 188.91, - 176.213, - 120.439, - 111.134, - 96.1823, - 91.4155, - 41.6166, - 44.5323, - 38.9362, - 38.9362, - 43.5449, - 38.9362, - 38.9362, - 34.2146, - 52.1434, - 75.832, - 161.881, - 158.778, - 159.565, - 164.934, - 168.334, - 181.133, - 183.116, - 191.664, - 199.86, - 185.156, - 133.389, - 123.836, - 104.565, - 95.45, - 47.1942, - 40.5303, - 42.1295, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 50.4699, - 57.0338, - 89.5093, - 98.2043, - 102.354, - 109.235, - 113.742, - 117.252, - 89.3465, - 94.1742, - 94.6693, - 80.8635, - 45.54, - 44.5284, - 46.6009, - 40.4631, - 37.3388, - 35.3927, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 23.893, - 31.5964, - 39.8916, - 37.9223, - 44.8673, - 40.8063, - 44.4725, - 39.6935, - 49.063, - 47.7767, - 55.5106, - 49.2277, - 54.5874, - 47.7973, - 57.3912, - 46.3232, - 41.2949, - 36.6476, - 35.0666, - 42.8602, - 42.394, - 38.9362, - 38.9362, - 34.2146, - 51.0176, - 77.2111, - 163.975, - 164.133, - 171.458, - 182.86, - 185.69, - 200.225, - 207.667, - 218.618, - 223.04, - 203.129, - 145.857, - 132.971, - 112.46, - 101.449, - 46.327, - 44.3437, - 42.2325, - 40.5486, - 43.3079, - 38.9362, - 38.9362, - 35.4955, - 56.0097, - 80.9663, - 170.519, - 171.558, - 180.337, - 192.46, - 196.779, - 221.416, - 230.861, - 231.478, - 233.301, - 215.573, - 150.935, - 130.769, - 106.225, - 98.3201, - 47.3371, - 42.5317, - 40.7036, - 43.8262, - 41.7352, - 40.2955, - 38.9362, - 34.2146, - 50.2569, - 76.2754, - 165.894, - 166.405, - 171.05, - 179.005, - 181.102, - 193.768, - 194.582, - 198.032, - 202.401, - 183.049, - 126.684, - 114.322, - 95.7278, - 88.0385, - 41.6166, - 42.4275, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 40.6255, - 64.5003, - 143.482, - 140.56, - 146.776, - 156.185, - 158.851, - 170.161, - 173.71, - 180.588, - 188.605, - 172.501, - 117.267, - 107.136, - 92.3655, - 86.2837, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 42.286, - 67.9923, - 149.315, - 149.746, - 153.872, - 163.297, - 164.402, - 174.823, - 178.843, - 185.58, - 192.488, - 176.751, - 123.447, - 114.691, - 97.8279, - 90.8628, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 44.6952, - 54.0664, - 87.9593, - 96.1507, - 98.9663, - 105.266, - 108.893, - 111.455, - 81.9898, - 83.5974, - 85.7555, - 74.9004, - 43.9267, - 42.9032, - 44.3724, - 37.473, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 22.8747, - 34.9112, - 38.5529, - 41.7981, - 41.8734, - 43.2313, - 38.9733, - 43.6701, - 43.8712, - 47.0199, - 50.7143, - 47.5638, - 48.2188, - 44.6832, - 48.593, - 40.9827, - 33.5754, - 37.8721, - 33.5754, - 42.366, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 46.3487, - 71.8328, - 157.981, - 157.303, - 160.162, - 168.558, - 171.841, - 185.954, - 189.417, - 194.657, - 200.163, - 180.558, - 126.138, - 115.4, - 97.2838, - 91.373, - 41.6166, - 42.0957, - 40.4904, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 53.2926, - 76.1921, - 162.199, - 159.538, - 162.493, - 168.727, - 171.107, - 184.944, - 187.726, - 193.197, - 198.915, - 181.314, - 125.734, - 114.518, - 96.7329, - 90.0728, - 41.6166, - 41.5805, - 40.2179, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 47.0066, - 73.5484, - 161.117, - 157.988, - 160.225, - 165.749, - 166.594, - 180.533, - 183.688, - 190.568, - 196.046, - 176.356, - 120.142, - 110.104, - 93.4373, - 86.8373, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 43.4058, - 67.4768, - 151.342, - 151.186, - 155.036, - 163.369, - 168.158, - 181.031, - 182.766, - 191.158, - 199.768, - 186.927, - 136.072, - 127.73, - 105.675, - 97.2228, - 45.3655, - 40.7566, - 43.6201, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 34.2146, - 48.4657, - 74.9302, - 164.579, - 164.54, - 169.419, - 179.131, - 182.12, - 195.115, - 194.666, - 205.14, - 217.956, - 191.46, - 137.172, - 131.869, - 115.503, - 104.751, - 51.149, - 45.9375, - 44.3028, - 33.5754, - 38.1535, - 33.5754, - 33.5754, - 26.1734, - 52.8389, - 61.1192, - 95.4662, - 107.007, - 114.54, - 122.832, - 127.042, - 128.877, - 99.644, - 102.101, - 104.116, - 93.6105, - 50.1247, - 47.0144, - 53.0985, - 45.0806, - 44.6686, - 37.4366, - 38.9231, - 33.5754, - 37.7027, - 33.5754, - 33.5754, - 26.1734, - 26.9605, - 34.6029, - 43.3024, - 40.565, - 48.1295, - 44.7838, - 52.0177, - 47.351, - 56.0725, - 52.216, - 60.4164, - 53.2734, - 58.5794, - 50.4741, - 62.2833, - 47.6445, - 47.7476, - 44.9171, - 40.51, - 44.8603, - 43.7901, - 42.2282, - 42.0918, - 37.9532, - 59.8601, - 83.8223, - 173.585, - 174.439, - 180.255, - 191.034, - 198.996, - 223.288, - 224.332, - 228.653, - 236.469, - 222.903, - 170.413, - 156.192, - 121.69, - 107.725, - 50.7132, - 49.0044, - 43.2153, - 43.3173, - 41.6221, - 40.2571, - 42.6657, - 34.2146, - 57.4315, - 82.7227, - 174.964, - 175.313, - 180.917, - 191.575, - 196.725, - 220.556, - 228.578, - 230.428, - 235.475, - 216.219, - 153.331, - 133.903, - 111.424, - 103.002, - 47.1273, - 48.58, - 43.7784, - 44.595, - 44.0435, - 38.9362, - 43.5038, - 36.6819, - 57.8219, - 80.3781, - 169.609, - 168.873, - 174.987, - 184.451, - 188.176, - 202.989, - 209.921, - 222.049, - 225.338, - 198.407, - 136.558, - 123.628, - 105.676, - 100.01, - 47.6686, - 48.7252, - 38.9362, - 43.5535, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 49.5892, - 76.2959, - 166.449, - 164.986, - 168.561, - 177.766, - 179.416, - 194.0, - 205.258, - 220.558, - 225.96, - 206.924, - 140.781, - 127.773, - 108.266, - 99.6405, - 47.4092, - 40.7942, - 42.6126, - 44.1141, - 38.9362, - 42.6497, - 38.9362, - 36.6819, - 47.8256, - 73.1196, - 163.686, - 161.049, - 163.083, - 171.578, - 175.851, - 192.346, - 201.453, - 218.077, - 224.355, - 203.692, - 139.746, - 122.483, - 101.139, - 95.1179, - 43.3981, - 42.426, - 43.6435, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 48.4555, - 55.6408, - 86.9337, - 92.244, - 95.1485, - 102.142, - 106.066, - 110.269, - 83.5964, - 88.2165, - 92.1577, - 83.6654, - 48.4356, - 47.031, - 59.4297, - 44.6869, - 41.5394, - 38.3123, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 24.5123, - 35.3661, - 37.2975, - 40.1954, - 39.5906, - 42.6903, - 40.9116, - 41.4746, - 46.9348, - 50.1979, - 53.2835, - 54.8975, - 55.734, - 54.0741, - 60.9568, - 53.3001, - 46.8896, - 41.1054, - 39.3078, - 41.5509, - 41.4085, - 41.3429, - 41.046, - 37.7176, - 47.2819, - 73.0506, - 162.641, - 161.747, - 168.063, - 179.831, - 186.613, - 204.564, - 218.925, - 231.067, - 240.167, - 224.568, - 168.531, - 150.237, - 122.516, - 109.776, - 50.769, - 49.1468, - 43.5484, - 36.5959, - 35.0726, - 35.0097, - 36.4128, - 28.6407, - 31.9025, - 39.9773, - 40.6932, - 44.5379, - 44.4366, - 48.3603, - 48.8608, - 54.5533, - 55.1346, - 60.0521, - 55.0052, - 58.5356, - 57.2107, - 58.0062, - 62.3018, - 57.6018, - 52.8137, - 48.5705, - 45.674, - 47.0158, - 48.88, - 43.4671, - 43.9847, - 41.3714, - 61.914, - 86.9467, - 181.086, - 181.449, - 187.562, - 199.634, - 206.893, - 236.446, - 243.442, - 249.831, - 252.822, - 237.092, - 178.633, - 160.063, - 129.085, - 120.251, - 55.3817, - 56.595, - 48.1278, - 49.9898, - 42.4037, - 42.1935, - 43.3778, - 39.4545, - 59.3723, - 84.6907, - 180.423, - 180.836, - 186.225, - 197.275, - 205.302, - 231.362, - 240.712, - 249.681, - 263.722, - 249.91, - 188.621, - 173.452, - 134.803, - 121.631, - 56.1207, - 57.5053, - 50.6343, - 49.1768, - 44.4999, - 44.3999, - 44.3927, - 42.8778, - 61.4139, - 86.7599, - 186.891, - 190.837, - 198.747, - 207.645, - 211.838, - 241.774, - 262.163, - 268.742, - 274.231, - 262.211, - 199.747, - 178.862, - 145.017, - 122.382, - 55.8128, - 58.1977, - 51.3724, - 48.2751, - 42.5604, - 39.8775, - 38.8493, - 38.2728, - 62.2958, - 66.9385, - 99.3759, - 111.364, - 120.912, - 129.247, - 133.878, - 135.635, - 106.555, - 114.328, - 119.437, - 104.461, - 50.5622, - 47.9985, - 60.8511, - 54.6621, - 49.8308, - 46.5466, - 46.981, - 41.9778, - 42.7805, - 39.0512, - 37.0722, - 31.2265, - 33.3839, - 38.6382, - 43.8889, - 43.7071, - 48.3729, - 47.5619, - 51.6026, - 50.1884, - 54.9051, - 53.3735, - 58.4586, - 53.8277, - 54.7098, - 50.0536, - 59.649, - 48.6389, - 48.6278, - 45.5337, - 44.6283, - 44.7576, - 44.0365, - 43.6571, - 43.2912, - 40.7662, - 53.3583, - 77.4842, - 168.633, - 166.629, - 172.569, - 182.683, - 184.18, - 199.375, - 207.337, - 223.627, - 228.76, - 212.11, - 141.785, - 128.243, - 108.852, - 100.156, - 47.3052, - 46.6773, - 44.1374, - 42.0815, - 40.4822, - 43.2651, - 38.9362, - 41.0257, - 56.3967, - 77.2287, - 163.718, - 162.252, - 165.364, - 174.903, - 178.224, - 190.424, - 186.102, - 192.375, - 182.099, - 166.713, - 117.976, - 111.192, - 98.874, - 93.7265, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 36.6819, - 58.1613, - 81.4868, - 169.651, - 166.546, - 171.223, - 180.723, - 181.846, - 198.188, - 203.565, - 211.162, - 224.519, - 209.796, - 145.074, - 133.809, - 111.991, - 101.711, - 46.3424, - 47.2849, - 43.4706, - 43.8225, - 40.3381, - 41.6981, - 38.9362, - 37.268, - 52.7569, - 76.9014, - 167.819, - 166.542, - 171.1, - 182.61, - 189.547, - 206.086, - 218.413, - 229.232, - 234.381, - 219.312, - 163.146, - 143.124, - 117.897, - 105.469, - 51.9356, - 44.7061, - 48.4803, - 43.1027, - 43.7765, - 41.7532, - 40.3411, - 36.6819, - 55.673, - 80.1385, - 172.714, - 172.068, - 177.2, - 187.604, - 191.443, - 211.824, - 228.314, - 235.905, - 242.704, - 226.84, - 169.503, - 147.46, - 118.477, - 106.185, - 52.4005, - 50.5653, - 44.8634, - 39.272, - 39.0331, - 35.3188, - 36.9355, - 28.6407, - 56.9511, - 64.0667, - 100.283, - 108.994, - 113.569, - 123.17, - 131.912, - 135.384, - 102.516, - 105.33, - 107.235, - 95.356, - 49.603, - 46.9469, - 58.7117, - 48.3927, - 42.806, - 43.7419, - 37.1876, - 35.3266, - 37.1296, - 35.2908, - 33.5754, - 28.6407, - 27.5441, - 39.43, - 38.2675, - 45.2798, - 41.5173, - 48.8497, - 43.617, - 51.4193, - 46.1962, - 56.6401, - 52.1132, - 60.4468, - 50.5762, - 55.8279, - 56.1373, - 50.161, - 44.2489, - 40.4876, - 36.8065, - 43.5722, - 41.8963, - 43.0588, - 42.8575, - 39.1493, - 53.8225, - 77.2312, - 167.766, - 166.532, - 171.628, - 180.525, - 183.954, - 198.706, - 207.218, - 224.855, - 234.923, - 221.492, - 166.208, - 143.212, - 116.2, - 104.984, - 51.8005, - 45.1544, - 42.5807, - 43.6598, - 43.3088, - 41.5696, - 40.2248, - 39.1493, - 53.128, - 77.2528, - 169.719, - 169.251, - 173.099, - 182.456, - 188.343, - 208.288, - 229.42, - 239.671, - 267.364, - 248.213, - 181.888, - 168.402, - 132.791, - 117.956, - 55.6072, - 54.3442, - 50.4752, - 50.8564, - 43.314, - 44.1468, - 40.5975, - 42.4545, - 61.8237, - 83.8814, - 179.564, - 181.856, - 187.731, - 199.521, - 206.907, - 237.989, - 253.827, - 266.555, - 270.053, - 246.661, - 183.849, - 174.16, - 143.882, - 124.935, - 56.049, - 63.9428, - 52.3269, - 54.0955, - 48.7688, - 45.9853, - 48.4604, - 45.1396, - 62.8727, - 88.3991, - 185.27, - 192.319, - 204.369, - 218.967, - 224.971, - 240.286, - 237.957, - 240.219, - 244.074, - 226.79, - 166.368, - 142.611, - 122.706, - 114.208, - 55.3775, - 55.6276, - 53.8955, - 55.8114, - 50.6682, - 48.4403, - 44.376, - 40.9011, - 65.2421, - 91.8925, - 189.946, - 191.572, - 200.394, - 210.663, - 212.894, - 236.448, - 233.736, - 233.453, - 236.511, - 220.226, - 153.032, - 134.71, - 115.017, - 107.627, - 52.4706, - 52.9088, - 50.9459, - 44.8227, - 39.9164, - 38.9098, - 33.5754, - 32.7778, - 61.6482, - 65.0358, - 99.9091, - 111.456, - 120.101, - 130.216, - 135.28, - 140.58, - 104.011, - 88.5092, - 83.2487, - 73.5501, - 42.0348, - 43.4659, - 48.8099, - 45.4935, - 40.366, - 46.6843, - 40.1852, - 39.0875, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 33.7386, - 42.337, - 42.6413, - 46.089, - 46.1486, - 48.5683, - 48.7843, - 51.9728, - 53.842, - 56.9833, - 58.9695, - 58.6243, - 56.0087, - 53.9867, - 59.7684, - 55.4939, - 48.2649, - 47.0565, - 45.929, - 50.8348, - 50.7394, - 46.1121, - 43.418, - 44.6032, - 62.4982, - 85.6449, - 179.676, - 180.858, - 186.87, - 195.896, - 194.681, - 210.054, - 222.614, - 231.86, - 241.768, - 227.94, - 168.587, - 141.169, - 115.999, - 105.249, - 51.8878, - 52.8176, - 45.9322, - 45.8918, - 42.4742, - 44.1116, - 43.8686, - 39.1493, - 60.4223, - 81.9874, - 176.35, - 176.467, - 182.878, - 192.537, - 197.577, - 213.882, - 230.351, - 242.38, - 262.879, - 243.216, - 177.519, - 159.466, - 122.521, - 110.824, - 53.5261, - 54.2693, - 48.3948, - 48.0172, - 42.2829, - 42.1038, - 43.4927, - 42.023, - 58.3894, - 81.8399, - 176.384, - 177.36, - 183.556, - 198.519, - 205.436, - 227.474, - 234.088, - 243.318, - 265.212, - 239.713, - 173.032, - 158.125, - 126.418, - 115.155, - 53.1454, - 54.3226, - 43.603, - 44.5581, - 43.9224, - 43.6183, - 40.3855, - 46.0786, - 59.9735, - 85.7831, - 179.564, - 178.074, - 183.776, - 193.534, - 202.685, - 224.102, - 231.887, - 235.16, - 238.205, - 223.247, - 167.112, - 143.997, - 118.061, - 106.13, - 49.3416, - 48.2079, - 50.7191, - 42.6157, - 44.2914, - 44.1357, - 38.9362, - 43.5614, - 58.3104, - 80.0074, - 174.458, - 177.339, - 184.796, - 195.871, - 197.261, - 213.799, - 218.113, - 227.562, - 234.058, - 218.814, - 148.895, - 135.533, - 116.041, - 107.272, - 51.3315, - 44.657, - 42.9241, - 39.2029, - 33.5754, - 38.0633, - 33.5754, - 31.108, - 57.2114, - 63.6639, - 100.045, - 111.288, - 117.718, - 126.963, - 131.419, - 134.424, - 107.096, - 112.752, - 118.337, - 107.472, - 54.5663, - 53.7819, - 63.5704, - 51.5017, - 49.8785, - 40.9568, - 44.8437, - 39.4481, - 33.5754, - 38.5082, - 33.5754, - 31.108, - 26.6324, - 38.0767, - 41.3759, - 46.7934, - 45.9877, - 50.341, - 49.5434, - 53.1495, - 53.0766, - 60.5704, - 59.7, - 65.137, - 58.0635, - 62.0228, - 63.6229, - 52.0985, - 48.7609, - 48.5218, - 40.181, - 44.4068, - 44.392, - 49.7717, - 43.547, - 43.4167, - 53.6739, - 75.8674, - 166.477, - 166.347, - 170.96, - 179.656, - 187.298, - 207.784, - 213.997, - 226.449, - 235.684, - 222.381, - 159.521, - 136.712, - 108.779, - 98.1551, - 46.3624, - 47.4517, - 44.3835, - 42.5014, - 40.6603, - 44.0905, - 42.3432, - 43.2771, - 64.1893, - 87.4765, - 180.794, - 178.612, - 182.845, - 191.271, - 195.32, - 218.052, - 230.498, - 238.679, - 253.019, - 238.489, - 170.146, - 147.956, - 120.915, - 111.364, - 53.6997, - 53.7652, - 46.9082, - 46.1314, - 44.2691, - 44.1433, - 42.3492, - 43.268, - 62.7639, - 82.6671, - 174.061, - 173.19, - 179.425, - 189.626, - 193.48, - 212.039, - 219.85, - 232.32, - 242.581, - 229.13, - 170.995, - 158.034, - 128.315, - 116.175, - 54.3369, - 53.6069, - 49.9744, - 51.395, - 43.5976, - 47.8716, - 44.3114, - 45.1859, - 64.0479, - 86.0618, - 180.445, - 181.047, - 188.547, - 201.536, - 206.967, - 228.837, - 235.904, - 237.077, - 236.135, - 215.1, - 148.387, - 139.165, - 121.078, - 115.944, - 53.754, - 53.9184, - 48.6521, - 49.6297, - 51.881, - 47.4248, - 46.9379, - 53.6783, - 67.1196, - 95.1423, - 192.118, - 192.277, - 198.143, - 208.741, - 211.687, - 230.798, - 237.856, - 241.091, - 247.018, - 226.618, - 164.59, - 146.778, - 124.682, - 116.646, - 52.6861, - 55.5299, - 48.9042, - 44.359, - 40.135, - 37.9039, - 37.7682, - 35.9296, - 69.8911, - 77.8263, - 113.315, - 123.156, - 128.296, - 135.746, - 140.246, - 144.86, - 114.824, - 112.984, - 112.531, - 101.288, - 49.8924, - 53.5724, - 57.756, - 54.0432, - 50.5727, - 46.704, - 46.1319, - 43.6732, - 38.6065, - 39.5153, - 35.6108, - 37.4501, - 35.7427, - 44.4723, - 43.7064, - 47.9957, - 47.9166, - 51.403, - 50.5861, - 54.8154, - 54.425, - 59.4849, - 60.5647, - 62.6532, - 54.5317, - 58.414, - 58.5186, - 61.5682, - 47.9798, - 50.1982, - 47.7063, - 53.9013, - 50.4018, - 52.8327, - 44.7234, - 51.7647, - 66.3187, - 91.7848, - 187.27, - 189.457, - 198.423, - 211.032, - 213.815, - 234.617, - 239.317, - 241.594, - 247.38, - 231.822, - 172.243, - 158.356, - 132.854, - 123.499, - 56.4571, - 64.7135, - 52.5461, - 56.6472, - 51.6279, - 51.6924, - 49.1962, - 55.2363, - 66.8192, - 95.584, - 195.199, - 198.176, - 208.016, - 222.079, - 220.943, - 240.802, - 241.053, - 245.115, - 260.336, - 243.385, - 176.091, - 160.173, - 131.819, - 122.342, - 58.6815, - 61.4263, - 52.1459, - 54.1163, - 50.6227, - 53.0123, - 46.5274, - 52.1488, - 67.1726, - 97.4161, - 198.747, - 199.237, - 205.219, - 215.563, - 217.295, - 237.997, - 238.132, - 234.513, - 229.294, - 204.344, - 135.409, - 125.779, - 107.001, - 101.285, - 41.6166, - 45.4419, - 45.2936, - 38.9362, - 44.7869, - 38.9362, - 42.7183, - 43.5263, - 64.9357, - 86.6601, - 180.328, - 180.285, - 185.117, - 194.753, - 197.727, - 215.212, - 216.522, - 213.195, - 209.67, - 188.519, - 127.952, - 124.773, - 108.067, - 103.729, - 47.8879, - 49.6724, - 43.9867, - 44.9625, - 38.9362, - 44.9278, - 41.0689, - 45.6282, - 65.3795, - 86.7174, - 181.402, - 182.158, - 189.276, - 199.12, - 199.549, - 213.588, - 214.044, - 215.864, - 229.554, - 204.339, - 139.526, - 132.364, - 111.523, - 104.501, - 48.5745, - 48.5515, - 48.4871, - 37.4321, - 39.2103, - 33.5754, - 33.5754, - 38.6193, - 60.9236, - 62.5945, - 95.6751, - 104.134, - 108.559, - 115.898, - 120.478, - 125.006, - 94.7252, - 95.6205, - 97.6665, - 83.9081, - 45.7082, - 49.8033, - 48.2965, - 43.4417, - 41.6877, - 40.6511, - 37.0641, - 35.2597, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 24.8134, - 35.6271, - 39.5227, - 39.6441, - 43.0601, - 42.4848, - 44.719, - 44.0115, - 47.7842, - 45.9234, - 49.3928, - 46.6474, - 46.8926, - 48.7065, - 50.2997, - 41.2534, - 38.029, - 38.477, - 36.6484, - 40.4648, - 43.3602, - 38.9362, - 38.9362, - 45.6027, - 55.2059, - 74.126, - 158.068, - 156.523, - 159.791, - 165.6, - 165.153, - 175.365, - 177.517, - 185.651, - 197.488, - 182.35, - 124.248, - 118.157, - 99.1892, - 93.6708, - 43.3983, - 42.4135, - 43.93, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.2829, - 76.0429, - 162.779, - 160.46, - 163.822, - 172.009, - 175.949, - 192.34, - 195.349, - 200.407, - 208.388, - 190.793, - 132.047, - 126.756, - 106.829, - 100.013, - 45.5902, - 40.8513, - 44.6885, - 38.9362, - 44.5805, - 38.9362, - 44.3847, - 41.6166, - 67.2318, - 87.1405, - 179.953, - 176.323, - 179.99, - 186.844, - 186.995, - 199.812, - 200.291, - 205.487, - 218.351, - 193.243, - 131.123, - 123.133, - 103.517, - 98.3167, - 43.6225, - 42.8175, - 44.6398, - 38.9362, - 44.3915, - 38.9362, - 38.9362, - 46.3771, - 61.653, - 80.1772, - 169.781, - 166.737, - 170.718, - 178.621, - 179.829, - 192.999, - 191.928, - 192.663, - 196.203, - 176.099, - 117.314, - 111.532, - 93.9735, - 88.894, - 41.6166, - 38.9362, - 41.4919, - 40.1882, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.3468, - 66.268, - 151.055, - 152.752, - 159.51, - 168.482, - 170.228, - 182.46, - 184.325, - 190.338, - 199.692, - 183.803, - 125.989, - 122.513, - 101.243, - 95.6889, - 41.6166, - 44.1988, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.6804, - 53.5744, - 84.9006, - 93.6935, - 98.7111, - 106.331, - 109.715, - 112.617, - 84.1476, - 87.7816, - 92.6876, - 81.1941, - 45.728, - 51.9171, - 48.4406, - 40.5704, - 37.3085, - 35.3701, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 24.2141, - 34.569, - 39.1216, - 39.9232, - 43.3933, - 42.762, - 45.6268, - 45.7289, - 49.975, - 48.7901, - 54.0039, - 50.7864, - 50.8207, - 53.7933, - 49.2994, - 46.4678, - 46.6811, - 40.0557, - 38.8491, - 42.2614, - 40.5767, - 43.5412, - 38.9362, - 45.798, - 58.4186, - 77.6283, - 165.984, - 163.591, - 168.404, - 177.218, - 180.179, - 195.66, - 196.958, - 198.702, - 201.357, - 180.987, - 121.235, - 118.733, - 98.4158, - 93.2084, - 41.6166, - 44.045, - 38.9362, - 43.0121, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.8956, - 72.0158, - 155.043, - 153.28, - 157.458, - 164.742, - 168.062, - 183.153, - 186.552, - 192.223, - 200.009, - 179.88, - 118.921, - 117.475, - 97.2274, - 90.396, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.191, - 65.218, - 144.693, - 142.639, - 148.684, - 157.615, - 161.36, - 176.141, - 179.804, - 186.846, - 196.418, - 179.344, - 120.526, - 117.332, - 96.5963, - 89.8572, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 43.1859, - 62.7987, - 144.703, - 145.544, - 153.793, - 162.912, - 166.476, - 181.202, - 184.302, - 190.361, - 198.962, - 181.775, - 123.003, - 119.871, - 98.6093, - 91.7976, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 46.5906, - 66.0527, - 146.916, - 147.268, - 154.108, - 162.22, - 163.907, - 175.631, - 177.439, - 184.156, - 194.116, - 177.51, - 119.076, - 115.478, - 94.8228, - 88.5889, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.4164, - 54.322, - 84.8721, - 90.6937, - 92.7119, - 98.6471, - 101.689, - 104.714, - 76.1689, - 78.5591, - 82.7785, - 71.8748, - 43.348, - 47.668, - 38.0057, - 38.8605, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 28.6054, - 35.6416, - 37.6241, - 40.3923, - 38.4898, - 40.7942, - 42.6306, - 46.4935, - 46.0358, - 50.7198, - 48.9622, - 49.2702, - 54.8801, - 54.0361, - 40.3988, - 38.5616, - 38.9802, - 33.5754, - 43.1845, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 54.796, - 71.5811, - 154.85, - 156.313, - 163.555, - 174.364, - 179.6, - 197.619, - 202.892, - 214.605, - 227.549, - 200.935, - 135.27, - 130.488, - 104.782, - 98.0332, - 43.5434, - 42.6625, - 42.228, - 40.5515, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 59.8837, - 75.1205, - 163.116, - 165.033, - 173.55, - 185.172, - 190.162, - 208.686, - 218.262, - 229.604, - 236.888, - 219.93, - 149.054, - 143.263, - 117.099, - 109.592, - 53.1358, - 47.151, - 47.5321, - 44.4344, - 42.2797, - 40.6172, - 42.072, - 43.1879, - 65.6485, - 80.8257, - 172.55, - 172.192, - 179.637, - 190.879, - 194.41, - 211.104, - 215.092, - 223.637, - 226.848, - 198.025, - 132.781, - 129.177, - 104.439, - 98.3105, - 45.4214, - 40.7682, - 44.1997, - 40.6239, - 42.259, - 38.9362, - 38.9362, - 41.6166, - 59.9208, - 75.2729, - 163.059, - 162.456, - 167.895, - 176.419, - 178.285, - 193.297, - 197.677, - 206.612, - 223.93, - 199.654, - 136.619, - 133.754, - 108.153, - 100.868, - 45.6362, - 40.867, - 44.5546, - 42.2175, - 40.5334, - 38.9362, - 38.9362, - 41.6166, - 56.6981, - 72.0095, - 160.365, - 161.404, - 169.072, - 180.346, - 184.657, - 201.266, - 204.642, - 214.671, - 226.751, - 199.908, - 134.31, - 131.547, - 106.28, - 99.0027, - 47.1671, - 42.5221, - 40.6585, - 38.5523, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 61.1872, - 59.8296, - 93.7304, - 103.044, - 110.072, - 118.312, - 121.851, - 124.789, - 94.9021, - 93.87, - 97.8319, - 86.1964, - 46.8296, - 54.9564, - 50.154, - 45.2214, - 44.1069, - 40.336, - 35.4415, - 39.0305, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6378, - 37.4826, - 43.7525, - 40.1368, - 47.5309, - 42.4114, - 49.2198, - 43.2365, - 49.214, - 43.5373, - 51.7506, - 45.2187, - 50.5429, - 55.5455, - 50.6289, - 46.6364, - 45.7194, - 45.7668, - 38.4806, - 39.2465, - 35.5526, - 37.5134, - 33.5754, - 39.4006, - 24.6627, - 40.6223, - 33.0678, - 40.9803, - 41.2902, - 48.2137, - 43.7281, - 51.6788, - 45.943, - 52.7711, - 46.2324, - 51.7696, - 41.3901, - 58.478, - 47.3869, - 47.3977, - 44.4679, - 39.991, - 37.286, - 49.923, - 44.828, - 44.6282, - 38.9362, - 47.0827, - 68.3536, - 81.0228, - 170.196, - 170.126, - 175.03, - 182.358, - 181.258, - 190.342, - 182.748, - 172.862, - 174.714, - 155.024, - 104.185, - 114.004, - 95.1747, - 93.3787, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 67.9698, - 82.7252, - 170.247, - 169.862, - 166.294, - 170.961, - 170.51, - 179.711, - 179.086, - 181.747, - 186.517, - 166.365, - 108.461, - 114.837, - 94.2927, - 90.8465, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.1259, - 66.2948, - 142.839, - 137.14, - 137.998, - 144.772, - 147.424, - 158.352, - 157.649, - 161.553, - 168.648, - 153.012, - 98.1798, - 101.503, - 80.4461, - 77.1089, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.4571, - 59.287, - 135.191, - 133.021, - 136.841, - 146.066, - 150.061, - 161.373, - 162.71, - 168.874, - 177.571, - 161.949, - 106.734, - 111.191, - 89.1601, - 84.2606, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.4901, - 52.1672, - 81.718, - 87.1399, - 88.5327, - 93.8173, - 97.2944, - 100.286, - 72.9623, - 74.8143, - 78.2353, - 66.7027, - 38.5575, - 44.3914, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 26.625, - 38.3819, - 35.0121, - 37.3239, - 39.6494, - 42.3622, - 40.4722, - 41.9708, - 40.438, - 42.1871, - 40.2419, - 37.7698, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.665, - 63.0871, - 139.164, - 136.008, - 139.765, - 148.789, - 151.506, - 162.108, - 162.81, - 167.866, - 175.352, - 159.845, - 107.686, - 110.156, - 88.84, - 84.7485, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 47.57, - 62.1903, - 139.665, - 137.439, - 146.16, - 155.907, - 157.758, - 168.679, - 168.941, - 173.155, - 179.648, - 161.908, - 107.204, - 108.056, - 87.2314, - 85.0369, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.5635, - 65.1211, - 144.1, - 143.306, - 152.966, - 163.04, - 165.568, - 177.386, - 178.086, - 180.615, - 187.087, - 168.082, - 111.046, - 113.324, - 91.73, - 88.5886, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.5353, - 70.5196, - 147.227, - 142.922, - 146.641, - 152.098, - 150.493, - 157.365, - 155.751, - 156.37, - 159.539, - 144.029, - 94.0475, - 99.2877, - 80.8626, - 78.383, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.9237, - 61.218, - 136.811, - 134.204, - 137.861, - 145.77, - 148.382, - 158.182, - 158.236, - 162.519, - 169.28, - 154.223, - 103.192, - 108.057, - 89.074, - 86.7985, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.0167, - 48.8811, - 79.475, - 87.0995, - 91.2371, - 97.4566, - 99.244, - 99.8961, - 70.9309, - 71.3896, - 73.1924, - 62.9195, - 41.6023, - 41.5555, - 39.0719, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 25.9684, - 38.6545, - 36.9889, - 42.3147, - 36.8098, - 43.4019, - 38.8707, - 44.1575, - 38.8925, - 43.8659, - 38.457, - 38.1652, - 36.8256, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 41.8754, - 55.6984, - 128.385, - 124.736, - 128.6, - 136.345, - 139.696, - 149.996, - 150.244, - 154.838, - 162.169, - 144.885, - 94.5631, - 95.4062, - 74.8387, - 70.3809, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.3533, - 59.3704, - 131.8, - 126.771, - 129.179, - 136.653, - 140.074, - 150.223, - 149.306, - 151.38, - 155.952, - 137.874, - 89.496, - 91.2082, - 71.6088, - 68.2371, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.5145, - 59.8162, - 132.202, - 128.148, - 131.643, - 138.954, - 141.423, - 150.454, - 149.405, - 152.197, - 157.582, - 141.112, - 93.2033, - 95.7944, - 75.591, - 70.908, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 44.1675, - 57.1151, - 127.558, - 126.84, - 135.297, - 146.677, - 150.604, - 160.103, - 159.802, - 165.264, - 173.031, - 156.734, - 104.788, - 103.796, - 81.2013, - 74.7141, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 42.5157, - 57.0065, - 130.553, - 130.625, - 140.224, - 152.92, - 156.757, - 169.636, - 171.111, - 176.9, - 184.412, - 165.89, - 111.399, - 110.72, - 88.5851, - 83.127, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 48.8516, - 46.551, - 77.7673, - 88.5776, - 95.4283, - 103.711, - 107.776, - 110.921, - 83.5935, - 85.1069, - 87.178, - 73.1912, - 45.0885, - 42.4597, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 26.091, - 37.3983, - 38.5478, - 42.3876, - 42.2935, - 47.2746, - 47.0837, - 51.2853, - 48.4349, - 52.3097, - 48.0048, - 55.4498, - 48.6646, - 42.501, - 41.1212, - 33.5754, - 38.2862, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 59.0797, - 69.4193, - 154.601, - 158.198, - 166.803, - 177.072, - 179.255, - 191.397, - 194.168, - 199.488, - 205.296, - 183.341, - 126.491, - 120.901, - 95.9272, - 89.8583, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 60.0303, - 69.7739, - 156.544, - 160.504, - 170.77, - 183.428, - 186.023, - 198.74, - 200.959, - 205.846, - 211.247, - 188.342, - 130.249, - 123.783, - 98.4286, - 92.2971, - 41.6166, - 41.9734, - 40.4334, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 62.6208, - 72.7506, - 158.489, - 161.143, - 169.413, - 180.156, - 183.308, - 198.43, - 202.572, - 207.821, - 212.641, - 188.503, - 129.776, - 123.196, - 99.019, - 94.6956, - 41.6166, - 44.3273, - 38.9362, - 43.928, - 38.9362, - 38.9362, - 38.9362, - 45.8383, - 64.596, - 74.8103, - 164.623, - 166.015, - 174.575, - 185.642, - 187.617, - 200.787, - 203.993, - 208.983, - 213.441, - 189.872, - 131.372, - 125.1, - 100.244, - 94.901, - 41.6166, - 44.2148, - 40.6097, - 42.2053, - 38.9362, - 38.9362, - 43.3734, - 41.6166, - 65.2067, - 73.6573, - 160.988, - 164.809, - 174.434, - 185.759, - 187.449, - 200.404, - 203.903, - 209.014, - 213.488, - 189.745, - 131.276, - 125.102, - 101.175, - 97.414, - 45.4534, - 42.5778, - 42.3984, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 62.744, - 55.0407, - 86.9558, - 97.5896, - 105.833, - 115.434, - 120.585, - 125.116, - 95.9509, - 96.7417, - 97.4233, - 81.6346, - 48.8256, - 47.5436, - 40.3227, - 39.64, - 37.4331, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 20.1719, - 25.6276, - 31.9693, - 31.5822, - 38.7868, - 37.4961, - 44.2482, - 39.8036, - 45.5593, - 40.3097, - 45.3344, - 43.6775, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 45.1518, - 56.9907, - 131.012, - 131.421, - 140.852, - 152.365, - 155.988, - 167.422, - 168.094, - 172.533, - 178.454, - 159.371, - 111.394, - 107.065, - 85.1635, - 80.5454, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.7241, - 60.577, - 135.704, - 135.434, - 144.362, - 154.924, - 158.367, - 170.544, - 172.569, - 177.51, - 183.482, - 165.165, - 117.313, - 113.553, - 91.7146, - 87.583, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.4419, - 64.3307, - 141.258, - 139.92, - 148.044, - 159.333, - 162.077, - 176.676, - 179.003, - 181.664, - 185.123, - 163.77, - 116.48, - 113.412, - 90.9948, - 85.6355, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.746, - 63.9027, - 140.09, - 140.719, - 150.38, - 160.583, - 163.43, - 175.717, - 176.27, - 177.369, - 178.099, - 158.408, - 115.173, - 112.87, - 91.4517, - 87.768, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 56.237, - 66.1249, - 143.366, - 141.158, - 150.097, - 159.625, - 160.392, - 168.968, - 166.219, - 166.629, - 169.473, - 151.276, - 108.295, - 106.343, - 87.0961, - 85.4366, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 49.864, - 43.4675, - 71.7492, - 78.8618, - 82.3028, - 88.4725, - 90.9685, - 90.932, - 62.229, - 61.0073, - 59.4274, - 49.1988, - 28.6407, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 19.7076, - 31.681, - 32.2047, - 38.485, - 33.0662, - 42.1867, - 38.2387, - 43.4806, - 38.7768, - 36.7903, - 38.5198, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 25.3508, - 30.7673, - 32.7306, - 37.465, - 39.8992, - 39.5392, - 41.9212, - 40.9873, - 43.1902, - 41.2366, - 44.3939, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.618, - 59.0242, - 131.871, - 130.507, - 137.836, - 148.637, - 152.657, - 164.27, - 164.987, - 168.923, - 173.96, - 154.434, - 110.14, - 103.105, - 80.8263, - 75.5258, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.6475, - 57.9848, - 130.439, - 130.532, - 139.786, - 151.225, - 155.046, - 166.251, - 165.839, - 168.663, - 172.689, - 153.03, - 109.24, - 102.682, - 80.4704, - 74.822, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 48.7852, - 57.5463, - 129.876, - 129.889, - 139.373, - 150.596, - 152.793, - 162.025, - 162.733, - 167.264, - 172.652, - 153.462, - 109.037, - 101.341, - 78.8495, - 72.9751, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.4737, - 57.6887, - 129.707, - 129.516, - 139.048, - 151.044, - 155.496, - 167.615, - 168.156, - 172.089, - 177.028, - 155.951, - 109.521, - 100.77, - 78.4132, - 73.6942, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 50.7376, - 42.6857, - 70.6339, - 78.9823, - 85.3168, - 94.3621, - 98.9358, - 102.21, - 75.0765, - 74.8579, - 75.4814, - 61.6135, - 35.9619, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 22.072, - 30.1981, - 32.2823, - 39.2714, - 42.8957, - 43.5271, - 46.8531, - 44.6373, - 46.7388, - 43.4056, - 39.6717, - 37.0879, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.4657, - 58.0903, - 130.288, - 131.094, - 141.773, - 153.251, - 157.664, - 169.745, - 170.106, - 172.848, - 176.149, - 155.205, - 113.493, - 105.281, - 83.841, - 78.8944, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 50.6625, - 59.6821, - 133.043, - 133.997, - 143.227, - 154.334, - 157.757, - 169.02, - 169.426, - 172.232, - 175.548, - 154.298, - 112.567, - 103.536, - 82.148, - 77.8491, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 49.5019, - 58.6716, - 131.694, - 131.901, - 140.553, - 152.198, - 156.305, - 167.252, - 164.674, - 165.536, - 169.221, - 151.37, - 113.424, - 106.892, - 86.4543, - 82.5826, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.1658, - 59.8721, - 133.158, - 132.57, - 140.109, - 144.527, - 142.785, - 150.76, - 148.048, - 144.695, - 145.241, - 129.063, - 98.8065, - 92.9612, - 74.7919, - 72.4367, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.2639, - 57.5446, - 126.422, - 124.585, - 129.688, - 137.296, - 139.939, - 147.115, - 145.831, - 148.947, - 154.322, - 137.01, - 103.331, - 98.2235, - 80.1669, - 77.3086, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 51.973, - 40.9816, - 67.1259, - 73.9024, - 77.0225, - 82.8645, - 84.5272, - 84.4294, - 56.5795, - 55.1059, - 55.1031, - 45.2891, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 22.1999, - 34.5369, - 35.7034, - 38.084, - 37.2527, - 38.9203, - 36.7207, - 32.2255, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 55.261, - 59.7283, - 128.766, - 125.528, - 129.904, - 139.063, - 145.432, - 153.708, - 152.691, - 156.546, - 158.002, - 139.534, - 102.772, - 94.8214, - 74.8327, - 71.2853, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.941, - 57.8949, - 127.911, - 125.33, - 132.007, - 142.564, - 148.849, - 159.8, - 159.5, - 162.58, - 166.638, - 148.998, - 106.662, - 97.9036, - 76.9297, - 72.6832, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 53.4554, - 58.0579, - 128.346, - 126.164, - 132.655, - 143.22, - 148.846, - 159.463, - 159.633, - 163.557, - 168.312, - 150.523, - 107.713, - 98.2841, - 76.9482, - 72.654, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 51.3871, - 56.1121, - 126.516, - 124.749, - 131.16, - 141.454, - 147.396, - 158.539, - 158.873, - 163.271, - 168.513, - 150.666, - 108.289, - 99.2357, - 79.244, - 76.2735, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 52.0569, - 58.4865, - 128.073, - 122.333, - 124.24, - 132.6, - 135.863, - 140.863, - 138.111, - 140.216, - 144.094, - 130.291, - 98.0194, - 92.6835, - 73.5902, - 70.0135, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 55.4484, - 47.5295, - 68.7474, - 67.4079, - 66.2252, - 70.5351, - 72.19, - 71.8777, - 44.8802, - 44.9103, - 46.7946, - 43.7703, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.5183, - 29.1952, - 32.8783, - 34.2161, - 29.8992, - 19.8317, - 21.2387, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.4217, - 73.522, - 127.884, - 117.287, - 117.576, - 122.589, - 125.968, - 132.455, - 131.446, - 132.993, - 137.568, - 124.207, - 91.2675, - 84.896, - 69.4161, - 68.7621, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 67.0101, - 74.7318, - 127.005, - 116.056, - 116.843, - 123.564, - 128.612, - 136.509, - 136.04, - 137.897, - 143.255, - 127.995, - 92.827, - 84.9527, - 68.3781, - 68.2545, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.7985, - 73.2803, - 125.888, - 116.456, - 119.148, - 126.412, - 131.549, - 139.786, - 138.742, - 140.214, - 144.989, - 129.032, - 93.9899, - 86.9202, - 69.1429, - 67.8901, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.1745, - 72.2689, - 125.993, - 119.515, - 122.365, - 130.581, - 136.978, - 147.005, - 146.223, - 147.983, - 152.423, - 136.822, - 97.0388, - 89.0893, - 70.572, - 68.6685, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.2383, - 71.4699, - 125.446, - 118.764, - 122.007, - 130.454, - 136.884, - 146.98, - 147.343, - 150.733, - 156.2, - 141.69, - 100.288, - 90.6473, - 71.6186, - 69.2678, - 41.6166, - 38.9362, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 59.944, - 52.4924, - 67.7256, - 73.4819, - 78.8701, - 86.7889, - 88.8722, - 89.3524, - 60.3137, - 57.9955, - 58.1322, - 54.376, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 21.2387, - 18.7714, - 18.7714, - 18.7714, - 22.4707, - 30.1791, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 72.3097, - 76.8391, - 127.519, - 117.105, - 115.904, - 119.458, - 120.974, - 123.411, - 119.801, - 120.157, - 127.008, - 124.462, - 88.589, - 86.3852, - 74.6652, - 77.0008, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 71.1916, - 72.6312, - 127.732, - 119.847, - 120.59, - 125.347, - 127.831, - 131.89, - 128.78, - 129.346, - 133.731, - 128.183, - 87.2977, - 85.2861, - 71.8305, - 72.3397, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 65.8689, - 69.3066, - 127.32, - 119.222, - 120.973, - 126.755, - 130.442, - 134.389, - 129.566, - 128.909, - 132.177, - 125.93, - 84.5782, - 82.3879, - 70.5891, - 73.0204, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 78.0021, - 76.3913, - 129.366, - 118.233, - 116.262, - 120.445, - 121.943, - 124.101, - 119.786, - 119.559, - 125.478, - 121.735, - 85.9076, - 85.7267, - 76.2722, - 80.4814, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 91.0597, - 82.4842, - 130.491, - 118.391, - 116.901, - 121.006, - 123.657, - 126.46, - 122.166, - 121.846, - 127.62, - 125.255, - 88.2466, - 86.7746, - 76.9748, - 79.3884, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 25.5477, - 31.0823, - 32.2828, - 32.9553, - 22.6726, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 99.5535, - 95.2738, - 133.538, - 120.15, - 119.917, - 124.632, - 128.682, - 132.156, - 127.853, - 128.219, - 136.58, - 130.147, - 92.3651, - 88.6475, - 76.4276, - 79.7062, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 83.5307, - 79.5097, - 129.444, - 118.909, - 119.739, - 126.674, - 132.345, - 138.108, - 134.918, - 135.191, - 140.948, - 131.72, - 89.7184, - 86.8222, - 72.4053, - 72.2565, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 66.4481, - 72.4592, - 126.736, - 119.181, - 121.675, - 130.656, - 138.5, - 145.204, - 141.087, - 140.645, - 143.673, - 132.354, - 88.1632, - 83.9694, - 69.513, - 70.7331, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 63.5094, - 66.6109, - 126.391, - 120.227, - 123.41, - 131.874, - 138.316, - 144.478, - 142.469, - 144.328, - 148.87, - 135.078, - 89.1987, - 83.6869, - 68.8725, - 68.9389, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 58.0378, - 63.4393, - 127.618, - 121.761, - 125.02, - 134.294, - 141.91, - 148.676, - 144.747, - 145.058, - 151.587, - 142.21, - 98.739, - 94.2967, - 78.1007, - 76.5967, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 47.3187, - 45.2722, - 73.0921, - 80.1723, - 84.3367, - 90.0762, - 91.7398, - 88.3912, - 54.836, - 50.1135, - 55.9587, - 57.184, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 23.706, - 18.7714, - 18.7714, - 18.7714, - 19.4289, - 21.0097, - 24.4215, - 22.5192, - 19.7415, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 114.738, - 102.669, - 137.856, - 123.972, - 119.339, - 119.665, - 119.876, - 117.818, - 113.257, - 113.242, - 124.573, - 125.817, - 96.8943, - 99.7664, - 91.3891, - 93.7498, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 110.961, - 98.6396, - 136.274, - 122.907, - 119.332, - 120.349, - 122.97, - 122.675, - 118.809, - 118.838, - 127.342, - 122.209, - 89.8272, - 90.54, - 81.0463, - 82.6037, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 94.1034, - 94.1191, - 135.581, - 121.537, - 121.171, - 125.717, - 129.551, - 132.837, - 128.915, - 129.117, - 138.826, - 134.495, - 97.3665, - 93.6614, - 78.8909, - 77.8295, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 19.8353, - 23.0273, - 27.8795, - 26.8059, - 21.6979, - 21.4008, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 92.8133, - 84.2545, - 130.528, - 119.266, - 119.426, - 125.485, - 130.36, - 135.432, - 133.502, - 135.116, - 139.853, - 129.065, - 87.4191, - 84.5519, - 72.8685, - 75.5988, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 83.19, - 61.5623, - 73.6895, - 74.9336, - 77.3297, - 84.5303, - 87.6446, - 86.1237, - 58.5974, - 56.6508, - 61.8021, - 61.2189, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 26.1734, - 18.7714, - 18.7714, - 18.7714, - 24.7126, - 33.4857, - 36.9278, - 36.2823, - 37.83, - 27.1587, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 69.6088, - 71.1527, - 130.146, - 123.84, - 124.117, - 128.541, - 131.09, - 134.404, - 130.221, - 130.22, - 138.862, - 131.599, - 91.947, - 88.8011, - 74.8077, - 75.0668, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 70.2025, - 73.4774, - 126.911, - 119.793, - 122.315, - 128.879, - 134.0, - 140.083, - 135.93, - 135.048, - 139.393, - 129.255, - 86.6583, - 83.4962, - 70.0841, - 72.3256, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 77.6817, - 73.7693, - 128.025, - 117.855, - 118.755, - 124.472, - 127.666, - 132.636, - 129.515, - 129.878, - 137.304, - 129.34, - 88.1288, - 85.5871, - 71.2613, - 71.0198, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 68.8549, - 75.6644, - 127.325, - 119.991, - 121.515, - 128.255, - 132.946, - 137.602, - 132.644, - 131.563, - 138.128, - 129.321, - 87.5697, - 84.1435, - 70.062, - 72.2373, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.044, - 94.4318, - 135.886, - 121.886, - 118.155, - 119.194, - 118.73, - 116.641, - 112.647, - 112.623, - 123.708, - 123.555, - 93.4249, - 96.4195, - 88.614, - 91.4584, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 126.462, - 96.0492, - 93.8856, - 88.2251, - 84.7254, - 84.5289, - 80.8154, - 72.9443, - 47.6319, - 47.4507, - 61.843, - 76.1884, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.7678, - 39.7392, - 34.0452, - 22.7394, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 34.5481, - 42.708, - 43.4169, - 45.7942, - 45.0442, - 47.5569, - 48.6458, - 174.849, - 153.449, - 177.673, - 147.391, - 138.446, - 135.236, - 134.479, - 124.883, - 117.458, - 116.642, - 128.417, - 131.807, - 103.501, - 105.083, - 100.197, - 104.543, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 142.716, - 130.147, - 163.64, - 144.764, - 136.399, - 132.799, - 135.096, - 126.625, - 119.956, - 122.185, - 135.585, - 136.796, - 107.765, - 107.344, - 98.3049, - 100.079, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 125.637, - 106.366, - 139.745, - 124.877, - 119.956, - 120.732, - 121.123, - 117.948, - 113.594, - 113.361, - 125.578, - 126.851, - 96.1341, - 98.1574, - 88.7883, - 91.4962, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 120.558, - 103.439, - 139.968, - 125.361, - 123.454, - 125.02, - 126.631, - 123.953, - 119.157, - 114.755, - 127.02, - 129.035, - 100.066, - 101.623, - 92.802, - 94.2563, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 107.704, - 93.0854, - 132.757, - 122.881, - 122.966, - 126.735, - 128.363, - 128.619, - 123.695, - 123.212, - 132.763, - 130.237, - 93.9888, - 89.7531, - 79.1855, - 80.1595, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 101.81, - 76.0559, - 81.1263, - 78.6627, - 76.5332, - 80.1479, - 78.5346, - 73.1357, - 50.0273, - 48.8097, - 59.8428, - 68.167, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 28.6407, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 125.204, - 106.47, - 139.239, - 123.677, - 120.589, - 125.521, - 128.534, - 128.732, - 125.019, - 124.147, - 131.156, - 123.959, - 90.0218, - 91.3038, - 82.2888, - 84.6191, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 101.387, - 90.1349, - 131.867, - 119.908, - 120.33, - 125.113, - 127.775, - 130.39, - 126.099, - 124.502, - 131.187, - 122.747, - 86.2379, - 87.0879, - 77.8866, - 80.6119, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 95.5569, - 86.5121, - 130.962, - 118.795, - 118.531, - 125.894, - 129.412, - 131.609, - 128.061, - 126.2, - 130.611, - 122.206, - 85.1413, - 85.4294, - 75.5376, - 78.2451, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.6874, - 81.2401, - 129.486, - 118.235, - 118.087, - 122.448, - 125.24, - 130.742, - 129.072, - 127.44, - 131.252, - 122.608, - 85.2104, - 85.6105, - 75.2164, - 78.2822, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 92.5747, - 83.2297, - 130.295, - 118.614, - 117.865, - 124.541, - 127.485, - 130.294, - 126.595, - 124.66, - 128.393, - 119.99, - 86.4495, - 87.4627, - 77.4643, - 80.062, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 105.852, - 77.818, - 82.059, - 78.4418, - 77.7328, - 80.9114, - 79.8116, - 74.8111, - 47.9128, - 49.0545, - 58.6146, - 65.9774, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 20.4555, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 148.924, - 123.952, - 150.773, - 131.07, - 124.398, - 126.319, - 131.069, - 129.249, - 125.114, - 124.165, - 130.74, - 125.235, - 94.2605, - 97.233, - 89.9435, - 93.3676, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 123.639, - 104.539, - 138.192, - 122.768, - 118.668, - 122.101, - 125.639, - 125.343, - 122.298, - 121.629, - 126.607, - 123.003, - 92.3402, - 93.3157, - 85.1471, - 88.1028, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 109.703, - 96.0474, - 134.96, - 121.46, - 117.841, - 122.672, - 125.775, - 127.79, - 125.056, - 123.622, - 128.198, - 121.315, - 87.6117, - 87.8139, - 78.0084, - 80.9289, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 91.3591, - 83.8439, - 129.812, - 120.692, - 122.24, - 126.446, - 128.608, - 132.154, - 128.418, - 124.915, - 127.402, - 119.953, - 86.341, - 87.2747, - 77.3827, - 80.8281, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 90.5284, - 84.3464, - 130.461, - 118.571, - 115.153, - 116.781, - 117.17, - 116.399, - 112.798, - 112.438, - 123.218, - 122.469, - 90.8552, - 93.1162, - 85.1782, - 87.3454, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 124.867, - 95.2851, - 93.4602, - 87.425, - 83.6816, - 84.3425, - 80.4443, - 73.4037, - 48.2827, - 47.9516, - 62.6055, - 77.8954, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 38.3025, - 37.793, - 22.5549, - 20.2539, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 36.3076, - 39.4666, - 39.2393, - 41.3982, - 40.3823, - 43.4003, - 39.4045, - 26.4328, - 22.573, - 22.1928, - 19.3614, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 26.1734, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 36.3223, - 41.8002, - 44.4458, - 43.941, - 46.0452, - 45.2631, - 50.5404, - 184.05, - 157.579, - 182.405, - 158.086, - 147.42, - 146.227, - 151.141, - 141.751, - 136.294, - 134.65, - 145.47, - 147.7, - 120.624, - 119.31, - 112.66, - 115.181, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 139.677, - 124.682, - 152.342, - 129.812, - 123.939, - 126.263, - 128.578, - 124.587, - 119.881, - 118.743, - 128.723, - 128.17, - 96.8937, - 96.6911, - 88.0193, - 89.5504, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 95.2845, - 96.3731, - 143.728, - 133.032, - 131.387, - 128.042, - 125.128, - 123.904, - 120.515, - 121.101, - 132.352, - 132.045, - 101.204, - 100.116, - 90.1973, - 90.926, - 41.6166, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 38.9362, - 41.6166, - 102.381, - 92.1395, - 134.191, - 127.398, - 124.534, - 121.291, - 121.403, - 119.586, - 113.14, - 113.142, - 124.211, - 124.255, - 93.5647, - 95.4133, - 86.2237, - 87.6581, - 41.6166, - 38.9362, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 115.837, - 86.2428, - 87.0184, - 83.278, - 81.0576, - 82.4189, - 78.4133, - 71.2169, - 51.699, - 52.4791, - 64.6016, - 75.8291, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 31.108, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 18.7714, - 23.706, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754, - 33.5754 - ], - "loan_moratorium": 0.0, - "mera_cost1": 0.0, - "mera_cost2": 0.0, - "mera_cost3": 0.0, - "mera_name1": "Replacement Reserve 1", - "mera_name2": "Replacement Reserve 2", - "mera_name3": "Replacement Reserve 3", - "months_receivables_reserve": 0.0, - "months_working_reserve": 6.0, - "mpeak": [ - 234.676, - 173.422, - 172.007, - 191.434, - 198.295, - 236.469, - 274.231, - 260.336, - 226.751, - 185.123, - 156.2, - 184.05 - ], - "nominal_discount_rate": 8.1375, - "normalize_to_utility_bill": 0.0, - "payment_option": 0.0, - "pbi_fed_amount": [ - 0.0 - ], - "pbi_fed_escal": 0.0, - "pbi_fed_for_ds": 0.0, - "pbi_fed_tax_fed": 1.0, - "pbi_fed_tax_sta": 1.0, - "pbi_fed_term": 0.0, - "pbi_oth_amount": [ - 0.0 - ], - "pbi_oth_escal": 0.0, - "pbi_oth_for_ds": 0.0, - "pbi_oth_tax_fed": 1.0, - "pbi_oth_tax_sta": 1.0, - "pbi_oth_term": 0.0, - "pbi_sta_amount": [ - 0.0 - ], - "pbi_sta_escal": 0.0, - "pbi_sta_for_ds": 0.0, - "pbi_sta_tax_fed": 1.0, - "pbi_sta_tax_sta": 1.0, - "pbi_sta_term": 0.0, - "pbi_uti_amount": [ - 0.0 - ], - "pbi_uti_escal": 0.0, - "pbi_uti_for_ds": 0.0, - "pbi_uti_tax_fed": 1.0, - "pbi_uti_tax_sta": 1.0, - "pbi_uti_term": 0.0, - "peak_1": 234.676, - "peak_10": 185.123, - "peak_11": 156.2, - "peak_12": 184.05, - "peak_2": 173.422, - "peak_3": 172.007, - "peak_4": 191.434, - "peak_5": 198.295, - "peak_6": 236.469, - "peak_7": 274.231, - "peak_8": 260.336, - "peak_9": 226.751, - "ppa_escalation": 1.0, - "ppa_multiplier_model": 0.0, - "ppa_price_input": [ - 0.0 - ], - "ppa_soln_mode": 1.0, - "prop_tax_assessed_decline": 0.0, - "prop_tax_cost_assessed_percent": 100.0, - "property_assessed_value": 24304.0, - "property_tax_rate": 1.0, - "ptc_fed_amount": [ - 0.0 - ], - "ptc_fed_escal": 0.0, - "ptc_fed_term": 10.0, - "ptc_sta_amount": [ - 0.0 - ], - "ptc_sta_escal": 0.0, - "ptc_sta_term": 10.0, - "pv_system_capacity": 4.0, - "pv_total_installed_cost": 0.0, - "rate_escalation": [ - 0.0 - ], - "real_discount_rate": 5.5, - "reserves_interest": 1.75, - "run_resiliency_calcs": 0.0, - "sales_tax_rate": 0.0, - "salvage_percentage": 0.0, - "salvage_value": 0.0, - "scale_factor": 1.0, - "show_CapitalCostSO": 0.0, - "show_DebtConstDSCR": 0.0, - "show_ReserveAccounts": 0.0, - "show_construction_period": 1.0, - "solution_mode_message": "", - "state_tax_rate": [ - 7.0 - ], - "system_capacity": 25.304, - "term_int_rate": 0.0, - "term_tenor": 0.0, - "tod_library": "Uniform Dispatch", - "total_installed_cost": 24304.0, - "ui_annual_load": 0.0, - "ui_wacc": 4.06875, - "ur_annual_min_charge": 0.0, - "ur_billing_demand_is_shown": 0.0, - "ur_billing_demand_lookback_percentages": [ - [ - 0.0 - ] - ], - "ur_billing_demand_lookback_period": 0.0, - "ur_billing_demand_minimum": 0.0, - "ur_cr_sched": [ - [ - 0.0 - ] - ], - "ur_cr_tou_mat": [ - [ - 0.0 - ] - ], - "ur_dc_billing_demand_periods": [ - [ - 0.0 - ] - ], - "ur_dc_enable": 0.0, - "ur_dc_flat_mat": [ - [ - 0.0, - 1.0, - 1e38, - 0.0 - ], - [ - 1.0, - 1.0, - 1e38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e38, - 0.0 - ], - [ - 3.0, - 1.0, - 1e38, - 0.0 - ], - [ - 4.0, - 1.0, - 1e38, - 0.0 - ], - [ - 5.0, - 1.0, - 1e38, - 0.0 - ], - [ - 6.0, - 1.0, - 1e38, - 0.0 - ], - [ - 7.0, - 1.0, - 1e38, - 0.0 - ], - [ - 8.0, - 1.0, - 1e38, - 0.0 - ], - [ - 9.0, - 1.0, - 1e38, - 0.0 - ], - [ - 10.0, - 1.0, - 1e38, - 0.0 - ], - [ - 11.0, - 1.0, - 1e38, - 0.0 - ] - ], - "ur_dc_is_shown": 1.0, - "ur_dc_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_tou_mat": [ - [ - 1.0, - 1.0, - 1e38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e38, - 0.0 - ] - ], - "ur_demand_attrs": "", - "ur_demand_history": 0.0, - "ur_demand_max": 0.0, - "ur_demand_min": 0.0, - "ur_demand_reactive_power_charge": 0.0, - "ur_demand_window": 0.0, - "ur_desc_is_shown": 0.0, - "ur_description": "", - "ur_ec_is_shown": 1.0, - "ur_ec_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_ec_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_ec_tou_mat": [ - [ - 1.0, - 1.0, - 9.6, - 2.0, - 0.16756, - 0.0 - ], - [ - 1.0, - 2.0, - 12.48, - 2.0, - 0.17999, - 0.0 - ], - [ - 1.0, - 3.0, - 19.2, - 2.0, - 0.34805, - 0.0 - ], - [ - 1.0, - 4.0, - 1e38, - 2.0, - 0.45718, - 0.0 - ], - [ - 2.0, - 1.0, - 9.6, - 2.0, - 0.14747, - 0.0 - ], - [ - 2.0, - 2.0, - 12.48, - 2.0, - 0.1599, - 0.0 - ], - [ - 2.0, - 3.0, - 19.2, - 2.0, - 0.3134, - 0.0 - ], - [ - 2.0, - 4.0, - 1e38, - 2.0, - 0.34283, - 0.0 - ], - [ - 3.0, - 1.0, - 10.1, - 2.0, - 0.14982, - 0.0 - ], - [ - 3.0, - 2.0, - 13.13, - 2.0, - 0.16225, - 0.0 - ], - [ - 3.0, - 3.0, - 20.2, - 2.0, - 0.29989, - 0.0 - ], - [ - 3.0, - 4.0, - 1e38, - 2.0, - 0.35231, - 0.0 - ], - [ - 4.0, - 1.0, - 10.1, - 2.0, - 0.14747, - 0.0 - ], - [ - 4.0, - 2.0, - 13.13, - 2.0, - 0.1599, - 0.0 - ], - [ - 4.0, - 3.0, - 20.2, - 2.0, - 0.29603, - 0.0 - ], - [ - 4.0, - 4.0, - 1e38, - 2.0, - 0.34241, - 0.0 - ] - ], - "ur_en_ts_buy_rate": 0.0, - "ur_en_ts_sell_rate": 0.0, - "ur_enable_billing_demand": 0.0, - "ur_end_date": "empty", - "ur_energy_attrs": "", - "ur_energy_history": 0.0, - "ur_energy_max": 0.0, - "ur_energy_min": 0.0, - "ur_fixed_attrs": "", - "ur_fuel_adjustments_monthly": [ - 0.0 - ], - "ur_has_unused_items": 0.0, - "ur_is_default": 0.0, - "ur_metering_option": 0.0, - "ur_monthly_fixed_charge": 0.0, - "ur_monthly_min_charge": 0.0, - "ur_name": "", - "ur_nm_credit_month": 0.0, - "ur_nm_credit_rollover": 0.0, - "ur_nm_yearend_sell_rate": 0.0, - "ur_phase_wiring": "", - "ur_rate_notes": "", - "ur_ratedata_filename": "", - "ur_schedule_name": "empty", - "ur_service_type": "", - "ur_source": "empty", - "ur_start_date": "empty", - "ur_ts_buy_rate": [ - 0.0 - ], - "ur_ts_sell_rate": [ - 0.0 - ], - "ur_unused_is_shown": 0.0, - "ur_uri": "empty", - "ur_utility": "empty", - "ur_voltage_category": "", - "ur_voltage_max": 0.0, - "ur_voltage_min": 0.0, - "ur_yearzero_usage_peaks": [ - 0.0 - ], - "utility_bill_data": [ - 300.0, - 300.0, - 300.0, - 300.0, - 300.0, - 400.0, - 500.0, - 500.0, - 400.0, - 300.0, - 300.0, - 300.0 - ], - "wind_system_capacity": 1.0, - "wind_total_installed_cost": 4000.0 - } - } -} \ No newline at end of file + "input" : { + "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","host_developer"], + "pvwattsv8" : { + "inverter_num_units" : 1, + "grid_percent" : 0, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "permitting_per_watt" : 0.029999999999999999, + "permitting_total" : 16200, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "shading_mxh" : [ [ 0 ] ], + "bos_equip_fixed" : 0, + "om_fixed_escal" : 0, + "installed_per_capacity" : 1.7559200000000001, + "om_production" : [ 0 ], + "land_area_value" : 2.3409962811973517, + "losses" : 14.075660688264469, + "module_is_bifacial" : 0, + "land_per_acre" : 0, + "install_labor_fixed" : 0, + "land_percent" : 0, + "inverter_costunits" : 1, + "landprep_fixed" : 0, + "install_labor_perarea" : 0, + "loss_shading" : 3, + "ui_total_module_area" : 2842.1052631578946, + "shading_en_mxh" : 0, + "contingency_percent" : 4, + "ui_ac_capacity" : 0.46956521739130436, + "total_installed_cost" : 948196.80000000005, + "module_total" : 232200, + "solar_data_source" : "NSRDB", + "tilt" : 20, + "adjust_en_periods" : 0, + "grid_total" : 27000, + "sales_tax_value" : 5, + "modulearray_power" : 540, + "annual_global" : 5.7947616438356171, + "ui_total_land_area_ha" : 0.94736904603199112, + "grid_per_watt" : 0.050000000000000003, + "inverter_total" : 27000, + "dc_ac_ratio" : 1.1499999999999999, + "loss_nameplate" : 1, + "bos_equip_perwatt" : 0.34999999999999998, + "landprep_per_acre" : 0, + "module_num_units" : 1, + "loss_age" : 0, + "shading_en_diff" : 0, + "engr_total" : 162000, + "ui_land_area_per_mw" : 0, + "inflation_rate" : 2.5, + "grid_fixed" : 0, + "user_specified_weather_file" : "", + "loss_wiring" : 2, + "subtotal_direct" : 680400, + "module_power" : 540, + "inv_eff" : 96, + "install_labor_perwatt" : 0.17999999999999999, + "lat" : 33.450000000000003, + "system_use_lifetime_output" : 0, + "loss_snow" : 0, + "ui_land_area_additional" : 0, + "ac_nameplate" : 469.56521739130437, + "per_module" : 0.42999999999999999, + "om_production_escal" : 0, + "shading_timestep" : [ [ 0 ] ], + "land_per_watt" : 0, + "is_advanced" : 0, + "modulearray_area" : 2842.1052631578946, + "batt_simple_enable" : 0, + "library_paths" : "", + "inverter_power" : 469.56521739130437, + "ui_total_module_area_ha" : 0.28421052631578947, + "analysis_period" : 25, + "azimuth" : 180, + "land_fixed" : 0, + "system_capacity" : 540, + "module_costunits" : 0, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "shading_en_timestep" : 0, + "contingency" : 27216, + "per_inverter" : 0.050000000000000003, + "user_specified_constant_albedo" : 0.20000000000000001, + "bos_equip_total" : 189000, + "lon" : -111.98, + "en_snowloss" : 0, + "shading" : 0, + "annual_beam" : 7.3356438356164393, + "in_location_options" : 0, + "shading_en_azal" : 0, + "total_module_area" : 2842.1052631578946, + "pv_land_area_is_shown" : 0, + "bos_equip_perarea" : 0, + "in_location_list" : "15458 Denver W Pkwy, Golden CO 804041|phoenix,az|45.5,-120.9|Manaus,Brazil", + "library_folder_list" : "x", + "annual_twet" : "nan", + "file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "landprep_per_watt" : 0, + "sales_tax_rate" : 5, + "install_margin_fixed" : 0, + "use_wf_albedo" : 1, + "total_indirect_cost" : 205200, + "permitting_fixed" : 0, + "permitting_percent" : 0, + "gcr" : 0.29999999999999999, + "om_capacity_escal" : 0, + "install_margin_perarea" : 0, + "land_total" : 0, + "tz" : -7, + "step" : 3600, + "landprep_total" : 0, + "ui_use_acre_per_mw" : 0, + "install_margin_perwatt" : 0.25, + "pvwatts.advanced.is_shown" : 0, + "solar_data_file_name_load" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "annual_tdry" : 21.938470319634703, + "ui_total_module_area_acres" : 0.70229888435920551, + "adjust_en_timeindex" : 0, + "annual_albedo" : 0.18395068539332038, + "array_type" : 1, + "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", + "wf_nrecords" : 8760, + "shading_diff" : 0, + "en_user_spec_losses" : 0, + "engr_fixed" : 0, + "engr_per_watt" : 0.29999999999999999, + "loss_lid" : 1.5, + "ui_array_land_area_multiplier" : 1, + "total_land_area" : 2.3409962811973517, + "total_direct_cost" : 707616, + "loss_mismatch" : 2, + "shading_string_option" : 0, + "annual_snow" : "nan", + "adjust" : 0, + "use_specific_weather_file" : 0, + "ui_step_minutes" : 60, + "sales_tax_total" : 35380.800000000003, + "bifaciality" : 0, + "landprep_percent" : 0, + "country" : "-", + "module_type" : 0, + "loss_avail" : 3, + "om_fixed" : [ 0 ], + "inverterarray_power" : 469.56521739130437, + "annual_diffuse" : 1.3484328767123288, + "engr_percent" : 0, + "elev" : 358, + "in_time_step" : 0, + "solar_data_file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "install_labor_total" : 97200, + "city" : "-", + "ui_array_land_area_acres" : 2.3409962811973517, + "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", + "adjust_constant" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "station_id" : "78208", + "ui_array_land_area_ha" : 0.94736904603199112, + "loss_soiling" : 2, + "annual_wspd" : 1.7864840188646289, + "losses_user" : 14, + "om_capacity" : [ 22 ], + "shading_azal" : [ [ 0 ] ], + "shading_en_string_option" : 0, + "install_margin_total" : 135000, + "state" : "-", + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "degradation" : [ 0.5 ], + "ui_land_area_additional_units" : 0, + "in_nsrdb_options" : 0, + "loss_conn" : 0.5, + "number table entries" : 167 + }, + "hybrid" : { + "om_fixed" : 0, + "cbi_oth_tax_fed" : 1, + "cbi_oth_deprbas_sta" : 0, + "energy_2" : 48557.315400000007, + "depr_alloc_sl_5_percent" : 0, + "ibi_fed_amount_deprbas_fed" : 0, + "crit_7" : 0, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "energy_12" : 54338.530100000084, + "ur_rate_notes" : "", + "ac_nameplate" : 469.565, + "mpeak" : [ 234.67599999999999, 173.422, 172.00700000000001, 191.434, 198.29499999999999, 236.46899999999999, 274.23099999999999, 260.33600000000001, 226.751, 185.12299999999999, 156.19999999999999, 184.05000000000001 ], + "crit_9" : 0, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "pbi_uti_for_ds" : 0, + "ur_billing_demand_lookback_period" : 0, + "ui_generic_cost" : 0, + "cbi_uti_deprbas_fed" : 0, + "ibi_fed_amount" : 0, + "depr_bonus_fed_macrs_15" : 0, + "pbi_uti_amount" : [ 0 ], + "lib_dispatch_factor1" : 1, + "ibi_uti_percent_maxvalue" : 0, + "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ptc_fed_escal" : 0, + "peak_12" : 184.05000000000001, + "crit_2" : 0, + "ur_schedule_name" : "empty", + "depr_bonus_sta_macrs_15" : 0, + "ui_fuel_cell_cost" : 0, + "ibi_uti_percent_deprbas_fed" : 0, + "equip3_reserve_cost" : 0, + "load_user_data" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "prop_tax_cost_assessed_percent" : 100, + "ibi_fed_amount_deprbas_sta" : 0, + "peak_4" : 191.434, + "depr_fedbas_method" : 1, + "ptc_fed_term" : 10, + "ur_has_unused_items" : 0, + "crit_11" : 0, + "escal_input_hourly" : [ 0 ], + "energy_8" : 77555.05109999991, + "peak_6" : 236.46899999999999, + "ibi_uti_amount_deprbas_sta" : 0, + "ur_metering_option" : 0, + "debt_option" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "itc_fed_amount_deprbas_fed" : 1, + "ui_annual_load" : 0, + "cbi_fed_tax_fed" : 1, + "ur_demand_window" : 0, + "ur_billing_demand_minimum" : 0, + "om_capacity" : 0, + "cost_debt_fee" : 2.75, + "ibi_uti_percent_tax_fed" : 1, + "depr_alloc_sl_20_percent" : 0, + "nominal_discount_rate" : 9.0600000000000023, + "ppa_multiplier_model" : 0, + "ur_is_default" : 0, + "ibi_fed_percent_tax_sta" : 1, + "cbi_sta_tax_fed" : 1, + "ur_ts_sell_rate" : [ 0 ], + "crit_6" : 0, + "show_debtconstdscr" : 1, + "cbi_fed_deprbas_fed" : 0, + "ur_energy_min" : 0, + "enable_interconnection_limit" : 0, + "battery_total_installed_cost" : 391808.35863000003, + "crit_5" : 0, + "ibi_fed_percent_maxvalue" : 0, + "ibi_sta_amount" : 0, + "batt_power_discharge_max_kwac" : 288.05025600000005, + "const_per_name3" : "Loan 3", + "ur_voltage_max" : 0, + "cbi_fed_tax_sta" : 1, + "const_per_total3" : 0, + "payment_option" : 0, + "itc_sta_percent_deprbas_sta" : 0, + "peak_7" : 274.23099999999999, + "ur_source" : "empty", + "pbi_fed_amount" : [ 0 ], + "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "peak_9" : 226.751, + "is_btm" : 0, + "ur_monthly_fixed_charge" : 0, + "pbi_sta_tax_fed" : 1, + "ppa_escalation" : 1, + "crit_3" : 0, + "wind_total_installed_cost" : 464400, + "energy_7" : 77708.464099999896, + "crit_load_type" : 0, + "ur_en_ts_buy_rate" : 0, + "ur_demand_attrs" : "", + "peak_10" : 185.12299999999999, + "prop_tax_assessed_decline" : 0, + "energy_5" : 60460.74550000007, + "depr_itc_sta_sl_39" : 0, + "ibi_oth_percent_maxvalue" : 0, + "ur_service_type" : "", + "const_per_interest4" : 0, + "ur_name" : "", + "crit_load" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_salvage_value" : 0, + "depr_alloc_none" : 0, + "system_capacity" : 569.56500000000005, + "load_escalation" : [ 0 ], + "depr_itc_fed_sl_39" : 0, + "const_per_interest3" : 0, + "lib_dispatch_factor8" : 1, + "depr_itc_fed_custom" : 0, + "ibi_sta_percent_maxvalue" : 0, + "depr_bonus_sta_sl_20" : 0, + "cbi_uti_tax_fed" : 1, + "ppa_soln_mode" : 1, + "ibi_oth_percent_tax_fed" : 1, + "ur_start_date" : "empty", + "om_production" : 0, + "ui_generic_capacity" : 0, + "const_per_upfront_rate2" : 0, + "chk_update_peaks" : 0, + "const_per_months5" : 0, + "pbi_oth_tax_fed" : 1, + "equip_reserve_depr_sta" : 0, + "depr_itc_fed_macrs_15" : 0, + "ibi_sta_percent_tax_fed" : 1, + "energy_3" : 55750.081999999988, + "const_per_interest_rate1" : 6.5, + "const_per_name1" : "Loan 1", + "depr_itc_sta_sl_20" : 0, + "salvage_value" : 0, + "property_tax_rate" : 0, + "crit_10" : 0, + "pbi_fed_escal" : 0, + "depr_bonus_fed_sl_39" : 0, + "peak_1" : 234.67599999999999, + "ptc_fed_amount" : [ 0 ], + "const_per_months1" : 6, + "depr_alloc_macrs_15_percent" : 0, + "mera_cost1" : 56956.500000000007, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "const_per_percent1" : 100, + "ibi_fed_amount_tax_sta" : 1, + "const_per_total2" : 0, + "const_per_percent_total" : 100, + "equip_reserve_depr_fed" : 0, + "ibi_fed_percent_deprbas_fed" : 0, + "depr_bonus_fed_sl_5" : 0, + "ui_hyb_pv_capacity" : 469.565, + "wind_system_capacity" : 100, + "ur_desc_is_shown" : 0, + "ur_cr_sched" : [ [ 0 ] ], + "const_per_interest_rate4" : 0, + "const_per_upfront_rate1" : 1, + "ur_unused_is_shown" : 0, + "annual_peak" : 274.23099999999999, + "const_per_name5" : "Loan 5", + "cbi_sta_amount" : 0, + "crit_load_user_data" : [ 0 ], + "show_capitalcostso" : 1, + "depr_bonus_sta_sl_5" : 0, + "ibi_sta_amount_deprbas_fed" : 0, + "ur_ec_sched_weekend" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ] ], + "ur_billing_demand_is_shown" : 0, + "depr_itc_fed_sl_5" : 0, + "ibi_uti_amount_tax_fed" : 1, + "depr_itc_sta_sl_15" : 0, + "cbi_uti_amount" : 0, + "normalize_to_utility_bill" : 0, + "rate_escalation" : [ 0 ], + "inflation_rate" : 2.5, + "depr_bonus_fed_sl_20" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_fed" : 0, + "ibi_uti_percent_tax_sta" : 1, + "pbi_oth_for_ds" : 0, + "grid_interconnection_limit_kwac" : 20000, + "ibi_oth_percent_deprbas_fed" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ibi_fed_percent" : 0, + "ui_wind_cost" : 464400, + "ibi_fed_percent_deprbas_sta" : 0, + "ur_energy_max" : 0, + "pv_total_installed_cost" : 948196.80000000005, + "load_model" : 1, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ur_ec_sched_weekday" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ] ], + "ur_dc_is_shown" : 1, + "cbi_oth_amount" : 0, + "cbi_oth_tax_sta" : 1, + "ibi_oth_percent_tax_sta" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ], [ 2, 1, 9.9999999999999998e+37, 0, 0.074999999999999997, 0 ], [ 3, 1, 9.9999999999999998e+37, 0, 0.059999999999999998, 0 ], [ 4, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ] ], + "ur_description" : "", + "cbi_sta_deprbas_sta" : 0, + "ur_demand_max" : 0, + "cost_debt_closing" : 0, + "ur_energy_history" : 0, + "itc_fed_percent" : [ 30 ], + "peak_2" : 173.422, + "cbi_oth_maxvalue" : 0, + "crit_4" : 0, + "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "crit_8" : 0, + "ur_phase_wiring" : "", + "pbi_sta_term" : 0, + "batt_minimum_outage_soc" : 10, + "ur_demand_min" : 0, + "cbi_sta_maxvalue" : 0, + "ur_end_date" : "empty", + "pbi_sta_escal" : 0, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "pbi_oth_escal" : 0, + "const_per_principal_total" : 1804405.15863, + "dscr_reserve_months" : 0, + "total_installed_cost" : 1804405.15863, + "lib_dispatch_factor3" : 1, + "ibi_oth_amount_tax_fed" : 1, + "depr_itc_sta_macrs_15" : 0, + "depr_bonus_fed_sl_15" : 0, + "const_per_principal2" : 0, + "cbi_uti_tax_sta" : 1, + "depr_alloc_sl_15_percent" : 0, + "host_nominal_discount_rate" : 9.0600000000000023, + "ibi_sta_percent_deprbas_sta" : 0, + "cbi_fed_maxvalue" : 0, + "const_per_upfront_rate3" : 0, + "crit_load_escalation" : [ 0 ], + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "depr_itc_sta_sl_5" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "load_annual_total" : 726208.38439997181, + "show_construction_period" : 1, + "pbi_oth_tax_sta" : 1, + "depr_bonus_sta_macrs_5" : 0, + "itc_fed_amount" : [ 0 ], + "escal_belpe" : [ 0 ], + "ur_energy_attrs" : "", + "cbi_uti_deprbas_sta" : 0, + "loan_moratorium" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "peak_11" : 156.19999999999999, + "const_per_principal5" : 0, + "pbi_uti_term" : 0, + "ptc_sta_escal" : 0, + "property_assessed_value" : 1804405.15863, + "ppa_price_input" : [ 0.153 ], + "pbi_uti_escal" : 0, + "sales_tax_rate" : 5, + "ptc_sta_amount" : [ 0 ], + "annual_crit" : 0, + "const_per_total5" : 0, + "flip_target_year" : 20, + "itc_sta_amount_deprbas_sta" : 0, + "pbi_oth_term" : 0, + "const_per_interest_rate2" : 0, + "pbi_uti_tax_fed" : 1, + "energy_9" : 61793.676699999931, + "const_per_total1" : 47365.635414037504, + "energy_10" : 57692.479699999887, + "pbi_fed_tax_fed" : 1, + "depr_bonus_sta_custom" : 0, + "ur_utility" : "empty", + "crit_load_pct" : 0, + "ur_annual_min_charge" : 0, + "construction_financing_cost" : 47365.635414037504, + "itc_sta_percent_deprbas_fed" : 0, + "depr_stabas_method" : 1, + "const_per_upfront_rate4" : 0, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "ibi_sta_amount_deprbas_sta" : 0, + "ui_fuel_cell_capacity" : 0, + "grid_outage" : [ 0 ], + "cbi_sta_tax_sta" : 1, + "ur_nm_yearend_sell_rate" : 0, + "const_per_months3" : 0, + "depr_itc_fed_sl_20" : 0, + "utility_bill_data" : [ 300, 300, 300, 300, 300, 400, 500, 500, 400, 300, 300, 300 ], + "ur_ec_is_shown" : 1, + "cbi_sta_deprbas_fed" : 0, + "ur_yearzero_usage_peaks" : [ 0 ], + "ur_enable_billing_demand" : 0, + "const_per_interest_rate5" : 0, + "depr_itc_fed_macrs_5" : 0, + "ur_demand_history" : 0, + "ui_pv_cost" : 948196.80000000005, + "term_int_rate" : 7, + "show_reserveaccounts" : 1, + "pbi_fed_tax_sta" : 1, + "lib_dispatch_factor4" : 1, + "peak_8" : 260.33600000000001, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "lib_dispatch_factor6" : 1, + "const_per_principal4" : 0, + "ibi_uti_amount" : 0, + "const_per_interest2" : 0, + "mera_name1" : "Replacement Reserve 1", + "const_per_months2" : 0, + "equip2_reserve_freq" : 0, + "depr_bonus_sta" : 0, + "energy_1" : 57339.488999999958, + "const_per_months4" : 0, + "cbi_fed_amount" : 0, + "itc_sta_amount" : [ 0 ], + "lib_dispatch_factor5" : 1, + "ibi_sta_amount_tax_sta" : 1, + "lib_dispatch_factor7" : 1, + "analysis_period_warning" : "", + "energy_4" : 53014.929699999993, + "ibi_oth_amount" : 0, + "crit_1" : 0, + "energy_6" : 70152.33849999994, + "depr_alloc_macrs_5_percent" : 100, + "const_per_percent3" : 0, + "ur_cr_tou_mat" : [ [ 0 ] ], + "depr_itc_sta_macrs_5" : 0, + "depr_bonus_sta_sl_15" : 0, + "lib_dispatch_factor9" : 1, + "equip2_reserve_cost" : 0, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_term" : 0, + "ur_nm_credit_rollover" : 0, + "reserves_interest" : 1.75, + "ur_voltage_category" : "", + "lib_dispatch_factor2" : 1, + "hybrid_capital_cost" : 0, + "pbi_fed_for_ds" : 0, + "depr_alloc_sl_39_percent" : 0, + "host_real_discount_rate" : 6.4000000000000004, + "const_per_interest5" : 0, + "dscr_limit_debt_fraction" : 0, + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "mera_cost3" : 0, + "depr_itc_fed_sl_15" : 0, + "cost_other_financing" : 0, + "ptc_sta_term" : 10, + "mera_name3" : "Replacement Reserve 3", + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "ur_fuel_adjustments_monthly" : [ 0 ], + "tod_library" : "Uniform Dispatch", + "analysis_period" : 25, + "ibi_oth_amount_deprbas_sta" : 0, + "real_discount_rate" : 6.4000000000000004, + "itc_sta_percent" : [ 0 ], + "ibi_uti_amount_tax_sta" : 1, + "ur_ratedata_filename" : "", + "ibi_uti_amount_deprbas_fed" : 0, + "const_per_percent5" : 0, + "equip3_reserve_freq" : 0, + "mera_cost2" : 0, + "months_receivables_reserve" : 0, + "const_per_principal1" : 1804405.15863, + "pbi_sta_amount" : [ 0 ], + "ur_dc_enable" : 0, + "dscr_maximum_debt_fraction" : 100, + "debt_percent" : 60, + "state_tax_rate" : [ 7 ], + "depr_bonus_fed_custom" : 0, + "ibi_oth_amount_tax_sta" : 1, + "const_per_total4" : 0, + "pbi_uti_tax_sta" : 1, + "ibi_sta_percent_deprbas_fed" : 0, + "const_per_interest_rate3" : 0, + "const_per_percent2" : 0, + "ur_demand_reactive_power_charge" : 0, + "ibi_fed_percent_tax_fed" : 1, + "solution_mode_message" : "", + "const_per_name2" : "Loan 2", + "flip_target_percent" : 11, + "itc_fed_percent_deprbas_sta" : 1, + "crit_12" : 0, + "batt_salvage_percentage" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "dispatch_data_filename" : "", + "scale_factor" : 1, + "ibi_sta_percent_tax_sta" : 1, + "const_per_upfront_rate5" : 0, + "cbi_fed_deprbas_sta" : 0, + "depr_bonus_sta_sl_39" : 0, + "pbi_oth_amount" : [ 0 ], + "cbi_oth_deprbas_fed" : 0, + "const_per_interest_total" : 29321.583827737501, + "salvage_percentage" : 0, + "term_tenor" : 18, + "ur_uri" : "empty", + "insurance_rate" : 0, + "federal_tax_rate" : [ 21 ], + "months_working_reserve" : 6, + "mera_name2" : "Replacement Reserve 2", + "peak_5" : 198.29499999999999, + "const_per_principal3" : 0, + "dscr" : 1.3, + "equip1_reserve_freq" : 15, + "itc_fed_percent_deprbas_fed" : 1, + "depr_itc_sta_custom" : 0, + "depr_bonus_fed_macrs_5" : 1, + "debt_message" : "", + "ibi_fed_amount_tax_fed" : 1, + "ibi_uti_percent" : 0, + "energy_11" : 51845.282599999977, + "ur_fixed_attrs" : "", + "ibi_sta_percent" : 0, + "pbi_sta_for_ds" : 0, + "escal_other" : [ 0 ], + "const_per_interest1" : 29321.583827737501, + "ibi_oth_percent" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "ui_wacc" : 6.7097400000000018, + "ibi_uti_percent_deprbas_sta" : 0, + "run_resiliency_calcs" : 0, + "cbi_uti_maxvalue" : 0, + "ui_battery_capacity" : 288.05025600000005, + "depr_custom_schedule" : [ 0 ], + "ui_battery_cost" : 391808.35863000003, + "const_per_name4" : "Loan 4", + "ibi_sta_amount_tax_fed" : 1, + "batt_replacement_option" : 1, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "ur_en_ts_sell_rate" : 0, + "pbi_sta_tax_sta" : 1, + "ur_nm_credit_month" : 11, + "ur_voltage_min" : 0, + "depr_alloc_custom_percent" : 0, + "const_per_percent4" : 0, + "peak_3" : 172.00700000000001, + "number table entries" : 424 + }, + "windpower" : { + "bos_cost_total" : 191160, + "est_turbine_cost" : 0, + "om_fixed" : [ 0 ], + "wind_resource.location_id" : "loc_id", + "wind.turbine.tower_design" : 0, + "env_icing_loss" : 0.20999999999999999, + "sales_tax_rate" : 5, + "system_capacity" : 100, + "sales_tax_basis" : 0, + "degradation" : [ 0 ], + "wind_farm_xcoordinates" : [ 0 ], + "analysis_period" : 25, + "install_type" : 0, + "turb_generic_loss" : 1.7, + "elec_parasitic_loss" : 0.10000000000000001, + "om_fixed_escal" : 0, + "a_error_test_number" : 17.344999999999999, + "turbine_cost_total" : 273240, + "wind_resource.closest_dir_meas_ht" : 80, + "avail_loss_total" : 5.5011684999999977, + "ops_env_loss" : 1, + "reference_sales_tax_percent" : 5, + "total_installed_cost" : 464400, + "wind.turbine.name_only" : "0", + "wind_turbine_rated_wind_speed" : 100, + "avail_grid_loss" : 1.5, + "wind_resource.year" : 1900, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "reference_capacity" : 100, + "ops_grid_loss" : 0.83999999999999997, + "wind_farm_ycoord_file" : [ 0 ], + "resource_definition_type" : 0, + "sales_tax_total" : 0, + "ui_step_minutes" : 60, + "windfarm.farm.row_spacing" : 8, + "turb_hysteresis_loss" : 0.40000000000000002, + "om_production" : [ 0 ], + "turb_loss_total" : 3.9544963841199987, + "wind_turbine_iec_class_from_lib" : "Unknown", + "wind_turbine_rotor_diameter_from_lib" : 21, + "wake_future_loss" : 0, + "system_use_lifetime_output" : 0, + "wind.turbine.elevation" : 0, + "windfarm.farm.number_of_rows" : 10, + "wind_resource.file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "windfarm.farm.turbine_spacing" : 8, + "weibull_k_factor" : 2, + "wind_turbine_kw_rating_from_lib" : 100, + "env_env_loss" : 0.40000000000000002, + "wind_turbine_rotor_diameter" : 21, + "windfarm.farm.layout_slider" : 0, + "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "wind_turbine_cut_out" : 25, + "reference_number_turbines" : 1, + "wind_resource.elev" : 1829, + "wind_farm_ycoordinates" : [ 0 ], + "wind.turbine.region2nhalf_slope" : 5, + "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", + "bos_cost_per_turbine" : 0, + "wind_climate.msg_is_error" : 0, + "wind_resource_model_choice" : 0, + "adjust_constant" : 0, + "wind.turbine.radio_list_or_design" : 0, + "wind_turbine_powercurve_hub_efficiency" : [ 0 ], + "wind_turbine_kw_rating" : 100, + "windfarm.layout.file_or_controls" : 1, + "wind_resource.lon_requested" : 0, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_loss_total" : 2.8034671600000061, + "a_error_test_string" : "\"default err msg\"", + "wind_climate.msg" : "", + "wake_ext_loss" : 1.1000000000000001, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "turbine_cost_fixed" : 0, + "windfarm.farm.shape" : 0, + "windfarm.farm.offset_type" : 0, + "user_specified_wf_wind" : " ", + "om_production_escal" : 0, + "wind.turbine.blade_design" : 0, + "wind_resource.country" : "USA", + "adjust_timeindex" : [ 0 ], + "wind.turbine.max_tip_speed" : 80, + "wind_resource_shear" : 0.14000000000000001, + "om_capacity" : [ 35 ], + "total_installed_cost_per_kw" : 4644, + "wind_resource.closest_speed_meas_ht" : 80, + "wind_farm_num_turbines" : 1, + "wind_resource.lat_requested" : 0, + "wind_resource_distribution" : [ [ 0 ] ], + "cols" : 1, + "wind_resource.requested_ht" : 80, + "weibull_reference_height" : 50, + "turbine_cost_per_turbine" : 0, + "avail_turb_loss" : 3.5800000000000001, + "avail_bop_loss" : 0.5, + "env_exposure_loss" : 0, + "weibull_wind_speed" : 7.25, + "wind.turbine.drive_train" : 0, + "rows" : 1, + "wind_turbine_max_cp" : 0.45000000000000001, + "wind_turbine_selection" : "Northern Power Northwind 100", + "wind_resource.lat" : 0, + "wind_climate.url_info" : "empty", + "windfarm.farm.layout_angle" : 0, + "wind_farm_sizing_mode" : 0, + "wind.turbine.max_tspeed_ratio" : 8, + "desired_farm_size" : 5, + "elec_eff_loss" : 1.9099999999999999, + "wind_resource.lon" : 0, + "windfarm.farm.turbines_per_row" : 10, + "bos_cost_per_kw" : 1911.5999999999999, + "turb_specific_loss" : 0.81000000000000005, + "wind_turbine_hub_ht" : 80, + "env_loss_total" : 2.3981951200000018, + "use_specific_wf_wind" : 0, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], + "elec_loss_total" : 2.0080899999999957, + "specify_label" : 0, + "wake_int_loss" : 0, + "reference_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_turbine_kw_rating_input" : 1500, + "wind_turbine_powercurve_windspeeds_from_lib" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "adjust" : 0, + "wind_turbine_cutin" : 4, + "wind_farm_wake_model" : 0, + "wind_resource.city" : "city??", + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "turbine_cost_per_kw" : 2732.4000000000001, + "adjust_en_periods" : 0, + "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, + "inflation_rate" : 2.5, + "step" : 0, + "wind_turbine_rotor_diameter_input" : 75, + "windfarm.farm.offset" : 0, + "wind_resource.state" : "AZ", + "sizing_warning" : 0, + "est_bos_cost" : 0, + "wind.turbine.dummy" : 0, + "wake_loss" : 0, + "ops_load_loss" : 0.98999999999999999, + "om_capacity_escal" : 0, + "wind_turbine_powercurve_err_msg" : "", + "wind_resource.location" : "AZ Eastern-Rolling Hills", + "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], + "env_degrad_loss" : 1.8, + "wake_loss_total" : 1.100000000000001, + "wind_farm_xcoord_file" : [ 0 ], + "number table entries" : 149 + }, + "battery" : { + "batt_load_ac_forecast_escalation" : [ 0 ], + "batt_user_specified_weather_file_peak_shaving" : "", + "batt_dispatch_wf_forecast_choice_psd" : 0, + "genericsys.cost.contingency" : 0, + "batt_ui_nominal_bank_power" : 300.05235000000005, + "en_standalone_batt" : 0, + "load_escalation" : [ 0 ], + "dispatch_manual_system_charge_first" : 1, + "peak_shaving_batt_dispatch_choice" : 0, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "batt_pv_ac_forecast_psd" : [ 0 ], + "battery_per_kwh" : 225.06, + "batt_gridcharge_percent_1" : 100, + "batt_computed_series" : 139, + "batt_vfull" : 4.0999999999999996, + "batt_dispatch_auto_can_charge" : 1, + "pv.storage.p2.charge" : 1, + "batt_replacement_capacity" : 0, + "pv.storage.p4.charge" : 0, + "batt_gridcharge_percent_4" : 100, + "genericsys.cost.epc.percent" : 0, + "genericsys.cost.epc.nonfixed" : 0, + "batt_qfull_flow" : 2398.5, + "batt_dispatch_load_forecast_choice_psd" : 0, + "ui_step_minutes" : 60, + "batt_room_temperature_celsius" : [ 25 ], + "batt_power_discharge_max_kwac" : 288.05025600000005, + "batt_dispatch_excl" : 0, + "batt_qnom_percent" : 88.888900000000007, + "batt_c_rate_max_charge_input" : 0.5, + "batt_resistance" : 0.002, + "batt_bank_size_ui" : 1200, + "batt_dispatch_choice" : 0, + "ui_copy_batt_discharge_percent_6" : 25, + "genericsys.cost.sales_tax.total" : 0, + "batt_vnom" : 3.3999999999999999, + "analysis_period" : 25, + "pv.storage.p1.gridcharge" : 0, + "batt_gridcharge_percent_5" : 100, + "genericsys.cost.sales_tax.percent" : 0, + "genericsys.cost.per_watt" : 0, + "battery_total_cost_lcos" : 391808.35863000003, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "genericsys.cost.plm.percent" : 0, + "batt_replacement_option" : 1, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "batt_bank_voltage" : 500, + "batt_minimum_soc" : 30, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "batt_ui_inverter_eff" : 96, + "genericsys.cost.sales_tax.value" : 5, + "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_power_discharge_max_kwdc" : 300.05235000000005, + "batt_calendar_a" : 0.00266, + "add_om_num_types" : 1, + "total_direct_cost" : 391808.35863000003, + "batt_current_charge_max" : 599.625, + "batt_volume" : 14.636700000000003, + "batt_computed_strings" : 1066, + "batt_surface_area" : 90.015705000000011, + "ui_copy_batt_discharge_percent_1" : 25, + "pv.storage.p2.discharge" : 0, + "batt_calendar_c" : 939, + "genericsys.cost.plm.fixed" : 0, + "leadacid_tn" : 1, + "pv.storage.p1.charge" : 1, + "batt_cell_power_charge_max" : 2, + "batt_discharge_percent_5" : 25, + "pv_system_capacity" : 540, + "om_batt_fixed_cost" : [ 0 ], + "batt_bank_power_dc_ac" : 0, + "batt_load_ac_forecast" : [ 0 ], + "en_batt" : 1, + "batt_cell_current_charge_max" : 10, + "load_user_forecast_data_psd" : [ 0 ], + "pv.storage.p3.gridcharge" : 0, + "ppa_multiplier_model" : 0, + "pv.storage.p3.charge" : 0, + "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], + "batt_c_rate_max_discharge" : 0.25, + "genericsys.cost.epc.total" : 0, + "pv.storage.p1.discharge" : 0, + "total_indirect_cost" : 0, + "batt_custom_dispatch" : [ 0 ], + "batt_user_specified_weather_file_psd" : "", + "ppa_escalation" : 1, + "batt_discharge_percent_4" : 25, + "batt_gridcharge_percent_3" : 100, + "batt_chem" : 1, + "batt_ac_dc_efficiency" : 96, + "batt_minimum_modetime" : 10, + "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], + "pv.storage.p4.dischargetogrid" : 0, + "om_replacement_cost_escal" : 0, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_load_escal_choice_peak" : 0, + "batt_calendar_q0" : 1.02, + "genericsys.cost.plm.total" : 0, + "batt_computed_voltage" : 500.40000000000003, + "ui_copy_batt_discharge_percent_4" : 25, + "pv.storage.p3.discharge" : 1, + "batt_dispatch_load_forecast_escal_psd" : [ 0 ], + "pv.storage.p5.charge" : 0, + "batt_target_power" : [ 0 ], + "sales_tax_rate" : 5, + "battery_indirect_cost_percent" : 0, + "batt_mass" : 17145.848571428574, + "genericsys.cost.contingency_percent" : 0, + "batt_computed_bank_capacity" : 1200.2094000000002, + "leadacid_q20_computed" : 2398.5, + "batt_pv_ac_forecast_peak" : [ 0 ], + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "om_batt_nameplate" : 1200.2094000000002, + "om_batt_replacement_cost" : [ 225.06 ], + "batt_discharge_percent_1" : 25, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_loss_choice" : 0, + "pv.storage.p6.gridcharge" : 0, + "om_production_escal" : 0, + "ui_batt_life_model" : 0, + "batt_vnom_default" : 3.6000000000000001, + "batt_replacement_schedule_percent" : [ 0 ], + "batt_target_power_monthly" : [ 0 ], + "batt_vexp" : 4.0499999999999998, + "pv.storage.p3.dischargetogrid" : 0, + "batt_life_excl" : 0, + "batt_calendar_b" : -7280, + "battery_per_kw" : 405.56, + "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_load_escal_choice_psd" : 0, + "pv.storage.p5.discharge" : 0, + "batt_specific_energy_per_mass" : 70, + "batt_computed_stacks_series" : 0, + "batt_target_choice" : 0, + "batt_room_temperature_single" : 25, + "batt_unit_surface_area" : 30, + "batt_cp" : 1500, + "batt_dispatch_auto_can_clipcharge" : 0, + "batt_dispatch_wf_forecast_choice" : 0, + "genericsys.cost.plm.nonfixed" : 0, + "battery_energy" : 1200.2094000000002, + "batt_specific_energy_per_volume" : 82, + "batt_gridcharge_percent_6" : 100, + "om_fixed_escal" : 0, + "total_installed_cost" : 391808.35863000003, + "pv.storage.p5.dischargetogrid" : 0, + "grid_interconnection_limit_kwac" : 20000, + "battery_voltage_shown" : 0, + "batt_size_choice" : 0, + "om_batt_variable_cost" : [ 0 ], + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_qexp_percent" : 1.7777799999999999, + "batt_ui_cell_voltage" : 3.6000000000000001, + "batt_dispatch_discharge_only_load_exceeds_system" : 1, + "batt_voltage_choice" : 0, + "batt_c_rate" : 0.20000000000000001, + "batt_initial_soc" : 50, + "leadacid_qn_computed" : 1439.0999999999999, + "battery_losses_shown" : 0, + "batt_bank_size_dc_ac" : 0, + "batt_qexp" : 0.040000050000000002, + "batt_time_capacity" : 4, + "batt_current_choice" : 1, + "pv.storage.p2.dischargetogrid" : 0, + "batt_inverter_efficiency_cutoff" : 90, + "batt_discharge_percent_6" : 25, + "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], + "pv.storage.p6.discharge" : 0, + "pv.storage.p6.dischargetogrid" : 0, + "compute_as_cube" : 0, + "batt_power_charge_max_kwac" : 312.55453125000008, + "batt_dc_ac_efficiency" : 96, + "pv.storage.p2.gridcharge" : 1, + "batt_c_rate_max_charge" : 0.25, + "pv.storage.p6.charge" : 0, + "batt_type" : 5, + "batt_calendar_choice" : 1, + "battery_total" : 391808.35863000003, + "batt_vcut" : 2.706, + "leadacid_q20" : 100, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "ui_copy_batt_discharge_percent_2" : 25, + "batt_cell_power_discharge_max" : 2, + "genericsys.cost.installed_per_capacity" : 1.3602083333333332, + "batt_bank_nstrings" : 1, + "inflation_rate" : 2.5, + "batt_dc_dc_efficiency" : 99, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_room_temperature_vector" : [ 0 ], + "batt_current_discharge_max" : 599.625, + "batt_maximum_soc" : 95, + "ppa_price_input" : [ 0.153 ], + "ui_copy_batt_discharge_percent_5" : 25, + "batt_bank_size" : 1200, + "batt_duration_choice" : 0, + "batt_thermal_choice" : 0, + "leadacid_qn" : 60, + "batt_discharge_percent_2" : 25, + "dispatch_manual_percent_gridcharge" : [ 100, 0 ], + "batt_h_to_ambient" : 7.5, + "batt_dispatch_load_forecast_choice" : 0, + "batt_unit_capacity" : 400, + "batt_cycle_cost" : [ 0 ], + "batt_meter_position" : 0, + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "batt_losses" : [ 0 ], + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "leadacid_q10_computed" : 2230.605, + "batt_ac_or_dc" : 1, + "battery_thermal_shown" : 0, + "batt_c_rate_max_discharge_input" : 0.5, + "batt_ui_bank_voltage" : 500.40000000000003, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "batt_qnom" : 2.0000002500000003, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_load_forecast_choice_peak_shaving" : 0, + "leadacid_q10" : 93, + "system_capacity" : 288.05025600000005, + "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, + "en_wave_batt" : 0, + "batt_power_charge_max_kwdc" : 300.05235000000005, + "batt_num_cells" : 148174, + "pv.storage.p4.gridcharge" : 0, + "batt_cell_current_discharge_max" : 10, + "batt_dispatch_charge_only_system_exceeds_load" : 1, + "ui_copy_batt_discharge_percent_3" : 25, + "om_batt_capacity_cost" : [ 6.2800000000000002 ], + "pv.storage.p1.dischargetogrid" : 0, + "batt_dispatch_load_forecast_escal_peak_shaving" : [ 0 ], + "batt_dispatch_choice_ui" : 0, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_discharge_percent_3" : 25, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], + "batt_qfull" : 2.25, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_cycle_cost_choice" : 0, + "load_user_forecast_data_peak_shaving" : [ 0 ], + "genericsys.cost.epc.fixed" : 0, + "battery_power" : 300.05235000000005, + "batt_bank_nseries_stacks" : 1, + "batt_bank_duration" : 1200, + "pv.storage.p4.discharge" : 1, + "batt_bank_size_specify" : 100, + "pv.storage.p5.gridcharge" : 0, + "batt_bank_ncells_serial" : 3, + "batt_bank_power" : 300, + "om_capacity_escal" : 0, + "batt_life_model" : 0, + "number table entries" : 249 + }, + "number table entries" : 5 + }, + "compute_module_0" : "hybrid", + "number_compute_modules" : 1, + "number_metrics" : 0 +} diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json index 0789c8d4c..9c19de80b 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json @@ -1,29802 +1,972 @@ { - "input": { - "compute_modules": [ - "pvwattsv8", - "windpower", - "battery", - "grid", - "utilityrate5", - "singleowner" - ], - "pvwattsv8": { - "albedo": [ - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2, - 0.2 - ], - "analysis_period": 30.0, - "array_type": 0.0, - "azimuth": 180.0, - "batt_simple_enable": 0.0, - "bifaciality": 0.0, - "dc_ac_ratio": 1.1, - "degradation": [ - 0.0 - ], - "en_snowloss": 0.0, - "gcr": 0.4, - "inv_eff": 96.0, - "losses": 14.0757, - "module_type": 0.0, - "om_capacity": [ - 50.0 - ], - "om_capacity_escal": 0.0, - "om_fixed": [ - 0.0 - ], - "om_fixed_escal": 0.0, - "om_production": [ - 0.0 - ], - "om_production_escal": 0.0, - "shading_azal": [ - [ - 0.0 - ] - ], - "shading_diff": 0.0, - "shading_en_azal": 0.0, - "shading_en_diff": 0.0, - "shading_en_mxh": 0.0, - "shading_en_string_option": 0.0, - "shading_en_timestep": 0.0, - "shading_mxh": [ - [ - 0.0 - ] - ], - "shading_string_option": 0.0, - "shading_timestep": [ - [ - 0.0 - ] - ], - "soiling": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "system_capacity": 15.0, - "system_use_lifetime_output": 0.0, - "tilt": 42.82, - "total_installed_cost": 82281.8, - "use_wf_albedo": 1.0 - }, - "windpower": { - "avail_bop_loss": 0.0, - "avail_grid_loss": 0.0, - "avail_turb_loss": 0.0, - "degradation": [ - 0.0 - ], - "elec_eff_loss": 0.0, - "elec_parasitic_loss": 0.0, - "env_degrad_loss": 0.0, - "env_env_loss": 0.0, - "env_exposure_loss": 0.0, - "env_icing_loss": 0.0, - "om_capacity": [ - 50.0 - ], - "om_capacity_escal": 0.0, - "om_fixed": [ - 0.0 - ], - "om_fixed_escal": 0.0, - "om_production": [ - 0.0 - ], - "om_production_escal": 0.0, - "ops_env_loss": 0.0, - "ops_grid_loss": 0.0, - "ops_load_loss": 0.0, - "ops_strategies_loss": 0.0, - "system_capacity": 1.0, - "total_installed_cost": 4000.0, - "turb_generic_loss": 0.0, - "turb_hysteresis_loss": 0.0, - "turb_perf_loss": 0.0, - "turb_specific_loss": 0.0, - "wake_ext_loss": 0.0, - "wake_future_loss": 0.0, - "wake_int_loss": 0.0, - "weibull_k_factor": 2.0, - "weibull_reference_height": 50.0, - "weibull_wind_speed": 7.25, - "wind_farm_wake_model": 0.0, - "wind_farm_xCoordinates": [ - 0.0 - ], - "wind_farm_yCoordinates": [ - 0.0 - ], - "wind_resource_distribution": [ - [ - 0.0 - ] - ], - "wind_resource_model_choice": 0.0, - "wind_resource_shear": 0.14, - "wind_resource_turbulence_coeff": 0.1, - "wind_turbine_hub_ht": 80.0, - "wind_turbine_max_cp": 0.45, - "wind_turbine_powercurve_powerout": [ - 0.0, - 0.0, - 0.048, - 0.155, - 0.39, - 0.69, - 1.02, - 1.22, - 1.19, - 1.085, - 1.0, - 0.9, - 0.5, - 0.0, - 0.0 - ], - "wind_turbine_powercurve_windspeeds": [ - 0.0, - 3.0, - 3.6, - 5.4, - 7.2, - 8.9, - 10.7, - 12.5, - 14.3, - 16.1, - 17.9, - 19.7, - 21.0, - 23.0, - 40.0 - ], - "wind_turbine_rotor_diameter": 2.5 - }, - "battery": { - "LeadAcid_q10_computed": 18.6, - "LeadAcid_q20_computed": 20.0, - "LeadAcid_qn_computed": 12.0, - "LeadAcid_tn": 1.0, - "analysis_period": 30.0, - "batt_C_rate": 0.1, - "batt_Cp": 4183.0, - "batt_Qexp": 0.05, - "batt_Qfull": 5.0, - "batt_Qfull_flow": 20.0, - "batt_Qnom": 4.75, - "batt_Vcut": 1.452, - "batt_Vexp": 2.05, - "batt_Vfull": 2.2, - "batt_Vnom": 2.04, - "batt_Vnom_default": 3.2, - "batt_ac_dc_efficiency": 99.0, - "batt_ac_or_dc": 1.0, - "batt_calendar_a": 0.00266, - "batt_calendar_b": -7280.0, - "batt_calendar_c": 939.0, - "batt_calendar_choice": 0.0, - "batt_calendar_lifetime_matrix": [ - [ - 0.0, - 100.0 - ], - [ - 3650.0, - 80.0 - ], - [ - 7300.0, - 50.0 - ] - ], - "batt_calendar_q0": 1.02, - "batt_chem": 0.0, - "batt_computed_bank_capacity": 9.024, - "batt_computed_series": 141.0, - "batt_computed_strings": 4.0, - "batt_current_charge_max": 24.0, - "batt_current_choice": 1.0, - "batt_current_discharge_max": 24.0, - "batt_custom_dispatch": [ - 0.0 - ], - "batt_cycle_cost": [ - 0.0 - ], - "batt_cycle_cost_choice": 0.0, - "batt_dc_ac_efficiency": 99.0, - "batt_dc_dc_efficiency": 99.0, - "batt_dispatch_auto_can_charge": 0.0, - "batt_dispatch_auto_can_clipcharge": 0.0, - "batt_dispatch_auto_can_fuelcellcharge": 0.0, - "batt_dispatch_auto_can_gridcharge": 0.0, - "batt_dispatch_choice": 3.0, - "batt_dispatch_pvs_ac_lb": -0.1, - "batt_dispatch_pvs_ac_lb_enable": 0.0, - "batt_dispatch_pvs_ac_ub": 1.05, - "batt_dispatch_pvs_ac_ub_enable": 0.0, - "batt_dispatch_pvs_curtail_as_control": 0.0, - "batt_dispatch_pvs_curtail_if_violation": 0.0, - "batt_dispatch_pvs_forecast_shift_periods": 3.0, - "batt_dispatch_pvs_kf": 0.3, - "batt_dispatch_pvs_ki": 1.8, - "batt_dispatch_pvs_kp": 1.2, - "batt_dispatch_pvs_max_ramp": 10.0, - "batt_dispatch_pvs_nameplate_ac": 15.0, - "batt_dispatch_pvs_short_forecast_enable": 0.0, - "batt_dispatch_pvs_soc_rest": 50.0, - "batt_dispatch_pvs_timestep_multiplier": 3.0, - "batt_dispatch_update_frequency_hours": 1.0, - "batt_dispatch_wf_forecast_choice": 0.0, - "batt_h_to_ambient": 5.0, - "batt_initial_SOC": 50.0, - "batt_inverter_efficiency_cutoff": 90.0, - "batt_life_model": 0.0, - "batt_lifetime_matrix": [ - [ - 30.0, - 0.0, - 100.0 - ], - [ - 30.0, - 1100.0, - 90.0 - ], - [ - 30.0, - 1200.0, - 50.0 - ], - [ - 50.0, - 0.0, - 100.0 - ], - [ - 50.0, - 400.0, - 90.0 - ], - [ - 50.0, - 500.0, - 50.0 - ], - [ - 100.0, - 0.0, - 100.0 - ], - [ - 100.0, - 100.0, - 90.0 - ], - [ - 100.0, - 150.0, - 50.0 - ] - ], - "batt_look_ahead_hours": 18.0, - "batt_loss_choice": 0.0, - "batt_losses": [ - 0.0 - ], - "batt_losses_charging": [ - 0.0 - ], - "batt_losses_discharging": [ - 0.0 - ], - "batt_losses_idle": [ - 0.0 - ], - "batt_mass": 60.16, - "batt_maximum_SOC": 95.0, - "batt_meter_position": 1.0, - "batt_minimum_SOC": 10.0, - "batt_minimum_modetime": 10.0, - "batt_power_charge_max_kwac": 10.9382, - "batt_power_charge_max_kwdc": 10.8288, - "batt_power_discharge_max_kwac": 10.7205, - "batt_power_discharge_max_kwdc": 10.8288, - "batt_pv_ac_forecast": [ - 0.0 - ], - "batt_pv_clipping_forecast": [ - 0.0 - ], - "batt_replacement_capacity": 0.0, - "batt_replacement_option": 0.0, - "batt_replacement_schedule_percent": [ - 0.0 - ], - "batt_resistance": 0.1, - "batt_room_temperature_celsius": [ - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0, - 20.0 - ], - "batt_surface_area": 0.580323, - "batt_voltage_choice": 0.0, - "batt_voltage_matrix": [ - [ - 0.0, - 1.2 - ], - [ - 20.0, - 1.1 - ], - [ - 40.0, - 1.05 - ], - [ - 60.0, - 1.0 - ], - [ - 80.0, - 0.95 - ], - [ - 100.0, - 0.5 - ] - ], - "cap_vs_temp": [ - [ - -15.0, - 65.0 - ], - [ - 0.0, - 85.0 - ], - [ - 25.0, - 100.0 - ], - [ - 40.0, - 104.0 - ] - ], - "dispatch_manual_btm_discharge_to_grid": [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_charge": [ - 1.0, - 1.0, - 1.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_discharge": [ - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_gridcharge": [ - 0.0, - 1.0, - 0.0, - 0.0, - 0.0, - 0.0 - ], - "dispatch_manual_percent_discharge": [ - 25.0, - 0.0 - ], - "dispatch_manual_percent_gridcharge": [ - 100.0, - 0.0 - ], - "dispatch_manual_sched": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_manual_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_manual_system_charge_first": 0.0, - "dispatch_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_tod_factors": [ - 1.1, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - "en_batt": 1.0, - "en_standalone_batt": 0.0, - "en_wave_batt": 0.0, - "grid_interconnection_limit_kwac": 20000.0, - "inflation_rate": 2.5, - "om_batt_capacity_cost": [ - 0.0 - ], - "om_batt_fixed_cost": [ - 0.0 - ], - "om_batt_nameplate": 9.024, - "om_batt_replacement_cost": [ - 0.0 - ], - "om_batt_variable_cost": [ - 0.0 - ], - "om_capacity_escal": 0.0, - "om_fixed_escal": 0.0, - "om_production_escal": 0.0, - "om_replacement_cost_escal": 0.0, - "ppa_escalation": 1.0, - "ppa_multiplier_model": 0.0, - "ppa_price_input": [ - 0.0 - ], - "total_installed_cost": 90.24 - }, - "Hybrid": { - "analysis_period": 30.0, - "batt_replacement_option": 0.0, - "batt_salvage_percentage": 0.0, - "battery_total_installed_cost": 90.24, - "cbi_fed_amount": 0.0, - "cbi_fed_deprbas_fed": 0.0, - "cbi_fed_deprbas_sta": 0.0, - "cbi_fed_maxvalue": 0.0, - "cbi_fed_tax_fed": 1.0, - "cbi_fed_tax_sta": 1.0, - "cbi_oth_amount": 0.0, - "cbi_oth_deprbas_fed": 0.0, - "cbi_oth_deprbas_sta": 0.0, - "cbi_oth_maxvalue": 0.0, - "cbi_oth_tax_fed": 1.0, - "cbi_oth_tax_sta": 1.0, - "cbi_sta_amount": 0.0, - "cbi_sta_deprbas_fed": 0.0, - "cbi_sta_deprbas_sta": 0.0, - "cbi_sta_maxvalue": 0.0, - "cbi_sta_tax_fed": 1.0, - "cbi_sta_tax_sta": 1.0, - "cbi_uti_amount": 0.0, - "cbi_uti_deprbas_fed": 0.0, - "cbi_uti_deprbas_sta": 0.0, - "cbi_uti_maxvalue": 0.0, - "cbi_uti_tax_fed": 1.0, - "cbi_uti_tax_sta": 1.0, - "construction_financing_cost": 0.0, - "cost_debt_closing": 0.0, - "cost_debt_fee": 0.0, - "cost_other_financing": 0.0, - "cp_battery_nameplate": 0.0, - "cp_capacity_credit_percent": [ - 0.0 - ], - "cp_capacity_payment_amount": [ - 0.0 - ], - "cp_capacity_payment_esc": 0.0, - "cp_capacity_payment_type": 0.0, - "cp_system_nameplate": 0.0267205, - "debt_option": 1.0, - "debt_percent": 50.0, - "depr_alloc_custom_percent": 0.0, - "depr_alloc_macrs_15_percent": 0.0, - "depr_alloc_macrs_5_percent": 100.0, - "depr_alloc_sl_15_percent": 0.0, - "depr_alloc_sl_20_percent": 0.0, - "depr_alloc_sl_39_percent": 0.0, - "depr_alloc_sl_5_percent": 0.0, - "depr_bonus_fed": 0.0, - "depr_bonus_fed_custom": 0.0, - "depr_bonus_fed_macrs_15": 0.0, - "depr_bonus_fed_macrs_5": 1.0, - "depr_bonus_fed_sl_15": 0.0, - "depr_bonus_fed_sl_20": 0.0, - "depr_bonus_fed_sl_39": 0.0, - "depr_bonus_fed_sl_5": 0.0, - "depr_bonus_sta": 0.0, - "depr_bonus_sta_custom": 0.0, - "depr_bonus_sta_macrs_15": 0.0, - "depr_bonus_sta_macrs_5": 0.0, - "depr_bonus_sta_sl_15": 0.0, - "depr_bonus_sta_sl_20": 0.0, - "depr_bonus_sta_sl_39": 0.0, - "depr_bonus_sta_sl_5": 0.0, - "depr_custom_schedule": [ - 0.0 - ], - "depr_fedbas_method": 1.0, - "depr_itc_fed_custom": 0.0, - "depr_itc_fed_macrs_15": 0.0, - "depr_itc_fed_macrs_5": 0.0, - "depr_itc_fed_sl_15": 0.0, - "depr_itc_fed_sl_20": 0.0, - "depr_itc_fed_sl_39": 0.0, - "depr_itc_fed_sl_5": 0.0, - "depr_itc_sta_custom": 0.0, - "depr_itc_sta_macrs_15": 0.0, - "depr_itc_sta_macrs_5": 0.0, - "depr_itc_sta_sl_15": 0.0, - "depr_itc_sta_sl_20": 0.0, - "depr_itc_sta_sl_39": 0.0, - "depr_itc_sta_sl_5": 0.0, - "depr_stabas_method": 1.0, - "dispatch_factors_ts": [ - 0.0, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.2, - 1.2, - 1.2, - 1.2, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 2.064, - 1.2, - 1.2, - 1.2, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 1.1, - 0.8, - 0.8, - 0.8, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.7, - 0.8, - 0.8, - 1.1, - 1.1, - 1.1 - ], - "dispatch_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_tod_factors": [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - "dscr": 1.3, - "dscr_limit_debt_fraction": 0.0, - "dscr_maximum_debt_fraction": 100.0, - "dscr_reserve_months": 0.0, - "en_electricity_rates": 0.0, - "enable_interconnection_limit": 1.0, - "equip1_reserve_cost": 0.0, - "equip1_reserve_freq": 0.0, - "equip2_reserve_cost": 0.0, - "equip2_reserve_freq": 0.0, - "equip3_reserve_cost": 0.0, - "equip3_reserve_freq": 0.0, - "equip_reserve_depr_fed": 0.0, - "equip_reserve_depr_sta": 0.0, - "federal_tax_rate": [ - 25.0 - ], - "flip_target_percent": 10.0, - "flip_target_year": 10.0, - "grid_curtailment": [ - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0, - 100.0 - ], - "grid_curtailment_price": [ - 0.0 - ], - "grid_curtailment_price_esc": 0.0, - "grid_interconnection_limit_kwac": 20000.0, - "ibi_fed_amount_deprbas_fed": 0.0, - "ibi_fed_amount_deprbas_sta": 0.0, - "ibi_fed_amount_tax_fed": 1.0, - "ibi_fed_amount_tax_sta": 1.0, - "ibi_fed_percent": 0.0, - "ibi_fed_percent_deprbas_fed": 0.0, - "ibi_fed_percent_deprbas_sta": 0.0, - "ibi_fed_percent_maxvalue": 0.0, - "ibi_fed_percent_tax_fed": 1.0, - "ibi_fed_percent_tax_sta": 1.0, - "ibi_oth_amount": 0.0, - "ibi_oth_amount_deprbas_fed": 0.0, - "ibi_oth_amount_deprbas_sta": 0.0, - "ibi_oth_amount_tax_fed": 1.0, - "ibi_oth_amount_tax_sta": 1.0, - "ibi_oth_percent": 0.0, - "ibi_oth_percent_deprbas_fed": 0.0, - "ibi_oth_percent_deprbas_sta": 0.0, - "ibi_oth_percent_maxvalue": 0.0, - "ibi_oth_percent_tax_fed": 1.0, - "ibi_oth_percent_tax_sta": 1.0, - "ibi_sta_amount": 0.0, - "ibi_sta_amount_deprbas_fed": 0.0, - "ibi_sta_amount_deprbas_sta": 0.0, - "ibi_sta_amount_tax_fed": 1.0, - "ibi_sta_amount_tax_sta": 1.0, - "ibi_sta_percent": 0.0, - "ibi_sta_percent_deprbas_fed": 0.0, - "ibi_sta_percent_deprbas_sta": 0.0, - "ibi_sta_percent_maxvalue": 0.0, - "ibi_sta_percent_tax_fed": 1.0, - "ibi_sta_percent_tax_sta": 1.0, - "ibi_uti_amount": 0.0, - "ibi_uti_amount_deprbas_fed": 0.0, - "ibi_uti_amount_deprbas_sta": 0.0, - "ibi_uti_amount_tax_fed": 1.0, - "ibi_uti_amount_tax_sta": 1.0, - "ibi_uti_percent": 0.0, - "ibi_uti_percent_deprbas_fed": 0.0, - "ibi_uti_percent_deprbas_sta": 0.0, - "ibi_uti_percent_maxvalue": 0.0, - "ibi_uti_percent_tax_fed": 1.0, - "ibi_uti_percent_tax_sta": 1.0, - "inflation_rate": 2.5, - "insurance_rate": 1.0, - "itc_fed_amount": [ - 0.0 - ], - "itc_fed_amount_deprbas_fed": 1.0, - "itc_fed_amount_deprbas_sta": 1.0, - "itc_fed_percent": [ - 30.0 - ], - "itc_fed_percent_deprbas_fed": 1.0, - "itc_fed_percent_deprbas_sta": 1.0, - "itc_fed_percent_maxvalue": [ - 1.0 - ], - "itc_sta_amount": [ - 0.0 - ], - "itc_sta_amount_deprbas_fed": 0.0, - "itc_sta_amount_deprbas_sta": 0.0, - "itc_sta_percent": [ - 0.0 - ], - "itc_sta_percent_deprbas_fed": 0.0, - "itc_sta_percent_deprbas_sta": 0.0, - "itc_sta_percent_maxvalue": [ - 1.0 - ], - "payment_option": 0.0, - "pbi_fed_amount": [ - 0.0 - ], - "pbi_fed_escal": 0.0, - "pbi_fed_for_ds": 0.0, - "pbi_fed_tax_fed": 1.0, - "pbi_fed_tax_sta": 1.0, - "pbi_fed_term": 0.0, - "pbi_oth_amount": [ - 0.0 - ], - "pbi_oth_escal": 0.0, - "pbi_oth_for_ds": 0.0, - "pbi_oth_tax_fed": 1.0, - "pbi_oth_tax_sta": 1.0, - "pbi_oth_term": 0.0, - "pbi_sta_amount": [ - 0.0 - ], - "pbi_sta_escal": 0.0, - "pbi_sta_for_ds": 0.0, - "pbi_sta_tax_fed": 1.0, - "pbi_sta_tax_sta": 1.0, - "pbi_sta_term": 0.0, - "pbi_uti_amount": [ - 0.0 - ], - "pbi_uti_escal": 0.0, - "pbi_uti_for_ds": 0.0, - "pbi_uti_tax_fed": 1.0, - "pbi_uti_tax_sta": 1.0, - "pbi_uti_term": 0.0, - "ppa_escalation": 1.0, - "ppa_multiplier_model": 0.0, - "ppa_price_input": [ - 0.1 - ], - "ppa_soln_mode": 1.0, - "prop_tax_assessed_decline": 0.0, - "prop_tax_cost_assessed_percent": 100.0, - "property_tax_rate": 1.0, - "ptc_fed_amount": [ - 0.0 - ], - "ptc_fed_escal": 0.0, - "ptc_fed_term": 10.0, - "ptc_sta_amount": [ - 0.0 - ], - "ptc_sta_escal": 0.0, - "ptc_sta_term": 10.0, - "pv_total_installed_cost": 82281.8, - "rate_escalation": [ - 0.0 - ], - "real_discount_rate": 5.5, - "reserves_interest": 1.75, - "salvage_percentage": 10.0, - "state_tax_rate": [ - 7.0 - ], - "system_capacity": 26.7205, - "term_int_rate": 0.0, - "term_tenor": 0.0, - "total_installed_cost": 86372.0, - "ur_annual_min_charge": 0.0, - "ur_billing_demand_lookback_percentages": [ - [ - 0.0 - ] - ], - "ur_billing_demand_lookback_period": 0.0, - "ur_billing_demand_minimum": 0.0, - "ur_dc_billing_demand_periods": [ - [ - 0.0 - ] - ], - "ur_dc_enable": 0.0, - "ur_dc_flat_mat": [ - [ - 0.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 1.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 3.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 4.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 5.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 6.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 7.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 8.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 9.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 10.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 11.0, - 1.0, - 1e+38, - 0.0 - ] - ], - "ur_dc_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_tou_mat": [ - [ - 1.0, - 1.0, - 1e+38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e+38, - 0.0 - ] - ], - "ur_ec_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_ec_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_ec_tou_mat": [ - [ - 1.0, - 1.0, - 9.6, - 2.0, - 0.16756, - 0.0 - ], - [ - 1.0, - 2.0, - 12.48, - 2.0, - 0.17999, - 0.0 - ], - [ - 1.0, - 3.0, - 19.2, - 2.0, - 0.34805, - 0.0 - ], - [ - 1.0, - 4.0, - 1e+38, - 2.0, - 0.45718, - 0.0 - ], - [ - 2.0, - 1.0, - 9.6, - 2.0, - 0.14747, - 0.0 - ], - [ - 2.0, - 2.0, - 12.48, - 2.0, - 0.1599, - 0.0 - ], - [ - 2.0, - 3.0, - 19.2, - 2.0, - 0.3134, - 0.0 - ], - [ - 2.0, - 4.0, - 1e+38, - 2.0, - 0.34283, - 0.0 - ], - [ - 3.0, - 1.0, - 10.1, - 2.0, - 0.14982, - 0.0 - ], - [ - 3.0, - 2.0, - 13.13, - 2.0, - 0.16225, - 0.0 - ], - [ - 3.0, - 3.0, - 20.2, - 2.0, - 0.29989, - 0.0 - ], - [ - 3.0, - 4.0, - 1e+38, - 2.0, - 0.35231, - 0.0 - ], - [ - 4.0, - 1.0, - 10.1, - 2.0, - 0.14747, - 0.0 - ], - [ - 4.0, - 2.0, - 13.13, - 2.0, - 0.1599, - 0.0 - ], - [ - 4.0, - 3.0, - 20.2, - 2.0, - 0.29603, - 0.0 - ], - [ - 4.0, - 4.0, - 1e+38, - 2.0, - 0.34241, - 0.0 - ] - ], - "ur_en_ts_buy_rate": 0.0, - "ur_en_ts_sell_rate": 0.0, - "ur_enable_billing_demand": 0.0, - "ur_metering_option": 0.0, - "ur_monthly_fixed_charge": 0.0, - "ur_monthly_min_charge": 0.0, - "ur_nm_credit_month": 0.0, - "ur_nm_credit_rollover": 0.0, - "ur_nm_yearend_sell_rate": 0.0, - "ur_ts_buy_rate": [ - 0.0 - ], - "ur_ts_sell_rate": [ - 0.0 - ], - "ur_yearzero_usage_peaks": [ - 0.0 - ], - "wind_total_installed_cost": 4000.0 - } - } -} \ No newline at end of file + "input" : { + "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], + "pvwattsv8" : { + "bos_equip_fixed" : 0, + "grid_percent" : 0, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "ui_land_area_ha" : 175.43871222814653, + "installed_per_capacity" : 1.163945, + "om_production" : [ 0 ], + "land_area_value" : 433.51782985136145, + "losses" : 14.075660688264469, + "inverter_num_units" : 1, + "om_fixed_escal" : 0, + "permitting_per_watt" : 0, + "permitting_total" : 0, + "shading_mxh" : [ [ 0 ] ], + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "land_percent" : 0, + "inverter_costunits" : 1, + "landprep_fixed" : 0, + "ui_total_module_area" : 526315.78947368416, + "shading_en_mxh" : 0, + "contingency_percent" : 3, + "ui_ac_capacity" : 76.92307692307692, + "total_installed_cost" : 116394500, + "module_total" : 39000000, + "adjust_en_periods" : 0, + "grid_total" : 2000000, + "sales_tax_value" : 5, + "modulearray_power" : 100000, + "om_land_lease" : [ 0 ], + "om_land_lease_escal" : 0, + "grid_per_watt" : 0.02, + "ui_total_land_area_ha" : 175.43871222814653, + "annual_global" : 5.7947616438356171, + "module_is_bifacial" : 0, + "inverter_total" : 5000000, + "ui_land_area_multiplier" : 0, + "dc_ac_ratio" : 1.3, + "loss_nameplate" : 1, + "bos_equip_perwatt" : 0.28999999999999998, + "landprep_per_acre" : 0, + "module_num_units" : 1, + "ui_land_area_per_mw" : 0, + "user_specified_weather_file" : "", + "inflation_rate" : 2.5, + "grid_fixed" : 0, + "loss_wiring" : 2, + "subtotal_direct" : 103000000, + "module_power" : 100000, + "inv_eff" : 96, + "install_labor_perwatt" : 0.17999999999999999, + "lat" : 33.450000000000003, + "system_use_lifetime_output" : 0, + "loss_snow" : 0, + "loss_shading" : 3, + "install_labor_perarea" : 0, + "ui_land_area_additional" : 0, + "tilt" : 0, + "solar_data_source" : "NSRDB", + "ac_nameplate" : 76923.076923076922, + "per_module" : 0.39000000000000001, + "om_production_escal" : 0, + "shading_timestep" : [ [ 0 ] ], + "land_per_watt" : 0, + "is_advanced" : 0, + "modulearray_area" : 526315.78947368416, + "batt_simple_enable" : 0, + "library_paths" : "", + "inverter_power" : 76923.076923076922, + "ui_total_module_area_ha" : 52.631578947368418, + "ui_total_module_area_acres" : 130.05534895540842, + "adjust_en_timeindex" : 0, + "analysis_period" : 25, + "sales_tax_rate" : 5, + "landprep_per_watt" : 0.01, + "azimuth" : 180, + "system_capacity" : 100000, + "land_fixed" : 0, + "module_costunits" : 0, + "shading_en_timestep" : 0, + "contingency" : 3090000, + "per_inverter" : 0.050000000000000003, + "user_specified_constant_albedo" : 0.20000000000000001, + "bos_equip_total" : 28999999.999999996, + "lon" : -111.98, + "en_snowloss" : 0, + "shading" : 0, + "land_area" : 433.51782985136145, + "install_labor_fixed" : 0, + "land_per_acre" : 0, + "ui_land_lease" : [ 0 ], + "annual_beam" : 7.3356438356164393, + "loss_age" : 0, + "engr_total" : 2000000, + "shading_en_diff" : 0, + "in_location_options" : 0, + "shading_en_azal" : 0, + "total_module_area" : 526315.78947368416, + "pv_land_area_is_shown" : 0, + "bos_equip_perarea" : 0, + "in_location_list" : "", + "library_folder_list" : "x", + "file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "annual_twet" : "nan", + "install_margin_fixed" : 0, + "use_wf_albedo" : 1, + "total_indirect_cost" : 5000000, + "permitting_fixed" : 0, + "permitting_percent" : 0, + "gcr" : 0.29999999999999999, + "om_capacity_escal" : 0, + "install_margin_perarea" : 0, + "land_total" : 0, + "tz" : -7, + "step" : 3600, + "landprep_total" : 1000000, + "ui_use_acre_per_mw" : 0, + "install_margin_perwatt" : 0.12, + "pvwatts.advanced.is_shown" : 0, + "solar_data_file_name_load" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "annual_tdry" : 21.938470319634703, + "annual_albedo" : 0.18395068539332038, + "array_type" : 2, + "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", + "wf_nrecords" : 8760, + "shading_diff" : 0, + "en_user_spec_losses" : 0, + "engr_fixed" : 0, + "engr_per_watt" : 0.02, + "loss_lid" : 1.5, + "ui_array_land_area_multiplier" : 1, + "shading_string_option" : 0, + "annual_snow" : "nan", + "total_direct_cost" : 106090000, + "total_land_area" : 433.51782985136145, + "adjust" : 0, + "use_specific_weather_file" : 0, + "ui_step_minutes" : 60, + "sales_tax_total" : 5304500, + "bifaciality" : 0, + "landprep_percent" : 0, + "country" : "-", + "module_type" : 0, + "loss_avail" : 3, + "om_fixed" : [ 0 ], + "inverterarray_power" : 76923.076923076922, + "annual_diffuse" : 1.3484328767123288, + "engr_percent" : 0, + "elev" : 358, + "in_time_step" : 0, + "solar_data_file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "install_labor_total" : 18000000, + "city" : "-", + "ui_array_land_area_acres" : 433.51782985136145, + "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", + "adjust_constant" : 0, + "ui_land_area_units" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "station_id" : "78208", + "ui_array_land_area_ha" : 175.43871222814653, + "losses_user" : 14, + "om_capacity" : [ 15 ], + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "loss_soiling" : 2, + "annual_wspd" : 1.7864840188646289, + "loss_mismatch" : 2, + "shading_azal" : [ [ 0 ] ], + "shading_en_string_option" : 0, + "install_margin_total" : 12000000, + "state" : "-", + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "degradation" : [ 0.5 ], + "ui_land_area_additional_units" : 0, + "in_nsrdb_options" : 0, + "loss_conn" : 0.5, + "number table entries" : 174 + }, + "hybrid" : { + "ur_rate_notes" : "", + "ur_ts_sell_rate" : [ 0 ], + "ibi_sta_amount_deprbas_sta" : 0, + "ur_is_default" : 0, + "ibi_uti_amount_deprbas_sta" : 0, + "ibi_oth_percent_deprbas_fed" : 0, + "ibi_sta_amount" : 0, + "show_construction_period" : 1, + "cp_capacity_payment_amount" : [ 0 ], + "ur_end_date" : "empty", + "pbi_oth_for_ds" : 0, + "ur_billing_demand_minimum" : 0, + "depr_bonus_fed" : 0, + "lib_dispatch_factor7" : 1, + "mera_name1" : "Replacement Reserve 1", + "cbi_uti_deprbas_sta" : 0, + "depr_itc_fed_sl_39" : 0, + "chk_update_peaks" : 0, + "ur_voltage_max" : 0, + "ibi_sta_percent_tax_fed" : 1, + "ur_unused_is_shown" : 0, + "const_per_interest_rate1" : 6.5, + "pbi_uti_term" : 0, + "grid_curtailment_price" : [ 0 ], + "const_per_percent4" : 0, + "depr_bonus_fed_sl_5" : 0, + "ur_source" : "empty", + "ibi_fed_percent_deprbas_fed" : 0, + "depr_alloc_none" : 0, + "depr_bonus_sta_sl_5" : 0, + "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ibi_oth_percent_tax_sta" : 1, + "ibi_uti_percent_maxvalue" : 0, + "lib_dispatch_factor1" : 1, + "depr_itc_fed_macrs_15" : 0, + "ibi_fed_percent" : 0, + "ibi_oth_percent" : 0, + "pbi_fed_amount" : [ 0 ], + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ur_energy_attrs" : "", + "is_btm" : 0, + "cbi_fed_tax_fed" : 1, + "pbi_uti_tax_sta" : 1, + "ibi_oth_amount_tax_sta" : 1, + "lib_dispatch_factor4" : 1, + "depr_bonus_fed_sl_15" : 0, + "cbi_oth_deprbas_sta" : 0, + "depr_bonus_sta" : 0, + "cbi_fed_amount" : 0, + "lib_dispatch_factor5" : 1, + "depr_itc_sta_sl_15" : 0, + "cbi_uti_amount" : 0, + "equip1_reserve_freq" : 15, + "pbi_fed_tax_sta" : 1, + "const_per_name1" : "Loan 1", + "const_per_interest_total" : 8455307.6947242673, + "ur_desc_is_shown" : 0, + "cbi_oth_maxvalue" : 0, + "const_per_total1" : 13658573.968400739, + "depr_itc_fed_sl_5" : 0, + "cbi_sta_maxvalue" : 0, + "ur_demand_min" : 0, + "cbi_sta_deprbas_sta" : 0, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], + "battery_system_capacity" : 57600.053208000005, + "ibi_uti_amount_tax_fed" : 1, + "ibi_uti_percent_tax_sta" : 1, + "ur_demand_window" : 0, + "cp_capacity_payment_type" : 0, + "ibi_oth_amount_deprbas_sta" : 0, + "ibi_fed_amount" : 0, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ibi_fed_percent_tax_sta" : 1, + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_fed_sl_20" : 0, + "ur_en_ts_buy_rate" : 0, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "const_per_total2" : 0, + "const_per_percent1" : 100, + "itc_fed_percent" : [ 30 ], + "depr_itc_sta_macrs_5" : 0, + "depr_bonus_sta_sl_15" : 0, + "ibi_sta_amount_tax_fed" : 1, + "ibi_sta_percent_maxvalue" : 0, + "depr_itc_fed_custom" : 0, + "pbi_oth_escal" : 0, + "ur_ec_is_shown" : 1, + "const_per_interest3" : 0, + "pv_total_installed_cost" : 116394500, + "ur_utility" : "empty", + "ur_annual_min_charge" : 0, + "itc_sta_percent_deprbas_fed" : 0, + "ibi_oth_amount_tax_fed" : 1, + "depr_bonus_fed_sl_39" : 0, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_uti_deprbas_fed" : 0, + "itc_fed_amount" : [ 0 ], + "ur_nm_yearend_sell_rate" : 0, + "itc_fed_percent_deprbas_fed" : 1, + "depr_bonus_fed_macrs_5" : 1, + "equip1_reserve_cost" : 0.10000000000000001, + "depr_itc_sta_sl_5" : 0, + "ibi_sta_percent_deprbas_sta" : 0, + "itc_fed_amount_deprbas_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ui_fuel_cell_capacity" : 0, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "itc_sta_percent" : [ 0 ], + "pbi_sta_escal" : 0, + "rate_escalation" : [ 0 ], + "ibi_uti_percent_deprbas_fed" : 0, + "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "pbi_sta_tax_fed" : 1, + "ppa_escalation" : 1, + "wind_total_installed_cost" : 292032000, + "ur_monthly_fixed_charge" : 0, + "months_working_reserve" : 6, + "cp_battery_nameplate" : 0, + "ur_dc_is_shown" : 1, + "const_per_name3" : "Loan 3", + "batt_power_discharge_max_kwac" : 57600.053208000005, + "ibi_fed_percent_maxvalue" : 0, + "pbi_oth_tax_sta" : 1, + "depr_bonus_sta_macrs_5" : 0, + "ui_pv_cost" : 116394500, + "const_per_interest_rate5" : 0, + "depr_itc_fed_macrs_5" : 0, + "ur_demand_history" : 0, + "ac_nameplate" : 76923.100000000006, + "equip3_reserve_cost" : 0, + "depr_alloc_macrs_5_percent" : 100, + "inflation_rate" : 2.5, + "debt_option" : 1, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_term" : 0, + "ur_has_unused_items" : 0, + "ibi_fed_amount_tax_fed" : 1, + "mera_name2" : "Replacement Reserve 2", + "revenue_tod_is_shown" : 1, + "cbi_uti_tax_sta" : 1, + "cp_system_nameplate" : 276.92309999999998, + "ur_enable_billing_demand" : 0, + "show_reserveaccounts" : 1, + "equip3_reserve_freq" : 0, + "ibi_sta_percent_deprbas_fed" : 0, + "const_per_interest_rate3" : 0, + "const_per_interest4" : 0, + "analysis_period_warning" : "", + "ibi_sta_amount_tax_sta" : 1, + "dispatch_data_filename" : "", + "ibi_oth_percent_maxvalue" : 0, + "depr_itc_fed_sl_15" : 0, + "ptc_sta_term" : 10, + "cost_other_financing" : 0, + "show_debtconstdscr" : 1, + "ur_energy_min" : 0, + "cbi_fed_deprbas_fed" : 0, + "enable_interconnection_limit" : 0, + "const_per_principal4" : 0, + "const_per_interest2" : 0, + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_sta_amount_deprbas_fed" : 0, + "const_per_principal2" : 0, + "ur_billing_demand_is_shown" : 0, + "const_per_principal5" : 0, + "loan_moratorium" : 0, + "const_per_upfront_rate3" : 0, + "ui_generic_cost" : 0, + "depr_itc_sta_sl_20" : 0, + "salvage_value" : 0, + "property_tax_rate" : 0, + "pbi_fed_escal" : 0, + "cbi_sta_deprbas_fed" : 0, + "ur_yearzero_usage_peaks" : [ 0 ], + "lib_dispatch_factor8" : 1, + "ibi_fed_amount_deprbas_fed" : 0, + "depr_alloc_sl_5_percent" : 0, + "const_per_upfront_rate5" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ibi_oth_percent_tax_fed" : 1, + "const_per_months5" : 0, + "const_per_interest_rate2" : 0, + "pbi_uti_tax_fed" : 1, + "pbi_oth_term" : 0, + "const_per_principal3" : 0, + "dscr" : 1.3, + "ui_generic_capacity" : 0, + "ur_start_date" : "empty", + "lib_dispatch_factor3" : 1, + "dscr_reserve_months" : 6, + "depr_itc_sta_macrs_15" : 0, + "ui_electricity_rate_option" : 1, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "cbi_oth_amount" : 0, + "ppa_multiplier_model" : 0, + "depr_fedbas_method" : 1, + "ibi_fed_amount_deprbas_sta" : 0, + "const_per_upfront_rate4" : 0, + "const_per_percent2" : 0, + "const_per_months1" : 6, + "depr_alloc_macrs_15_percent" : 0, + "ibi_uti_percent" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ibi_fed_percent_deprbas_sta" : 0, + "ui_wind_cost" : 292032000, + "ptc_sta_amount" : [ 0 ], + "depr_itc_fed_sl_20" : 0, + "const_per_months3" : 0, + "ui_hyb_pv_capacity" : 76923.100000000006, + "ur_cr_sched" : [ [ 0 ] ], + "wind_system_capacity" : 200000, + "cbi_oth_deprbas_fed" : 0, + "salvage_percentage" : 0, + "const_per_upfront_rate1" : 1, + "depr_alloc_sl_39_percent" : 0, + "cbi_oth_tax_fed" : 1, + "om_fixed" : 0, + "pbi_uti_for_ds" : 0, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "ui_system_nameplate_standalone" : 0, + "term_int_rate" : 4, + "depr_stabas_method" : 1, + "depr_alloc_custom_percent" : 0, + "itc_sta_percent_deprbas_sta" : 0, + "cbi_fed_tax_sta" : 1, + "payment_option" : 0, + "ur_uri" : "empty", + "insurance_rate" : 0, + "cbi_sta_tax_fed" : 1, + "federal_tax_rate" : [ 21 ], + "nominal_discount_rate" : 9.0600000000000023, + "ibi_uti_percent_tax_fed" : 1, + "reserves_interest" : 1.25, + "hybrid_capital_cost" : 0, + "lib_dispatch_factor2" : 1, + "ur_nm_credit_rollover" : 0, + "ibi_sta_percent" : 0, + "ur_fixed_attrs" : "", + "cp_capacity_credit_percent" : [ 0 ], + "pbi_fed_tax_fed" : 1, + "depr_bonus_sta_custom" : 0, + "ptc_fed_term" : 10, + "prop_tax_cost_assessed_percent" : 100, + "property_assessed_value" : 520326627.36764717, + "ppa_price_input" : [ 0.050000000000000003 ], + "ptc_sta_escal" : 0, + "ur_billing_demand_lookback_period" : 0, + "ur_name" : "", + "const_per_total3" : 0, + "system_capacity" : 276923.09999999998, + "const_per_interest5" : 0, + "dscr_limit_debt_fraction" : 0, + "solution_mode_message" : "", + "ibi_fed_percent_tax_fed" : 1, + "ur_demand_reactive_power_charge" : 0, + "ibi_fed_amount_tax_sta" : 1, + "pbi_oth_amount" : [ 0 ], + "const_per_name2" : "Loan 2", + "ur_ratedata_filename" : "", + "show_capitalcostso" : 1, + "const_per_total4" : 0, + "cbi_fed_maxvalue" : 0, + "itc_sta_amount_deprbas_sta" : 0, + "cbi_sta_amount" : 0, + "const_per_name5" : "Loan 5", + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "ui_wacc" : 5.3872800000000014, + "depr_itc_sta_custom" : 0, + "cost_debt_fee" : 2.75, + "cbi_fed_deprbas_sta" : 0, + "pbi_sta_for_ds" : 0, + "tod_library" : "Uniform Dispatch", + "const_per_percent_total" : 100, + "const_per_percent3" : 0, + "ur_cr_tou_mat" : [ [ 0 ] ], + "depr_bonus_sta_sl_20" : 0, + "ppa_soln_mode" : 1, + "itc_fed_percent_deprbas_sta" : 1, + "prop_tax_assessed_decline" : 0, + "flip_target_percent" : 11, + "ur_schedule_name" : "empty", + "en_electricity_rates" : 1, + "const_per_principal1" : 520326627.36764717, + "ur_dc_enable" : 0, + "dscr_maximum_debt_fraction" : 100, + "debt_percent" : 60, + "pbi_sta_amount" : [ 0 ], + "depr_bonus_fed_custom" : 0, + "state_tax_rate" : [ 7 ], + "ur_voltage_category" : "", + "const_per_percent5" : 0, + "mera_name3" : "Replacement Reserve 3", + "ibi_uti_amount_deprbas_fed" : 0, + "analysis_period" : 25, + "ibi_oth_amount" : 0, + "ibi_uti_amount" : 0, + "grid_curtailment_price_esc" : 0, + "lib_dispatch_factor6" : 1, + "ur_description" : "", + "cost_debt_closing" : 0, + "ur_demand_max" : 0, + "cp_capacity_payment_esc" : 0, + "term_tenor" : 18, + "ur_energy_max" : 0, + "construction_financing_cost" : 13658573.968400739, + "depr_itc_sta_sl_39" : 0, + "ur_service_type" : "", + "ur_fuel_adjustments_monthly" : [ 0 ], + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "ur_nm_credit_month" : 0, + "ur_voltage_min" : 0, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "depr_alloc_sl_20_percent" : 0, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "const_per_principal_total" : 520326627.36764717, + "total_installed_cost" : 520326627.36764717, + "equip2_reserve_cost" : 0, + "lib_dispatch_factor9" : 1, + "equip_reserve_depr_sta" : 0, + "pbi_oth_tax_fed" : 1, + "const_per_upfront_rate2" : 0, + "cbi_uti_tax_fed" : 1, + "const_per_interest_rate4" : 0, + "om_production" : 0, + "batt_salvage_percentage" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "ur_metering_option" : 4, + "ptc_fed_escal" : 0, + "cbi_sta_tax_sta" : 1, + "pbi_uti_escal" : 0, + "flip_target_year" : 20, + "const_per_total5" : 0, + "mera_cost3" : 0, + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "ptc_fed_amount" : [ 0 ], + "ur_energy_history" : 0, + "ibi_uti_percent_deprbas_sta" : 0, + "depr_bonus_sta_macrs_15" : 0, + "ui_fuel_cell_cost" : 0, + "ui_battery_cost" : 111900127.3676472, + "const_per_name4" : "Loan 4", + "batt_replacement_option" : 1, + "pbi_uti_amount" : [ 0 ], + "depr_bonus_fed_macrs_15" : 0, + "cbi_uti_maxvalue" : 0, + "revenue_capacity_payments_is_shown" : 0, + "sales_tax_rate" : 5, + "const_per_interest1" : 8455307.6947242673, + "mera_cost1" : 27692309.999999996, + "equip2_reserve_freq" : 0, + "const_per_months2" : 0, + "ibi_uti_amount_tax_sta" : 1, + "real_discount_rate" : 6.4000000000000004, + "revenue_curtailment_is_shown" : 0, + "cbi_oth_tax_sta" : 1, + "itc_sta_amount" : [ 0 ], + "const_per_months4" : 0, + "ur_en_ts_sell_rate" : 0, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "equip_reserve_depr_fed" : 0, + "ui_battery_capacity" : 57600.053208000005, + "depr_custom_schedule" : [ 0 ], + "pbi_sta_tax_sta" : 1, + "battery_total_installed_cost" : 111900127.3676472, + "depr_alloc_sl_15_percent" : 0, + "batt_salvage_value" : 0, + "om_capacity" : 0, + "months_receivables_reserve" : 0, + "ur_phase_wiring" : "", + "pbi_sta_term" : 0, + "pbi_fed_for_ds" : 0, + "mera_cost2" : 0, + "depr_bonus_sta_sl_39" : 0, + "debt_message" : "", + "grid_interconnection_limit_kwac" : 20000, + "number table entries" : 377 + }, + "windpower" : { + "bos_cost_total" : 69552000, + "est_turbine_cost" : 0, + "om_fixed" : [ 0 ], + "wind_resource.location_id" : "loc_id", + "wind.turbine.tower_design" : 0, + "env_icing_loss" : 0.20999999999999999, + "sales_tax_rate" : 5, + "system_capacity" : 200000, + "sales_tax_basis" : 0, + "degradation" : [ 0 ], + "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "analysis_period" : 25, + "install_type" : 0, + "turb_generic_loss" : 1.7, + "elec_parasitic_loss" : 0.10000000000000001, + "om_fixed_escal" : 0, + "a_error_test_number" : 17.344999999999999, + "turbine_cost_total" : 222480000.00000003, + "wind_resource.closest_dir_meas_ht" : 80, + "avail_loss_total" : 5.5011684999999977, + "ops_env_loss" : 1, + "reference_sales_tax_percent" : 5, + "total_installed_cost" : 292032000, + "wind.turbine.name_only" : "0", + "wind_turbine_rated_wind_speed" : 2500, + "avail_grid_loss" : 1.5, + "wind_resource.year" : 1900, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "reference_capacity" : 200000, + "ops_grid_loss" : 0.83999999999999997, + "wind_farm_ycoord_file" : [ 0 ], + "resource_definition_type" : 0, + "sales_tax_total" : 0, + "ui_step_minutes" : 60, + "windfarm.farm.row_spacing" : 8, + "turb_hysteresis_loss" : 0.40000000000000002, + "om_production" : [ 0 ], + "turb_loss_total" : 3.9544963841199987, + "wind_turbine_iec_class_from_lib" : "IIb|IIIa", + "wind_turbine_rotor_diameter_from_lib" : 100, + "wake_future_loss" : 0, + "system_use_lifetime_output" : 0, + "wind.turbine.elevation" : 0, + "windfarm.farm.number_of_rows" : 10, + "wind_resource.file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "windfarm.farm.turbine_spacing" : 8, + "weibull_k_factor" : 2, + "wind_turbine_kw_rating_from_lib" : 2500, + "env_env_loss" : 0.40000000000000002, + "wind_turbine_rotor_diameter" : 100, + "windfarm.farm.layout_slider" : 33, + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_turbine_cut_out" : 25, + "reference_number_turbines" : 80, + "wind_resource.elev" : 1829, + "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wind.turbine.region2nhalf_slope" : 5, + "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", + "bos_cost_per_turbine" : 0, + "wind_climate.msg_is_error" : 0, + "wind_resource_model_choice" : 0, + "adjust_constant" : 0, + "wind.turbine.radio_list_or_design" : 0, + "wind_turbine_powercurve_hub_efficiency" : [ 0 ], + "wind_turbine_kw_rating" : 2500, + "windfarm.layout.file_or_controls" : 1, + "wind_resource.lon_requested" : 0, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_loss_total" : 2.8034671600000061, + "a_error_test_string" : "\"default err msg\"", + "wind_climate.msg" : "", + "wake_ext_loss" : 1.1000000000000001, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "turbine_cost_fixed" : 0, + "windfarm.farm.shape" : 0, + "windfarm.farm.offset_type" : 0, + "user_specified_wf_wind" : " ", + "om_production_escal" : 0, + "wind.turbine.blade_design" : 0, + "wind_resource.country" : "USA", + "adjust_timeindex" : [ 0 ], + "wind.turbine.max_tip_speed" : 80, + "wind_resource_shear" : 0.14000000000000001, + "om_capacity" : [ 40 ], + "total_installed_cost_per_kw" : 1460.1600000000001, + "wind_resource.closest_speed_meas_ht" : 80, + "wind_farm_num_turbines" : 80, + "wind_resource.lat_requested" : 0, + "wind_resource_distribution" : [ [ 0 ] ], + "cols" : 1, + "wind_resource.requested_ht" : 80, + "weibull_reference_height" : 50, + "turbine_cost_per_turbine" : 0, + "avail_turb_loss" : 3.5800000000000001, + "avail_bop_loss" : 0.5, + "env_exposure_loss" : 0, + "weibull_wind_speed" : 7.25, + "wind.turbine.drive_train" : 0, + "rows" : 1, + "wind_turbine_max_cp" : 0.45000000000000001, + "wind_turbine_selection" : "GE 2.5xl", + "wind_resource.lat" : 0, + "wind_climate.url_info" : "empty", + "windfarm.farm.layout_angle" : 0, + "wind_farm_sizing_mode" : 2, + "wind.turbine.max_tspeed_ratio" : 8, + "desired_farm_size" : 10, + "elec_eff_loss" : 1.9099999999999999, + "wind_resource.lon" : 0, + "windfarm.farm.turbines_per_row" : 8, + "bos_cost_per_kw" : 347.75999999999999, + "turb_specific_loss" : 0.81000000000000005, + "wind_turbine_hub_ht" : 80, + "env_loss_total" : 2.3981951200000018, + "use_specific_wf_wind" : 0, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "elec_loss_total" : 2.0080899999999957, + "specify_label" : 1, + "wake_int_loss" : 0, + "reference_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_turbine_kw_rating_input" : 1500, + "wind_turbine_powercurve_windspeeds_from_lib" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "adjust" : 0, + "wind_turbine_cutin" : 4, + "wind_farm_wake_model" : 0, + "wind_resource.city" : "city??", + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "turbine_cost_per_kw" : 1112.4000000000001, + "adjust_en_periods" : 0, + "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, + "inflation_rate" : 2.5, + "step" : 0, + "wind_turbine_rotor_diameter_input" : 75, + "windfarm.farm.offset" : 4, + "wind_resource.state" : "AZ", + "sizing_warning" : 0, + "est_bos_cost" : 0, + "wind.turbine.dummy" : 0, + "wake_loss" : 0, + "ops_load_loss" : 0.98999999999999999, + "om_capacity_escal" : 0, + "wind_turbine_powercurve_err_msg" : "", + "wind_resource.location" : "AZ Eastern-Rolling Hills", + "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "env_degrad_loss" : 1.8, + "wake_loss_total" : 1.100000000000001, + "wind_farm_xcoord_file" : [ 0 ], + "number table entries" : 149 + }, + "battery" : { + "batt_dispatch_auto_can_charge" : 1, + "dispatch_manual_system_charge_first" : 0, + "batt_dispatch_pvs_ki" : 1.8, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "batt_ui_inverter_eff" : 96, + "genericsys.cost.contingency" : 2750402.5406820006, + "batt_ui_nominal_bank_power" : 60000.055425000006, + "en_standalone_batt" : 0, + "ppa_multiplier_model" : 0, + "batt_dispatch_pvs_timestep_multiplier" : 3, + "genericsys.cost.epc.nonfixed" : 9443048.7230082024, + "batt_qfull_flow" : 479616.75, + "analysis_period" : 25, + "pv.storage.p1.charge" : 1, + "om_production_escal" : 0, + "batt_dispatch_pvs_soc_rest" : 50, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_cycle_cost_choice" : 0, + "batt_c_rate" : 0.20000000000000001, + "batt_dispatch_auto_can_gridcharge" : 0, + "total_indirect_cost" : 12748115.776061073, + "batt_cell_current_charge_max" : 10, + "ui_copy_batt_discharge_percent_4" : 25, + "batt_gridcharge_percent_4" : 100, + "pv.storage.p3.gridcharge" : 0, + "batt_gridcharge_percent_2" : 100, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "leadacid_q10" : 93, + "pv.storage.p6.discharge" : 0, + "batt_loss_choice" : 0, + "pv.storage.p6.gridcharge" : 0, + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "genericsys.cost.sales_tax.value" : 5, + "batt_minimum_soc" : 10, + "battery_total_cost_lcos" : 111900127.3676472, + "pv.storage.p1.gridcharge" : 0, + "batt_discharge_percent_6" : 25, + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "batt_gridcharge_percent_5" : 100, + "genericsys.cost.sales_tax.percent" : 100, + "genericsys.cost.per_watt" : 0, + "batt_dispatch_pvs_wf_forecast_choice" : 0, + "batt_pv_ac_forecast_fom_auto" : [ 0 ], + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "pv.storage.p3.discharge" : 0, + "batt_bank_size" : 240000, + "battery_indirect_cost_percent" : 0, + "batt_mass" : 3428574.595714286, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "hybrid_system_capacity" : 276923.09999999998, + "sales_tax_rate" : 5, + "batt_calendar_q0" : 1.02, + "batt_computed_voltage" : 500.40000000000003, + "genericsys.cost.plm.total" : 3305067.0530528706, + "pv.storage.p6.charge" : 0, + "total_installed_cost" : 111900127.3676472, + "om_fixed_escal" : 0, + "batt_gridcharge_percent_6" : 100, + "batt_dispatch_excl" : 3, + "batt_qnom_percent" : 88.888900000000007, + "pv.storage.p5.dischargetogrid" : 0, + "grid_interconnection_limit_kwac" : 20000, + "batt_c_rate_max_charge_input" : 0.5, + "pv.storage.p5.discharge" : 0, + "batt_dispatch_pvs_short_forecast_enable" : 0, + "ui_batt_life_model" : 0, + "genericsys.cost.contingency_percent" : 3, + "batt_computed_bank_capacity" : 240000.22170000002, + "leadacid_q20_computed" : 479616.75, + "om_batt_nameplate" : 240000.22170000002, + "om_batt_replacement_cost" : [ 323 ], + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "batt_discharge_percent_1" : 50, + "batt_type" : 5, + "batt_dispatch_pvs_nameplate" : 276923.09999999998, + "batt_calendar_choice" : 1, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_dispatch_choice_ui" : 3, + "batt_discharge_percent_5" : 25, + "ppa_escalation" : 1, + "batt_discharge_percent_4" : 25, + "batt_ac_dc_efficiency" : 96, + "batt_gridcharge_percent_3" : 100, + "batt_chem" : 1, + "batt_dispatch_pvs_curtail_if_violation" : 0, + "batt_pvs_pv_ac_forecast" : [ 0 ], + "batt_dispatch_pvs_battery_power" : 62500.057734375012, + "pv.storage.p4.charge" : 0, + "pv.storage.p2.gridcharge" : 0, + "batt_c_rate_max_charge" : 0.25, + "batt_vnom_default" : 3.6000000000000001, + "batt_replacement_schedule_percent" : [ 0 ], + "batt_vexp" : 4.0499999999999998, + "pv.storage.p3.dischargetogrid" : 0, + "batt_life_excl" : 0, + "batt_calendar_b" : -7280, + "battery_per_kw" : 236, + "batt_pv_ac_forecast" : [ 0 ], + "wind_ui_step_minutes" : 60, + "batt_dispatch_update_frequency_hours" : 1, + "batt_specific_energy_per_mass" : 70, + "batt_dispatch_pvs_ac_ub_enable" : 0, + "batt_ui_bank_voltage" : 500.40000000000003, + "batt_room_temperature_single" : 25, + "batt_unit_surface_area" : 30, + "batt_replacement_option" : 1, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "batt_bank_voltage" : 500, + "batt_cp" : 1500, + "batt_dispatch_auto_can_clipcharge" : 0, + "batt_dispatch_wf_forecast_choice" : 0, + "genericsys.cost.plm.nonfixed" : 3305067.0530528706, + "battery_energy" : 240000.22170000002, + "batt_specific_energy_per_volume" : 82, + "batt_power_charge_max_kwac" : 62500.057734375012, + "batt_dc_ac_efficiency" : 96, + "batt_bank_size_ui" : 240000, + "batt_resistance" : 0.002, + "batt_room_temperature_celsius" : [ 25 ], + "batt_power_discharge_max_kwac" : 57600.053208000005, + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_current_choice" : 1, + "batt_time_capacity" : 4, + "batt_user_specified_weather_file" : "", + "pv.storage.p4.dischargetogrid" : 0, + "om_replacement_cost_escal" : 0, + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_minimum_modetime" : 10, + "batt_dispatch_pvs_ac_lb_enable" : 0, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "pv_ui_step_minutes" : 60, + "battery_voltage_shown" : 0, + "batt_current_charge_max" : 119904.1875, + "total_direct_cost" : 94430487.23008202, + "batt_computed_strings" : 213163, + "batt_volume" : 2926.8319719512197, + "ui_copy_batt_discharge_percent_1" : 50, + "batt_surface_area" : 18000.016627500001, + "pv.storage.p2.discharge" : 1, + "batt_size_choice" : 0, + "om_batt_variable_cost" : [ 0 ], + "batt_dispatch_pvs_max_ramp" : 10, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_qexp_percent" : 1.7777799999999999, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "ui_copy_batt_discharge_percent_2" : 25, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "leadacid_q20" : 100, + "batt_ui_cell_voltage" : 3.6000000000000001, + "batt_voltage_choice" : 0, + "batt_dispatch_pvs_battery_energy" : 240000.22170000002, + "batt_vnom" : 3.3999999999999999, + "ui_copy_batt_discharge_percent_6" : 25, + "pv.storage.p2.dischargetogrid" : 0, + "batt_inverter_efficiency_cutoff" : 90, + "batt_bank_size_dc_ac" : 0, + "batt_initial_soc" : 50, + "leadacid_qn_computed" : 287770.04999999999, + "battery_losses_shown" : 0, + "battery_per_kwh" : 323, + "batt_computed_series" : 139, + "batt_vfull" : 4.0999999999999996, + "batt_gridcharge_percent_1" : 100, + "genericsys.cost.plm.percent" : 3.5, + "batt_dispatch_pvs_battery_rte" : 92.159999999999997, + "battery_total" : 91680084.689400017, + "batt_replacement_capacity" : 50, + "genericsys.cost.plm.fixed" : 0, + "leadacid_tn" : 1, + "pv.storage.p2.charge" : 0, + "batt_look_ahead_hours" : 18, + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "batt_meter_position" : 1, + "batt_cycle_cost" : [ 0 ], + "batt_num_cells" : 29629657, + "genericsys.cost.epc.total" : 9443048.7230082024, + "batt_c_rate_max_discharge" : 0.25, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "batt_dispatch_pvs_interconnection_limit" : 20000, + "pv.storage.p1.discharge" : 0, + "batt_custom_dispatch" : [ 0 ], + "batt_c_rate_max_discharge_input" : 0.5, + "battery_thermal_shown" : 0, + "batt_vcut" : 2.706, + "batt_bank_nstrings" : 1, + "inflation_rate" : 2.5, + "batt_cell_power_discharge_max" : 2, + "genericsys.cost.installed_per_capacity" : 1.9427087500000004, + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_dc_dc_efficiency" : 99, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_room_temperature_vector" : [ 0 ], + "batt_current_discharge_max" : 119904.1875, + "batt_maximum_soc" : 95, + "add_om_num_types" : 1, + "batt_calendar_a" : 0.00266, + "batt_power_discharge_max_kwdc" : 60000.055425000006, + "pv.storage.p5.gridcharge" : 0, + "ppa_price_input" : [ 0.050000000000000003 ], + "ui_copy_batt_discharge_percent_5" : 25, + "batt_calendar_c" : 939, + "pv.storage.p4.discharge" : 0, + "batt_bank_size_specify" : 100, + "batt_qfull" : 2.25, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_bank_nseries_stacks" : 1, + "batt_bank_duration" : 0, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "batt_dispatch_pvs_kp" : 1.2, + "batt_unit_capacity" : 400, + "om_capacity_escal" : 0, + "batt_life_model" : 0, + "leadacid_q10_computed" : 446043.57750000001, + "batt_ac_or_dc" : 1, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_dispatch_pvs_ac_ub" : 1.05, + "batt_dispatch_pvs_wf_timestep" : 60, + "batt_losses" : [ 0 ], + "batt_pvs_user_specified_weather_file" : "", + "leadacid_qn" : 60, + "batt_thermal_choice" : 0, + "batt_duration_choice" : 0, + "system_capacity" : 57600.053208000005, + "pv.storage.p4.gridcharge" : 0, + "batt_cell_current_discharge_max" : 10, + "batt_cell_power_charge_max" : 2, + "ui_copy_batt_discharge_percent_3" : 25, + "batt_qexp" : 0.040000050000000002, + "batt_bank_power" : 60000, + "batt_discharge_percent_3" : 25, + "pv.storage.p5.charge" : 0, + "batt_computed_stacks_series" : 0, + "dispatch_manual_percent_gridcharge" : [ 0 ], + "batt_discharge_percent_2" : 25, + "batt_h_to_ambient" : 100, + "batt_bank_power_dc_ac" : 0, + "om_batt_fixed_cost" : [ 0 ], + "battery_power" : 60000.055425000006, + "batt_dispatch_pvs_ramp_interval" : 180, + "batt_qnom" : 2.0000002500000003, + "genericsys.cost.epc.percent" : 10, + "batt_dispatch_pvs_nameplate_ac" : 20000, + "genericsys.cost.epc.fixed" : 0, + "pv.storage.p6.dischargetogrid" : 0, + "compute_as_cube" : 0, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "pv.storage.p1.dischargetogrid" : 0, + "batt_power_charge_max_kwdc" : 60000.055425000006, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "pv.storage.p3.charge" : 0, + "batt_dispatch_choice" : 3, + "batt_bank_ncells_serial" : 3, + "number table entries" : 252 + }, + "number table entries" : 5 + }, + "compute_module_0" : "hybrid", + "number_compute_modules" : 1, + "number_metrics" : 0 +} diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 3cbac8f1e..945cf42e3 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -74,17 +74,17 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatterySingleOwner) { auto pv_outputs = ssc_data_get_table(outputs, "pvwattsv8"); auto pv_inputs = ssc_data_get_table(inputs, "pvwattsv8"); ssc_data_get_number(pv_outputs, "annual_energy", &pvannualenergy); - EXPECT_NEAR(pvannualenergy, 25970, 25970 * 0.01); + EXPECT_NEAR(pvannualenergy, 211907455, 211907455 * 0.01); auto wind_outputs = ssc_data_get_table(outputs, "windpower"); auto wind_inputs = ssc_data_get_table(inputs, "windpower"); ssc_data_get_number(wind_outputs, "annual_energy", &windannualenergy); - EXPECT_NEAR(windannualenergy, 5927, 5927 * 0.01); + EXPECT_NEAR(windannualenergy, 818888286, 818888286 * 0.01); auto batt_outputs = ssc_data_get_table(outputs, "battery"); auto batt_inputs = ssc_data_get_table(inputs, "battery"); ssc_data_get_number(batt_outputs, "annual_energy", &battannualenergy); - EXPECT_NEAR(battannualenergy, 31893, 31893 * 0.01); + EXPECT_NEAR(battannualenergy, 1022195808, 1022195808 * 0.01); auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); @@ -95,10 +95,10 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatterySingleOwner) { auto om_expenses = ssc_data_get_array(hybrid_outputs, "cf_operating_expenses", &len); ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); - EXPECT_NEAR(om_expenses[1], 2527, 1); - EXPECT_NEAR(revenue[1], 3189, 1); - EXPECT_NEAR(ebitda[1], 662, 1); - EXPECT_NEAR(npv, -61506, 61506 * 0.001); + EXPECT_NEAR(om_expenses[1], 10772001, 1); + EXPECT_NEAR(revenue[1], 56354351, 1); + EXPECT_NEAR(ebitda[1], 45582350, 1); + EXPECT_NEAR(npv, 22961119, 61506 * 0.001); } ssc_data_free(dat); dat = nullptr; @@ -139,15 +139,15 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatteryHostDeveloper) { auto pv_outputs = ssc_data_get_table(outputs, "pvwattsv8"); ssc_data_get_number(pv_outputs, "annual_energy", &pvannualenergy); - EXPECT_NEAR(pvannualenergy, 6933, 6933 * 0.01); + EXPECT_NEAR(pvannualenergy, 938557, 938557 * 0.01); auto wind_outputs = ssc_data_get_table(outputs, "windpower"); ssc_data_get_number(wind_outputs, "annual_energy", &windannualenergy); - EXPECT_NEAR(windannualenergy, 5927, 5927 * 0.01); + EXPECT_NEAR(windannualenergy, 396876, 396876 * 0.01); auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); - EXPECT_NEAR(npv, -45948, 45948 * 0.001); + EXPECT_NEAR(npv, 70350, 70350 * 0.001); } ssc_data_free(dat); dat = nullptr; From 39f35229b8b4f070b5254c9722f88c33d6e5082e Mon Sep 17 00:00:00 2001 From: Darice Date: Mon, 4 Mar 2024 08:58:01 -0700 Subject: [PATCH 68/79] update all cmod_hybrids_test to use code generator --- ... FuelCell Battery Hybrid_Single Owner.json | 3482 ++++------------- ...ts Wind Battery Hybrid_Host Developer.json | 665 +--- ...atts Wind Battery Hybrid_Single Owner.json | 646 +-- ...atts_Wind_Battery_Hybrid_Single_Owner.json | 475 --- test/ssc_test/cmod_hybrid_test.cpp | 66 +- 5 files changed, 989 insertions(+), 4345 deletions(-) delete mode 100644 test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json diff --git a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json index c110d6b30..951884bb2 100644 --- a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json @@ -1,2798 +1,686 @@ { - "input": { - "compute_modules" : ["generic_system", "pvwattsv8", "windpower", "fuelcell", "battery", "grid", "utilityrate5", "singleowner"], - "generic_system": { - "spec_mode" : 0, - "derate" : 4, - "system_capacity" : 100000, - "user_capacity_factor" : 90, - "heat_rate" : 10, - "conv_eff" : 34.118048447628794, - "energy_output_array" : [ 0 ], - "system_use_lifetime_output" : 0, - "adjust_constant" : 0, - "adjust_en_timeindex" : 0, - "adjust_en_periods" : 0, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "adjust_periods" : [ [ 0, 0, 0 ] ], - "generic_degradation": [0], - "om_capacity": [ - 40.0 - ], - "om_capacity_escal": 0.0, - "om_fixed": [ - 0.0 - ], - "om_fixed_escal": 0.0, - "om_production": [ - 0.0 - ], - "om_production_escal": 0.0, - "om_fuel_cost": [ - 10.0 - ], - "om_fuel_cost_escal": 0.0, - "total_installed_cost": 1128750000 - }, - "pvwattsv8": { - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "use_wf_albedo" : 1, - "system_use_lifetime_output" : 0, - "system_capacity" : 100000, - "module_type" : 0, - "dc_ac_ratio" : 1.3, - "bifaciality" : 0, - "array_type" : 2, - "tilt" : 0, - "azimuth" : 180, - "gcr" : 0.29999999999999999, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "losses" : 14.075699999999999, - "en_snowloss" : 0, - "inv_eff" : 96, - "shading_en_string_option" : 0, - "shading_string_option" : 0, - "shading_en_timestep" : 0, - "shading_timestep" : [ [ 0 ] ], - "shading_en_mxh" : 0, - "shading_mxh" : [ [ 0 ] ], - "shading_en_azal" : 0, - "shading_azal" : [ [ 0 ] ], - "shading_en_diff" : 0, - "shading_diff" : 0, - "batt_simple_enable" : 0, - "adjust_constant" : 0, - "adjust_en_timeindex" : 0, - "adjust_en_periods" : 0, - "adjust_timeindex" : [ 0 ], - "adjust_periods" : [ [ 0, 0, 0 ] ], - "degradation": [0.5], - "om_capacity": [ - 15.0 - ], - "om_capacity_escal": 0.0, - "om_fixed": [ - 0.0 - ], - "om_fixed_escal": 0.0, - "om_production": [ - 0.0 - ], - "om_production_escal": 0.0, - "total_installed_cost": 116394000 - }, - "windpower": { - "wind_resource_model_choice" : 0, - "wind_resource_distribution" : [ [ 3.1185, 45, 0.0344 ], [ 9.1355000000000004, 45, 0.0172 ], [ 15.1525, 45, 0.000457 ], [ 21.169499999999999, 45, 0 ], [ 3.1185, 135, 0.046800000000000001 ], [ 9.1355000000000004, 135, 0.0591 ], [ 15.1525, 135, 0.0032000000000000002 ], [ 21.169499999999999, 135, 0.00011400000000000001 ], [ 3.1185, 225, 0.063799999999999996 ], [ 9.1355000000000004, 225, 0.28199999999999997 ], [ 15.1525, 225, 0.26300000000000001 ], [ 21.169499999999999, 225, 0.0487 ], [ 3.1185, 315, 0.062399999999999997 ], [ 9.1355000000000004, 315, 0.0974 ], [ 15.1525, 315, 0.020500000000000001 ], [ 21.169499999999999, 315, 0.0010300000000000001 ] ], - "weibull_reference_height" : 50, - "weibull_k_factor" : 2, - "weibull_wind_speed" : 7.25, - "wind_resource_shear" : 0.14000000000000001, - "wind_turbine_rotor_diameter" : 100, - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "wind_turbine_hub_ht" : 80, - "wind_turbine_max_cp" : 0.45000000000000001, - "wind_farm_wake_model" : 0, - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "system_capacity" : 200000, - "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wake_int_loss" : 0, - "wake_ext_loss" : 1.1000000000000001, - "wake_future_loss" : 0, - "avail_bop_loss" : 0.5, - "avail_grid_loss" : 1.5, - "avail_turb_loss" : 3.5800000000000001, - "elec_eff_loss" : 1.9099999999999999, - "elec_parasitic_loss" : 0.10000000000000001, - "env_degrad_loss" : 1.8, - "env_exposure_loss" : 0, - "env_env_loss" : 0.40000000000000002, - "env_icing_loss" : 0.20999999999999999, - "ops_env_loss" : 1, - "ops_grid_loss" : 0.83999999999999997, - "ops_load_loss" : 0.98999999999999999, - "ops_strategies_loss" : 0, - "turb_generic_loss" : 1.7, - "turb_hysteresis_loss" : 0.40000000000000002, - "turb_perf_loss" : 1.1000000000000001, - "turb_specific_loss" : 0.81000000000000005, - "adjust_constant" : 0, - "adjust_en_timeindex" : 0, - "adjust_en_periods" : 0, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "adjust_periods" : [ [ 0, 0, 0 ] ], - "total_uncert" : 12.085, - "om_capacity": [ - 40.0 - ], - "om_capacity_escal": 0.0, - "om_fixed": [ - 0.0 - ], - "om_fixed_escal": 0.0, - "om_production": [ - 0.0 - ], - "om_production_escal": 0.0, - "total_installed_cost": 292032000, - "degradation": [0] - }, - "fuelcell": { - "fuelcell_availability_schedule" : [ [ 0, 0 ] ], - "fuelcell_degradation" : 0.0045662100000000002, - "fuelcell_degradation_restart" : 1, - "fuelcell_fixed_pct" : 95, - "fuelcell_dynamic_response_up" : 500, - "fuelcell_dynamic_response_down" : 500, - "fuelcell_efficiency" : [ [ 0, 3, 50 ], [ 16.100000000000001, 21, 50 ], [ 25.5, 25.199999999999999, 50 ], [ 34.799999999999997, 31.5, 50 ], [ 44.100000000000001, 37.299999999999997, 50 ], [ 53.399999999999999, 42.600000000000001, 50 ], [ 62.700000000000003, 47.399999999999999, 49 ], [ 72, 49.899999999999999, 48 ], [ 81.400000000000006, 52, 47 ], [ 90.700000000000003, 51.799999999999997, 46 ], [ 100, 50.700000000000003, 45 ] ], - "fuelcell_efficiency_choice" : 0, - "fuelcell_fuel_available" : 10000000000, - "fuelcell_fuel_type" : 0, - "fuelcell_lhv" : 983, - "fuelcell_number_of_units" : 1, - "fuelcell_operation_options" : 1, - "fuelcell_replacement_option" : 0, - "fuelcell_replacement_percent" : 50, - "fuelcell_replacement_schedule" : [ 0 ], - "fuelcell_shutdown_time" : 24, - "fuelcell_startup_time" : 24, - "fuelcell_is_started" : 0, - "fuelcell_type" : 2, - "fuelcell_unit_max_power" : 200, - "fuelcell_unit_min_power" : 60, - "fuelcell_dispatch" : [ 0 ], - "fuelcell_dispatch_choice" : 0, - "dispatch_manual_fuelcellcharge" : [ 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_fuelcelldischarge" : [ 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_percent_fc_discharge" : [ 0 ], - "dispatch_manual_units_fc_discharge" : [ 0 ], - "dispatch_manual_fuelcell_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "dispatch_manual_fuelcell_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "total_installed_cost": 1628710264.77, - "om_fuelcell_fixed_cost": [0], - "om_fuel_cost_escal": 0, - "om_fuelcell_variable_cost": [0], - "om_fuelcell_capacity_cost": [27], - "om_fuel_cost": [10], - "om_fixed_cost": [0], - "om_fixed_escal": 0, - "om_production_escal": 0, - "om_capacity_escal": 0, - "degradation": [20] - }, - "battery": { - "system_use_lifetime_output" : 1, - "en_batt" : 1, - "en_standalone_batt" : 0, - "batt_chem" : 1, - "batt_ac_or_dc" : 1, - "batt_dc_dc_efficiency" : 99, - "batt_dc_ac_efficiency" : 96, - "batt_ac_dc_efficiency" : 96, - "batt_meter_position" : 1, - "batt_inverter_efficiency_cutoff" : 90, - "batt_losses" : [ 0 ], - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_loss_choice" : 0, - "batt_current_choice" : 1, - "batt_computed_strings" : 149880, - "batt_computed_series" : 139, - "batt_computed_bank_capacity" : 239999.84640000004, - "batt_current_charge_max" : 119904, - "batt_current_discharge_max" : 119904, - "batt_power_charge_max_kwdc" : 59999.96160000001, - "batt_power_discharge_max_kwdc" : 59999.96160000001, - "batt_power_charge_max_kwac" : 62499.960000000014, - "batt_power_discharge_max_kwac" : 57599.963136000006, - "batt_voltage_choice" : 0, - "batt_Vfull" : 4.2000000000000002, - "batt_Vexp" : 3.5299999999999998, - "batt_Vnom" : 3.3420000000000001, - "batt_Vnom_default" : 3.6000000000000001, - "batt_Qfull" : 3.2000000000000002, - "batt_Qfull_flow" : 479616.00000000006, - "batt_Qexp" : 2.5840000000000005, - "batt_Qnom" : 3.1260000000000003, - "batt_Vcut" : 2.7719999999999998, - "batt_C_rate" : 0.20000000000000001, - "batt_resistance" : 0.001155, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "LeadAcid_q20_computed" : 479616, - "LeadAcid_q10_computed" : 446042.88, - "LeadAcid_qn_computed" : 287769.59999999998, - "LeadAcid_tn" : 1, - "batt_initial_SOC" : 50, - "batt_minimum_SOC" : 15, - "batt_maximum_SOC" : 95, - "batt_minimum_modetime" : 10, - "batt_life_model" : 1, - "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], - "batt_calendar_choice" : 1, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_calendar_q0" : 1.02, - "batt_calendar_a" : 0.00266, - "batt_calendar_b" : -7280, - "batt_calendar_c" : 939, - "batt_replacement_capacity" : 0, - "batt_replacement_option" : 1, - "batt_replacement_schedule_percent" : [ 0 ], - "batt_mass" : 2376236.1029702974, - "batt_surface_area" : 17999.988480000004, - "batt_Cp" : 1500, - "batt_h_to_ambient" : 100, - "batt_room_temperature_celsius" : [ 25 ], - "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], - "dispatch_manual_charge" : [ 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_gridcharge" : [ 1, 0, 0, 0, 0, 0 ], - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "dispatch_manual_percent_gridcharge" : [ 25, 0 ], - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "dispatch_manual_system_charge_first" : 0, - "batt_custom_dispatch" : [ 0 ], - "batt_dispatch_choice" : 3, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_look_ahead_hours" : 18, - "batt_dispatch_update_frequency_hours" : 1, - "batt_dispatch_wf_forecast_choice" : 0, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_pv_ac_forecast" : [ 0 ], - "batt_cycle_cost_choice" : 0, - "batt_cycle_cost" : [ 0 ], - "ppa_price_input" : [ 0.45000000000000001 ], - "ppa_multiplier_model" : 0, - "ppa_escalation" : 1, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "en_electricity_rates" : 0, - "rate_escalation" : [ 0 ], - "ur_metering_option" : 4, - "ur_nm_yearend_sell_rate" : 0, - "ur_nm_credit_month" : 11, - "ur_nm_credit_rollover" : 0, - "ur_monthly_fixed_charge" : 0, - "ur_monthly_min_charge" : 0, - "ur_annual_min_charge" : 0, - "ur_en_ts_sell_rate" : 0, - "ur_ts_sell_rate" : [ 0 ], - "ur_en_ts_buy_rate" : 0, - "ur_ts_buy_rate" : [ 0 ], - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.044999999999999998, 0 ] ], - "ur_dc_enable" : 0, - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ] ], - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], - "ur_enable_billing_demand" : 0, - "ur_billing_demand_minimum" : 100, - "ur_billing_demand_lookback_period" : 11, - "ur_billing_demand_lookback_percentages" : [ [ 60, 0 ], [ 60, 0 ], [ 60, 0 ], [ 60, 0 ], [ 60, 0 ], [ 95, 1 ], [ 95, 1 ], [ 95, 1 ], [ 95, 1 ], [ 60, 0 ], [ 60, 0 ], [ 60, 0 ] ], - "ur_dc_billing_demand_periods" : [ [ 1, 1 ] ], - "ur_yearzero_usage_peaks" : [ 234.67599999999999, 173.422, 172.00700000000001, 191.434, 198.29499999999999, 236.46899999999999, 274.23099999999999, 260.33600000000001, 226.751, 185.12299999999999, 156.19999999999999, 184.05000000000001 ], - "grid_curtailment" : [ 9.9999999999999998e+37], - "enable_interconnection_limit" : 0, - "grid_interconnection_limit_kwac" : 100000, - "om_batt_capacity_cost": [ - 5.3 - ], - "om_batt_fixed_cost": [ - 0.0 - ], - "om_batt_nameplate": 9.024, - "om_batt_replacement_cost": [ - 323 - ], - "om_batt_variable_cost": [ - 0.0 - ], - "om_capacity_escal": 0.0, - "om_fixed_escal": 0.0, - "om_production_escal": 0.0, - "om_replacement_cost_escal": 0.0, - "total_installed_cost": 99151856 - } - , - "Hybrid": { - "analysis_period": 30.0, - "batt_replacement_option": 0.0, - "batt_salvage_percentage": 0.0, - "batt_salvage_value": 0.0, - "cbi_fed_amount": 0.0, - "cbi_fed_deprbas_fed": 0.0, - "cbi_fed_deprbas_sta": 0.0, - "cbi_fed_maxvalue": 0.0, - "cbi_fed_tax_fed": 1.0, - "cbi_fed_tax_sta": 1.0, - "cbi_oth_amount": 0.0, - "cbi_oth_deprbas_fed": 0.0, - "cbi_oth_deprbas_sta": 0.0, - "cbi_oth_maxvalue": 0.0, - "cbi_oth_tax_fed": 1.0, - "cbi_oth_tax_sta": 1.0, - "cbi_sta_amount": 0.0, - "cbi_sta_deprbas_fed": 0.0, - "cbi_sta_deprbas_sta": 0.0, - "cbi_sta_maxvalue": 0.0, - "cbi_sta_tax_fed": 1.0, - "cbi_sta_tax_sta": 1.0, - "cbi_uti_amount": 0.0, - "cbi_uti_deprbas_fed": 0.0, - "cbi_uti_deprbas_sta": 0.0, - "cbi_uti_maxvalue": 0.0, - "cbi_uti_tax_fed": 1.0, - "cbi_uti_tax_sta": 1.0, - "chk_update_peaks": 0.0, - "const_per_interest1": 0.0, - "const_per_interest2": 0.0, - "const_per_interest3": 0.0, - "const_per_interest4": 0.0, - "const_per_interest5": 0.0, - "const_per_interest_rate1": 0.0, - "const_per_interest_rate2": 0.0, - "const_per_interest_rate3": 0.0, - "const_per_interest_rate4": 0.0, - "const_per_interest_rate5": 0.0, - "const_per_interest_total": 0.0, - "const_per_months1": 0.0, - "const_per_months2": 0.0, - "const_per_months3": 0.0, - "const_per_months4": 0.0, - "const_per_months5": 0.0, - "const_per_percent1": 0.0, - "const_per_percent2": 0.0, - "const_per_percent3": 0.0, - "const_per_percent4": 0.0, - "const_per_percent5": 0.0, - "const_per_percent_total": 0.0, - "const_per_principal1": 0.0, - "const_per_principal2": 0.0, - "const_per_principal3": 0.0, - "const_per_principal4": 0.0, - "const_per_principal5": 0.0, - "const_per_principal_total": 0.0, - "const_per_total1": 0.0, - "const_per_total2": 0.0, - "const_per_total3": 0.0, - "const_per_total4": 0.0, - "const_per_total5": 0.0, - "const_per_upfront_rate1": 0.0, - "const_per_upfront_rate2": 0.0, - "const_per_upfront_rate3": 0.0, - "const_per_upfront_rate4": 0.0, - "const_per_upfront_rate5": 0.0, - "construction_financing_cost": 0.0, - "cost_debt_closing": 0.0, - "cost_debt_fee": 0.0, - "cost_other_financing": 0.0, - "cp_battery_nameplate": 0.0, - "cp_capacity_credit_percent": [ - 0.0 - ], - "cp_capacity_payment_amount": [ - 0.0 - ], - "cp_capacity_payment_esc": 0.0, - "cp_capacity_payment_type": 0.0, - "cp_system_nameplate": 0.0267205, - "debt_option": 1.0, - "debt_percent": 50.0, - "depr_alloc_custom_percent": 0.0, - "depr_alloc_macrs_15_percent": 0.0, - "depr_alloc_macrs_5_percent": 100.0, - "depr_alloc_none": 0.0, - "depr_alloc_sl_15_percent": 0.0, - "depr_alloc_sl_20_percent": 0.0, - "depr_alloc_sl_39_percent": 0.0, - "depr_alloc_sl_5_percent": 0.0, - "depr_bonus_fed": 0.0, - "depr_bonus_fed_custom": 0.0, - "depr_bonus_fed_macrs_15": 0.0, - "depr_bonus_fed_macrs_5": 1.0, - "depr_bonus_fed_sl_15": 0.0, - "depr_bonus_fed_sl_20": 0.0, - "depr_bonus_fed_sl_39": 0.0, - "depr_bonus_fed_sl_5": 0.0, - "depr_bonus_sta": 0.0, - "depr_bonus_sta_custom": 0.0, - "depr_bonus_sta_macrs_15": 0.0, - "depr_bonus_sta_macrs_5": 0.0, - "depr_bonus_sta_sl_15": 0.0, - "depr_bonus_sta_sl_20": 0.0, - "depr_bonus_sta_sl_39": 0.0, - "depr_bonus_sta_sl_5": 0.0, - "depr_custom_schedule": [ - 0.0 - ], - "depr_fedbas_method": 1.0, - "depr_itc_fed_custom": 0.0, - "depr_itc_fed_macrs_15": 0.0, - "depr_itc_fed_macrs_5": 0.0, - "depr_itc_fed_sl_15": 0.0, - "depr_itc_fed_sl_20": 0.0, - "depr_itc_fed_sl_39": 0.0, - "depr_itc_fed_sl_5": 0.0, - "depr_itc_sta_custom": 0.0, - "depr_itc_sta_macrs_15": 0.0, - "depr_itc_sta_macrs_5": 0.0, - "depr_itc_sta_sl_15": 0.0, - "depr_itc_sta_sl_20": 0.0, - "depr_itc_sta_sl_39": 0.0, - "depr_itc_sta_sl_5": 0.0, - "depr_stabas_method": 1.0, - "dispatch_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "dispatch_tod_factors": [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - "dscr": 1.3, - "dscr_limit_debt_fraction": 0.0, - "dscr_maximum_debt_fraction": 100.0, - "dscr_reserve_months": 0.0, - "en_electricity_rates": 0.0, - "enable_interconnection_limit": 0, - "equip1_reserve_cost": 0.0, - "equip1_reserve_freq": 0.0, - "equip2_reserve_cost": 0.0, - "equip2_reserve_freq": 0.0, - "equip3_reserve_cost": 0.0, - "equip3_reserve_freq": 0.0, - "equip_reserve_depr_fed": 0.0, - "equip_reserve_depr_sta": 0.0, - "federal_tax_rate": [ - 25.0 - ], - "flip_target_percent": 10.0, - "flip_target_year": 10.0, - "grid_curtailment": [100.0], - "grid_curtailment_price": [ - 0.0 - ], - "grid_curtailment_price_esc": 0.0, - "grid_interconnection_limit_kwac": 20000.0, - "hybrid_capital_cost": 0.0, - "hybrid_om_capacity_cost": 0.0, - "hybrid_om_fixed_cost": 0.0, - "ibi_fed_amount": 0.0, - "ibi_fed_amount_deprbas_fed": 0.0, - "ibi_fed_amount_deprbas_sta": 0.0, - "ibi_fed_amount_tax_fed": 1.0, - "ibi_fed_amount_tax_sta": 1.0, - "ibi_fed_percent": 0.0, - "ibi_fed_percent_deprbas_fed": 0.0, - "ibi_fed_percent_deprbas_sta": 0.0, - "ibi_fed_percent_maxvalue": 0.0, - "ibi_fed_percent_tax_fed": 1.0, - "ibi_fed_percent_tax_sta": 1.0, - "ibi_oth_amount": 0.0, - "ibi_oth_amount_deprbas_fed": 0.0, - "ibi_oth_amount_deprbas_sta": 0.0, - "ibi_oth_amount_tax_fed": 1.0, - "ibi_oth_amount_tax_sta": 1.0, - "ibi_oth_percent": 0.0, - "ibi_oth_percent_deprbas_fed": 0.0, - "ibi_oth_percent_deprbas_sta": 0.0, - "ibi_oth_percent_maxvalue": 0.0, - "ibi_oth_percent_tax_fed": 1.0, - "ibi_oth_percent_tax_sta": 1.0, - "ibi_sta_amount": 0.0, - "ibi_sta_amount_deprbas_fed": 0.0, - "ibi_sta_amount_deprbas_sta": 0.0, - "ibi_sta_amount_tax_fed": 1.0, - "ibi_sta_amount_tax_sta": 1.0, - "ibi_sta_percent": 0.0, - "ibi_sta_percent_deprbas_fed": 0.0, - "ibi_sta_percent_deprbas_sta": 0.0, - "ibi_sta_percent_maxvalue": 0.0, - "ibi_sta_percent_tax_fed": 1.0, - "ibi_sta_percent_tax_sta": 1.0, - "ibi_uti_amount": 0.0, - "ibi_uti_amount_deprbas_fed": 0.0, - "ibi_uti_amount_deprbas_sta": 0.0, - "ibi_uti_amount_tax_fed": 1.0, - "ibi_uti_amount_tax_sta": 1.0, - "ibi_uti_percent": 0.0, - "ibi_uti_percent_deprbas_fed": 0.0, - "ibi_uti_percent_deprbas_sta": 0.0, - "ibi_uti_percent_maxvalue": 0.0, - "ibi_uti_percent_tax_fed": 1.0, - "ibi_uti_percent_tax_sta": 1.0, - "inflation_rate": 2.5, - "insurance_rate": 1.0, - "is_btm": 0.0, - "itc_fed_amount": [ - 0.0 - ], - "itc_fed_amount_deprbas_fed": 1.0, - "itc_fed_amount_deprbas_sta": 1.0, - "itc_fed_percent": [ - 30.0 - ], - "itc_fed_percent_deprbas_fed": 1.0, - "itc_fed_percent_deprbas_sta": 1.0, - "itc_fed_percent_maxvalue": [ - 1.0 - ], - "itc_sta_amount": [ - 0.0 - ], - "itc_sta_amount_deprbas_fed": 0.0, - "itc_sta_amount_deprbas_sta": 0.0, - "itc_sta_percent": [ - 0.0 - ], - "itc_sta_percent_deprbas_fed": 0.0, - "itc_sta_percent_deprbas_sta": 0.0, - "itc_sta_percent_maxvalue": [ - 1.0 - ], - "loan_moratorium": 0.0, - "mera_cost1": 0.0, - "mera_cost2": 0.0, - "mera_cost3": 0.0, - "months_receivables_reserve": 0.0, - "months_working_reserve": 6.0, - "nominal_discount_rate": 8.1375, - "payment_option": 0.0, - "pbi_fed_amount": [ - 0.0 - ], - "pbi_fed_escal": 0.0, - "pbi_fed_for_ds": 0.0, - "pbi_fed_tax_fed": 1.0, - "pbi_fed_tax_sta": 1.0, - "pbi_fed_term": 0.0, - "pbi_oth_amount": [ - 0.0 - ], - "pbi_oth_escal": 0.0, - "pbi_oth_for_ds": 0.0, - "pbi_oth_tax_fed": 1.0, - "pbi_oth_tax_sta": 1.0, - "pbi_oth_term": 0.0, - "pbi_sta_amount": [ - 0.0 - ], - "pbi_sta_escal": 0.0, - "pbi_sta_for_ds": 0.0, - "pbi_sta_tax_fed": 1.0, - "pbi_sta_tax_sta": 1.0, - "pbi_sta_term": 0.0, - "pbi_uti_amount": [ - 0.0 - ], - "pbi_uti_escal": 0.0, - "pbi_uti_for_ds": 0.0, - "pbi_uti_tax_fed": 1.0, - "pbi_uti_tax_sta": 1.0, - "pbi_uti_term": 0.0, - "ppa_escalation": 1.0, - "ppa_multiplier_model": 0.0, - "ppa_price_input": [ - 0.1 - ], - "ppa_soln_mode": 1.0, - "prop_tax_assessed_decline": 0.0, - "prop_tax_cost_assessed_percent": 100.0, - "property_assessed_value": 86372.0, - "property_tax_rate": 1.0, - "ptc_fed_amount": [ - 0.0 - ], - "ptc_fed_escal": 0.0, - "ptc_fed_term": 10.0, - "ptc_sta_amount": [ - 0.0 - ], - "ptc_sta_escal": 0.0, - "ptc_sta_term": 10.0, - "rate_escalation": [ - 0.0 - ], - "real_discount_rate": 5.5, - "reserves_interest": 1.75, - "sales_tax_rate": 0.0, - "salvage_percentage": 10.0, - "salvage_value": 8637.2, - "state_tax_rate": [ - 7.0 - ], - "term_int_rate": 0.0, - "term_tenor": 0.0, - "total_installed_cost": 86372.0, - "ur_annual_min_charge": 0.0, - "ur_billing_demand_is_shown": 0.0, - "ur_billing_demand_lookback_percentages": [ - [ - 0.0 - ] - ], - "ur_billing_demand_lookback_period": 0.0, - "ur_billing_demand_minimum": 0.0, - "ur_cr_sched": [ - [ - 0.0 - ] - ], - "ur_cr_tou_mat": [ - [ - 0.0 - ] - ], - "ur_dc_billing_demand_periods": [ - [ - 0.0 - ] - ], - "ur_dc_enable": 0.0, - "ur_dc_flat_mat": [ - [ - 0.0, - 1.0, - 1e38, - 0.0 - ], - [ - 1.0, - 1.0, - 1e38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e38, - 0.0 - ], - [ - 3.0, - 1.0, - 1e38, - 0.0 - ], - [ - 4.0, - 1.0, - 1e38, - 0.0 - ], - [ - 5.0, - 1.0, - 1e38, - 0.0 - ], - [ - 6.0, - 1.0, - 1e38, - 0.0 - ], - [ - 7.0, - 1.0, - 1e38, - 0.0 - ], - [ - 8.0, - 1.0, - 1e38, - 0.0 - ], - [ - 9.0, - 1.0, - 1e38, - 0.0 - ], - [ - 10.0, - 1.0, - 1e38, - 0.0 - ], - [ - 11.0, - 1.0, - 1e38, - 0.0 - ] - ], - "ur_dc_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_dc_tou_mat": [ - [ - 1.0, - 1.0, - 1e38, - 0.0 - ], - [ - 2.0, - 1.0, - 1e38, - 0.0 - ] - ], - "ur_demand_max": 0.0, - "ur_demand_min": 0.0, - "ur_demand_reactive_power_charge": 0.0, - "ur_demand_window": 0.0, - "ur_ec_sched_weekday": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_ec_sched_weekend": [ - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ], - [ - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0 - ] - ], - "ur_ec_tou_mat": [ - [ - 1.0, - 1.0, - 9.6, - 2.0, - 0.16756, - 0.0 - ], - [ - 1.0, - 2.0, - 12.48, - 2.0, - 0.17999, - 0.0 - ], - [ - 1.0, - 3.0, - 19.2, - 2.0, - 0.34805, - 0.0 - ], - [ - 1.0, - 4.0, - 1e38, - 2.0, - 0.45718, - 0.0 - ], - [ - 2.0, - 1.0, - 9.6, - 2.0, - 0.14747, - 0.0 - ], - [ - 2.0, - 2.0, - 12.48, - 2.0, - 0.1599, - 0.0 - ], - [ - 2.0, - 3.0, - 19.2, - 2.0, - 0.3134, - 0.0 - ], - [ - 2.0, - 4.0, - 1e38, - 2.0, - 0.34283, - 0.0 - ], - [ - 3.0, - 1.0, - 10.1, - 2.0, - 0.14982, - 0.0 - ], - [ - 3.0, - 2.0, - 13.13, - 2.0, - 0.16225, - 0.0 - ], - [ - 3.0, - 3.0, - 20.2, - 2.0, - 0.29989, - 0.0 - ], - [ - 3.0, - 4.0, - 1e38, - 2.0, - 0.35231, - 0.0 - ], - [ - 4.0, - 1.0, - 10.1, - 2.0, - 0.14747, - 0.0 - ], - [ - 4.0, - 2.0, - 13.13, - 2.0, - 0.1599, - 0.0 - ], - [ - 4.0, - 3.0, - 20.2, - 2.0, - 0.29603, - 0.0 - ], - [ - 4.0, - 4.0, - 1e38, - 2.0, - 0.34241, - 0.0 - ] - ], - "ur_en_ts_buy_rate": 0.0, - "ur_en_ts_sell_rate": 0.0, - "ur_enable_billing_demand": 0.0, - "ur_energy_history": 0.0, - "ur_energy_max": 0.0, - "ur_energy_min": 0.0, - "ur_fuel_adjustments_monthly": [ - 0.0 - ], - "ur_is_default": 0.0, - "ur_metering_option": 0.0, - "ur_monthly_fixed_charge": 0.0, - "ur_monthly_min_charge": 0.0, - "ur_nm_credit_month": 0.0, - "ur_nm_credit_rollover": 0.0, - "ur_nm_yearend_sell_rate": 0.0, - "ur_ts_buy_rate": [ - 0.0 - ], - "ur_ts_sell_rate": [ - 0.0 - ], - "ur_unused_is_shown": 0.0, - "ur_voltage_max": 0.0, - "ur_voltage_min": 0.0, - "ur_yearzero_usage_peaks": [ - 0.0 - ] - } - } -} \ No newline at end of file + "input" : { + "compute_modules" : ["generic_system","pvwattsv8","windpower","fuelcell","battery","grid","utilityrate5","singleowner"], + "generic_system" : { + "conv_eff" : 34.118048447628794, + "adjust_en_timeindex" : 0, + "energy_output_array" : [ 0 ], + "system_use_lifetime_output" : 0, + "heat_rate" : 10, + "adjust_timeindex" : [ 0 ], + "spec_mode" : 0, + "system_capacity" : 100000, + "om_fuel_cost" : [ 10 ], + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_capacity_escal" : 0, + "total_installed_cost" : 1128750000, + "om_fuel_cost_escal" : 0, + "derate" : 4, + "om_capacity" : [ 40 ], + "om_production_escal" : 0, + "om_fixed_escal" : 0, + "user_capacity_factor" : 90, + "adjust_en_periods" : 0, + "om_production" : [ 0 ], + "degradation" : [ 0 ], + "adjust_constant" : 0, + "analysis_period" : 25, + "om_fixed" : [ 0 ], + "number table entries" : 24 + }, + "battery" : { + "ppa_multiplier_model" : 0, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "batt_vnom" : 3.3999999999999999, + "batt_dispatch_pvs_timestep_multiplier" : 3, + "batt_dispatch_auto_can_charge" : 1, + "om_production_escal" : 0, + "batt_calendar_choice" : 1, + "om_fixed_escal" : 0, + "batt_dispatch_pvs_soc_rest" : 50, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_loss_choice" : 0, + "batt_current_choice" : 1, + "batt_qexp" : 0.040000050000000002, + "batt_dispatch_update_frequency_hours" : 1, + "batt_look_ahead_hours" : 18, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "batt_qnom" : 2.0000002500000003, + "batt_dispatch_auto_can_clipcharge" : 0, + "batt_surface_area" : 18000.016627500001, + "batt_cycle_cost_choice" : 0, + "batt_qfull_flow" : 479616.75, + "batt_calendar_q0" : 1.02, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_minimum_soc" : 15, + "total_installed_cost" : 99152011.591586128, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_mass" : 3428574.595714286, + "batt_calendar_b" : -7280, + "batt_chem" : 1, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_dispatch_pvs_ki" : 1.8, + "dispatch_manual_system_charge_first" : 0, + "batt_replacement_schedule_percent" : [ 0 ], + "batt_vexp" : 4.0499999999999998, + "analysis_period" : 25, + "batt_pv_ac_forecast" : [ 0 ], + "batt_replacement_option" : 1, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_cp" : 1500, + "leadacid_tn" : 1, + "batt_replacement_capacity" : 0, + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "leadacid_qn_computed" : 287770.04999999999, + "batt_power_charge_max_kwac" : 62500.057734375012, + "batt_dc_ac_efficiency" : 96, + "batt_resistance" : 0.002, + "batt_room_temperature_celsius" : [ 25 ], + "batt_power_discharge_max_kwac" : 57600.053208000005, + "batt_minimum_modetime" : 10, + "om_replacement_cost_escal" : 0, + "batt_ac_dc_efficiency" : 96, + "om_batt_fixed_cost" : [ 0 ], + "inflation_rate" : 2.5, + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_life_model" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "om_capacity_escal" : 0, + "batt_dispatch_pvs_ac_lb_enable" : 0, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "add_om_num_types" : 1, + "batt_custom_dispatch" : [ 0 ], + "batt_current_charge_max" : 119904.1875, + "batt_dispatch_pvs_kp" : 1.2, + "batt_calendar_c" : 939, + "batt_computed_strings" : 213163, + "ppa_price_input" : [ 0.050000000000000003 ], + "batt_maximum_soc" : 95, + "batt_dispatch_pvs_max_ramp" : 10, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_voltage_choice" : 0, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "batt_c_rate" : 0.20000000000000001, + "batt_current_discharge_max" : 119904.1875, + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_dc_dc_efficiency" : 99, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "om_batt_replacement_cost" : [ 323 ], + "om_batt_variable_cost" : [ 0 ], + "batt_inverter_efficiency_cutoff" : 90, + "batt_vfull" : 4.0999999999999996, + "batt_computed_series" : 139, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "en_standalone_batt" : 0, + "batt_dispatch_choice" : 3, + "om_batt_nameplate" : 240000.22170000002, + "batt_computed_bank_capacity" : 240000.22170000002, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_power_charge_max_kwdc" : 60000.055425000006, + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "batt_cycle_cost" : [ 0 ], + "batt_vcut" : 2.706, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "batt_power_discharge_max_kwdc" : 60000.055425000006, + "batt_calendar_a" : 0.00266, + "batt_qfull" : 2.25, + "batt_vnom_default" : 3.6000000000000001, + "batt_losses" : [ 0 ], + "batt_ac_or_dc" : 1, + "batt_dispatch_pvs_ac_ub" : 1.05, + "batt_initial_soc" : 50, + "batt_meter_position" : 1, + "dispatch_manual_percent_gridcharge" : [ 0 ], + "batt_h_to_ambient" : 100, + "ppa_escalation" : 1, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_dispatch_pvs_ac_ub_enable" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_dispatch_pvs_short_forecast_enable" : 0, + "leadacid_q20_computed" : 479616.75, + "leadacid_q10_computed" : 446043.57750000001, + "batt_dispatch_pvs_curtail_if_violation" : 0, + "batt_dispatch_pvs_nameplate_ac" : 20000, + "number table entries" : 118 + }, + "windpower" : { + "ops_env_loss" : 1, + "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "avail_grid_loss" : 1.5, + "wind_turbine_rotor_diameter" : 100, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "adjust_constant" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "adjust_en_periods" : 0, + "om_production" : [ 0 ], + "turb_hysteresis_loss" : 0.40000000000000002, + "env_env_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "elec_parasitic_loss" : 0.10000000000000001, + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 292032100, + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "adjust_timeindex" : [ 0 ], + "wind_resource_shear" : 0.14000000000000001, + "system_capacity" : 200000, + "env_degrad_loss" : 1.8, + "wake_ext_loss" : 1.1000000000000001, + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "om_capacity" : [ 40 ], + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "env_exposure_loss" : 0, + "om_fixed_escal" : 0, + "weibull_reference_height" : 50, + "om_production_escal" : 0, + "wind_turbine_hub_ht" : 80, + "wind_resource_model_choice" : 0, + "om_fixed" : [ 0 ], + "wind_resource_distribution" : [ [ 0 ] ], + "elec_eff_loss" : 1.9099999999999999, + "ops_load_loss" : 0.98999999999999999, + "number table entries" : 50 + }, + "fuelcell" : { + "add_om_num_types" : 2, + "fuelcell_availability_schedule" : [ [ 0, 0 ] ], + "om_fuelcell_variable_cost" : [ 0 ], + "om_fuel_cost" : [ 10.369999999999999 ], + "fuelcell_replacement_option" : 0, + "dispatch_manual_units_fc_discharge" : [ 0 ], + "fuelcell_operation_options" : 1, + "fuelcell_unit_min_power" : 60, + "dispatch_manual_fuelcell_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "om_fuelcell_replacement_cost" : [ 0 ], + "fuelcell_number_of_units" : 1, + "om_fuel_cost_escal" : 0, + "fuelcell_degradation_restart" : 1, + "om_fuelcell_fixed_cost" : [ 0 ], + "fuelcell_type" : 2, + "fuelcell_replacement_percent" : 50, + "fuelcell_efficiency" : [ [ 0, 3, 50 ], [ 16.100000000000001, 21, 50 ], [ 25.5, 25.199999999999999, 50 ], [ 34.799999999999997, 31.5, 50 ], [ 44.100000000000001, 37.299999999999997, 50 ], [ 53.399999999999999, 42.600000000000001, 50 ], [ 62.700000000000003, 47.399999999999999, 49 ], [ 72, 49.899999999999999, 48 ], [ 81.400000000000006, 52, 47 ], [ 90.700000000000003, 51.799999999999997, 46 ], [ 100, 50.700000000000003, 45 ] ], + "dispatch_manual_fuelcell_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "fuelcell_dispatch_choice" : 0, + "fuelcell_dispatch" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "fuelcell_degradation" : 0.0045662100456621002, + "fuelcell_dynamic_response_down" : 500, + "total_installed_cost" : 2100000, + "om_capacity_escal" : 0, + "fuelcell_dynamic_response_up" : 500, + "om_production_escal" : 0, + "om_fixed_escal" : 0, + "dispatch_manual_fuelcelldischarge" : [ 0, 0, 0, 0, 0, 0 ], + "om_replacement_cost_escal" : 0, + "fuelcell_fuel_type" : 0, + "om_fuelcell_capacity_cost" : [ 27 ], + "fuelcell_is_started" : 0, + "fuelcell_shutdown_time" : 24, + "fuelcell_startup_time" : 24, + "fuelcell_replacement_schedule" : [ 0 ], + "dispatch_manual_percent_fc_discharge" : [ 0 ], + "fuelcell_efficiency_choice" : 0, + "fuelcell_unit_max_power" : 200, + "analysis_period" : 25, + "fuelcell_fixed_pct" : 95, + "fuelcell_fuel_available" : 10000000000, + "dispatch_manual_fuelcellcharge" : [ 0, 0, 0, 0, 0, 0 ], + "fuelcell_lhv" : 983, + "number table entries" : 43 + }, + "pvwattsv8" : { + "shading_mxh" : [ [ 0 ] ], + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "gcr" : 0.29999999999999999, + "om_land_lease_escal" : 0, + "adjust_constant" : 0, + "total_installed_cost" : 116394500, + "om_capacity_escal" : 0, + "dc_ac_ratio" : 1.3, + "adjust_en_timeindex" : 0, + "adjust_en_periods" : 0, + "om_production" : [ 0 ], + "om_land_lease" : [ 0 ], + "tilt" : 0, + "om_production_escal" : 0, + "om_fixed_escal" : 0, + "batt_simple_enable" : 0, + "analysis_period" : 25, + "module_type" : 0, + "azimuth" : 180, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "use_wf_albedo" : 1, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "shading_en_mxh" : 0, + "system_capacity" : 100000, + "shading_timestep" : [ [ 0 ] ], + "system_use_lifetime_output" : 0, + "en_snowloss" : 0, + "losses" : 14.075660688264469, + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "inv_eff" : 96, + "shading_string_option" : 0, + "array_type" : 2, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_capacity" : [ 15 ], + "land_area" : 433.51782985136145, + "shading_azal" : [ [ 0 ] ], + "bifaciality" : 0, + "shading_en_timestep" : 0, + "shading_en_diff" : 0, + "shading_en_azal" : 0, + "shading_diff" : 0, + "shading_en_string_option" : 0, + "degradation" : [ 0.5 ], + "om_fixed" : [ 0 ], + "number table entries" : 44 + }, + "hybrid" : { + "ibi_uti_percent_maxvalue" : 0, + "lib_dispatch_factor1" : 1, + "depr_itc_fed_macrs_15" : 0, + "ur_en_ts_buy_rate" : 0, + "ibi_fed_percent_tax_sta" : 1, + "itc_fed_percent" : [ 30 ], + "ibi_sta_amount_deprbas_sta" : 0, + "cbi_oth_maxvalue" : 0, + "const_per_total1" : 43008751.054279134, + "ur_is_default" : 0, + "ibi_uti_amount_deprbas_sta" : 0, + "ibi_sta_percent_maxvalue" : 0, + "ibi_fed_percent" : 0, + "ur_end_date" : "empty", + "depr_itc_fed_custom" : 0, + "pbi_oth_escal" : 0, + "ur_ec_is_shown" : 1, + "fuelcell_total_installed_cost" : 2100000, + "ur_ts_sell_rate" : [ 0 ], + "ur_rate_notes" : "", + "pbi_oth_for_ds" : 0, + "ur_billing_demand_minimum" : 0, + "depr_bonus_fed" : 0, + "depr_bonus_fed_sl_20" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "lib_dispatch_factor7" : 1, + "mera_name1" : "Replacement Reserve 1", + "cbi_uti_deprbas_sta" : 0, + "ur_demand_window" : 0, + "cp_capacity_payment_type" : 0, + "ur_voltage_max" : 0, + "pbi_uti_term" : 0, + "grid_curtailment_price" : [ 0 ], + "const_per_percent4" : 0, + "depr_bonus_fed_sl_5" : 0, + "ur_source" : "empty", + "chk_update_peaks" : 0, + "depr_itc_fed_sl_39" : 0, + "ibi_fed_percent_deprbas_fed" : 0, + "depr_alloc_none" : 0, + "depr_bonus_sta_sl_5" : 0, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ibi_oth_percent_tax_sta" : 1, + "ibi_oth_percent" : 0, + "pbi_fed_amount" : [ 0 ], + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_energy_attrs" : "", + "is_btm" : 0, + "cbi_fed_tax_fed" : 1, + "pbi_uti_tax_sta" : 1, + "ibi_oth_amount_tax_sta" : 1, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "lib_dispatch_factor4" : 1, + "depr_bonus_fed_sl_15" : 0, + "cbi_oth_deprbas_sta" : 0, + "lib_dispatch_factor5" : 1, + "depr_itc_sta_sl_15" : 0, + "cbi_uti_amount" : 0, + "equip1_reserve_freq" : 15, + "pbi_fed_tax_sta" : 1, + "const_per_name1" : "Loan 1", + "const_per_interest_total" : 26624464.938363276, + "ur_desc_is_shown" : 0, + "depr_itc_fed_sl_5" : 0, + "ur_demand_min" : 0, + "cbi_sta_maxvalue" : 0, + "ibi_oth_percent_deprbas_fed" : 0, + "const_per_percent1" : 100, + "const_per_total2" : 0, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ibi_sta_amount" : 0, + "show_construction_period" : 1, + "cp_capacity_payment_amount" : [ 0 ], + "depr_bonus_sta_sl_15" : 0, + "depr_itc_sta_macrs_5" : 0, + "const_per_interest_rate1" : 6.5, + "ibi_sta_percent_tax_fed" : 1, + "ur_unused_is_shown" : 0, + "cbi_fed_amount" : 0, + "depr_bonus_sta" : 0, + "ibi_fed_amount" : 0, + "ibi_oth_amount_deprbas_sta" : 0, + "cbi_sta_deprbas_sta" : 0, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.044999999999999998, 0 ] ], + "battery_system_capacity" : 57600.053208000005, + "ibi_uti_amount_tax_fed" : 1, + "ibi_uti_percent_tax_sta" : 1, + "ibi_sta_amount_tax_fed" : 1, + "pv_total_installed_cost" : 116394500, + "ur_utility" : "empty", + "ur_annual_min_charge" : 0, + "itc_sta_percent_deprbas_fed" : 0, + "ibi_oth_amount_tax_fed" : 1, + "depr_bonus_fed_sl_39" : 0, + "itc_fed_amount" : [ 0 ], + "ur_nm_yearend_sell_rate" : 0, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_uti_deprbas_fed" : 0, + "itc_fed_percent_deprbas_fed" : 1, + "depr_bonus_fed_macrs_5" : 1, + "equip1_reserve_cost" : 0.10000000000000001, + "depr_itc_sta_sl_5" : 0, + "ibi_sta_percent_deprbas_sta" : 0, + "itc_fed_amount_deprbas_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ui_fuel_cell_capacity" : 200, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "itc_sta_percent" : [ 0 ], + "pbi_sta_escal" : 0, + "generic_system_capacity" : 100000, + "const_per_interest3" : 0, + "rate_escalation" : [ 0 ], + "ibi_uti_percent_deprbas_fed" : 0, + "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "fuelcell_system_capacity" : 200, + "pbi_sta_tax_fed" : 1, + "ppa_escalation" : 1, + "wind_total_installed_cost" : 292032100, + "ur_monthly_fixed_charge" : 0, + "months_working_reserve" : 6, + "cp_battery_nameplate" : 0, + "ur_dc_is_shown" : 1, + "const_per_name3" : "Loan 3", + "batt_power_discharge_max_kwac" : 57600.053208000005, + "ibi_fed_percent_maxvalue" : 0, + "ui_pv_cost" : 116394500, + "const_per_interest_rate5" : 0, + "depr_itc_fed_macrs_5" : 0, + "ur_demand_history" : 0, + "prop_tax_cost_assessed_percent" : 100, + "ptc_fed_term" : 10, + "ac_nameplate" : 76923.100000000006, + "equip3_reserve_cost" : 0, + "depr_alloc_macrs_5_percent" : 100, + "inflation_rate" : 2.5, + "debt_option" : 1, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_term" : 0, + "ur_has_unused_items" : 0, + "ibi_fed_amount_tax_fed" : 1, + "mera_name2" : "Replacement Reserve 2", + "revenue_tod_is_shown" : 0, + "cbi_uti_tax_sta" : 1, + "cp_system_nameplate" : 377.12309999999997, + "ur_enable_billing_demand" : 0, + "show_reserveaccounts" : 1, + "equip3_reserve_freq" : 0, + "ibi_sta_percent_deprbas_fed" : 0, + "const_per_interest_rate3" : 0, + "const_per_interest4" : 0, + "analysis_period_warning" : "", + "ibi_sta_amount_tax_sta" : 1, + "dispatch_data_filename" : "", + "ibi_oth_percent_maxvalue" : 0, + "depr_itc_fed_sl_15" : 0, + "ptc_sta_term" : 10, + "cost_other_financing" : 0, + "show_debtconstdscr" : 1, + "ur_energy_min" : 0, + "cbi_fed_deprbas_fed" : 0, + "enable_interconnection_limit" : 0, + "const_per_principal4" : 0, + "const_per_interest2" : 0, + "loan_moratorium" : 0, + "const_per_upfront_rate3" : 0, + "const_per_principal5" : 0, + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_sta_amount_deprbas_fed" : 0, + "const_per_principal2" : 0, + "ur_billing_demand_is_shown" : 0, + "ui_generic_cost" : 1128750000, + "depr_itc_sta_sl_20" : 0, + "salvage_value" : 0, + "property_tax_rate" : 0, + "pbi_fed_escal" : 0, + "cbi_sta_deprbas_fed" : 0, + "ur_yearzero_usage_peaks" : [ 0 ], + "lib_dispatch_factor8" : 1, + "ibi_fed_amount_deprbas_fed" : 0, + "depr_alloc_sl_5_percent" : 0, + "ibi_oth_percent_tax_fed" : 1, + "const_per_months5" : 0, + "const_per_interest_rate2" : 0, + "pbi_uti_tax_fed" : 1, + "pbi_oth_term" : 0, + "const_per_principal3" : 0, + "dscr" : 1.3, + "ui_generic_capacity" : 100000, + "ur_start_date" : "empty", + "lib_dispatch_factor3" : 1, + "dscr_reserve_months" : 6, + "depr_itc_sta_macrs_15" : 0, + "ui_electricity_rate_option" : 0, + "pbi_oth_tax_sta" : 1, + "depr_bonus_sta_macrs_5" : 0, + "const_per_upfront_rate5" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "cbi_oth_amount" : 0, + "ppa_multiplier_model" : 0, + "depr_fedbas_method" : 1, + "ibi_fed_amount_deprbas_sta" : 0, + "const_per_upfront_rate4" : 0, + "const_per_percent2" : 0, + "const_per_months1" : 6, + "depr_alloc_macrs_15_percent" : 0, + "ibi_uti_percent" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ibi_fed_percent_deprbas_sta" : 0, + "ui_wind_cost" : 292032100, + "ptc_sta_amount" : [ 0 ], + "depr_itc_fed_sl_20" : 0, + "const_per_months3" : 0, + "ui_hyb_pv_capacity" : 76923.100000000006, + "ur_cr_sched" : [ [ 0 ] ], + "wind_system_capacity" : 200000, + "cbi_oth_deprbas_fed" : 0, + "salvage_percentage" : 0, + "const_per_upfront_rate1" : 1, + "depr_alloc_sl_39_percent" : 0, + "cbi_oth_tax_fed" : 1, + "om_fixed" : 0, + "pbi_uti_for_ds" : 0, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "ui_system_nameplate_standalone" : 0, + "term_int_rate" : 7, + "depr_stabas_method" : 1, + "depr_alloc_custom_percent" : 0, + "itc_sta_percent_deprbas_sta" : 0, + "cbi_fed_tax_sta" : 1, + "payment_option" : 0, + "ur_uri" : "empty", + "insurance_rate" : 0, + "cbi_sta_tax_fed" : 1, + "federal_tax_rate" : [ 21 ], + "nominal_discount_rate" : 9.0600000000000023, + "ibi_uti_percent_tax_fed" : 1, + "reserves_interest" : 1.7250000000000001, + "hybrid_capital_cost" : 0, + "lib_dispatch_factor2" : 1, + "ur_nm_credit_rollover" : 0, + "ibi_sta_percent" : 0, + "ur_fixed_attrs" : "", + "cp_capacity_credit_percent" : [ 0 ], + "pbi_fed_tax_fed" : 1, + "depr_bonus_sta_custom" : 0, + "property_assessed_value" : 1638428611.5915861, + "ppa_price_input" : [ 0.050000000000000003 ], + "ptc_sta_escal" : 0, + "ur_billing_demand_lookback_period" : 0, + "ur_name" : "", + "const_per_total3" : 0, + "system_capacity" : 377123.09999999998, + "const_per_interest5" : 0, + "dscr_limit_debt_fraction" : 0, + "solution_mode_message" : "", + "ibi_fed_percent_tax_fed" : 1, + "ur_demand_reactive_power_charge" : 0, + "ibi_fed_amount_tax_sta" : 1, + "pbi_oth_amount" : [ 0 ], + "const_per_name2" : "Loan 2", + "ur_ratedata_filename" : "", + "show_capitalcostso" : 1, + "const_per_total4" : 0, + "cbi_fed_maxvalue" : 0, + "itc_sta_amount_deprbas_sta" : 0, + "cbi_sta_amount" : 0, + "const_per_name5" : "Loan 5", + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "ui_wacc" : 6.7097400000000018, + "depr_itc_sta_custom" : 0, + "cost_debt_fee" : 2.75, + "cbi_fed_deprbas_sta" : 0, + "pbi_sta_for_ds" : 0, + "tod_library" : "Uniform Dispatch", + "const_per_percent_total" : 100, + "real_discount_rate" : 6.4000000000000004, + "generic_total_installed_cost" : 1128750000, + "ibi_uti_amount_tax_sta" : 1, + "const_per_percent3" : 0, + "ur_cr_tou_mat" : [ [ 0 ] ], + "depr_bonus_sta_sl_20" : 0, + "ppa_soln_mode" : 1, + "itc_fed_percent_deprbas_sta" : 1, + "prop_tax_assessed_decline" : 0, + "flip_target_percent" : 11, + "ur_schedule_name" : "empty", + "en_electricity_rates" : 0, + "const_per_principal1" : 1638428611.5915861, + "ur_dc_enable" : 0, + "dscr_maximum_debt_fraction" : 100, + "debt_percent" : 60, + "pbi_sta_amount" : [ 0 ], + "depr_bonus_fed_custom" : 0, + "state_tax_rate" : [ 7 ], + "ur_voltage_category" : "", + "const_per_percent5" : 0, + "mera_name3" : "Replacement Reserve 3", + "ibi_uti_amount_deprbas_fed" : 0, + "analysis_period" : 25, + "ibi_oth_amount" : 0, + "ibi_uti_amount" : 0, + "grid_curtailment_price_esc" : 0, + "lib_dispatch_factor6" : 1, + "ur_description" : "", + "ur_demand_max" : 0, + "cost_debt_closing" : 0, + "cp_capacity_payment_esc" : 0, + "term_tenor" : 18, + "ur_energy_max" : 0, + "construction_financing_cost" : 43008751.054279134, + "depr_itc_sta_sl_39" : 0, + "ur_service_type" : "", + "ur_fuel_adjustments_monthly" : [ 0 ], + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "ur_nm_credit_month" : 0, + "ur_voltage_min" : 0, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "depr_alloc_sl_20_percent" : 0, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "const_per_principal_total" : 1638428611.5915861, + "total_installed_cost" : 1638428611.5915861, + "equip2_reserve_cost" : 0, + "lib_dispatch_factor9" : 1, + "equip_reserve_depr_sta" : 0, + "pbi_oth_tax_fed" : 1, + "const_per_upfront_rate2" : 0, + "cbi_uti_tax_fed" : 1, + "const_per_interest_rate4" : 0, + "om_production" : 0, + "batt_salvage_percentage" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "ur_metering_option" : 4, + "ptc_fed_escal" : 0, + "cbi_sta_tax_sta" : 1, + "pbi_uti_escal" : 0, + "flip_target_year" : 10, + "const_per_total5" : 0, + "mera_cost3" : 0, + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "ptc_fed_amount" : [ 0 ], + "ur_energy_history" : 0, + "ibi_uti_percent_deprbas_sta" : 0, + "sales_tax_rate" : 5, + "const_per_interest1" : 26624464.938363276, + "mera_cost1" : 37712310, + "ui_battery_cost" : 99152011.591586128, + "const_per_name4" : "Loan 4", + "depr_bonus_sta_macrs_15" : 0, + "ui_fuel_cell_cost" : 2100000, + "pbi_uti_amount" : [ 0 ], + "depr_bonus_fed_macrs_15" : 0, + "cbi_uti_maxvalue" : 0, + "revenue_capacity_payments_is_shown" : 0, + "revenue_curtailment_is_shown" : 0, + "cbi_oth_tax_sta" : 1, + "itc_sta_amount" : [ 0 ], + "const_per_months4" : 0, + "ur_en_ts_sell_rate" : 0, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "const_per_months2" : 0, + "equip2_reserve_freq" : 0, + "equip_reserve_depr_fed" : 0, + "ur_phase_wiring" : "", + "pbi_sta_term" : 0, + "mera_cost2" : 0, + "pbi_fed_for_ds" : 0, + "months_receivables_reserve" : 0, + "debt_message" : "", + "ui_battery_capacity" : 57600.053208000005, + "depr_custom_schedule" : [ 0 ], + "pbi_sta_tax_sta" : 1, + "battery_total_installed_cost" : 99152011.591586128, + "depr_alloc_sl_15_percent" : 0, + "batt_salvage_value" : 0, + "om_capacity" : 0, + "depr_bonus_sta_sl_39" : 0, + "grid_interconnection_limit_kwac" : 20000, + "number table entries" : 380 + }, + "number table entries" : 7 + }, + "compute_module_0" : "hybrid", + "number_compute_modules" : 1, + "number_metrics" : 0 +} diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json index 27ece5b73..3957cb032 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json @@ -2,173 +2,48 @@ "input" : { "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","host_developer"], "pvwattsv8" : { - "inverter_num_units" : 1, - "grid_percent" : 0, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "permitting_per_watt" : 0.029999999999999999, - "permitting_total" : 16200, - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], "shading_mxh" : [ [ 0 ] ], - "bos_equip_fixed" : 0, - "om_fixed_escal" : 0, - "installed_per_capacity" : 1.7559200000000001, - "om_production" : [ 0 ], - "land_area_value" : 2.3409962811973517, - "losses" : 14.075660688264469, - "module_is_bifacial" : 0, - "land_per_acre" : 0, - "install_labor_fixed" : 0, - "land_percent" : 0, - "inverter_costunits" : 1, - "landprep_fixed" : 0, - "install_labor_perarea" : 0, - "loss_shading" : 3, - "ui_total_module_area" : 2842.1052631578946, - "shading_en_mxh" : 0, - "contingency_percent" : 4, - "ui_ac_capacity" : 0.46956521739130436, - "total_installed_cost" : 948196.80000000005, - "module_total" : 232200, - "solar_data_source" : "NSRDB", - "tilt" : 20, - "adjust_en_periods" : 0, - "grid_total" : 27000, - "sales_tax_value" : 5, - "modulearray_power" : 540, - "annual_global" : 5.7947616438356171, - "ui_total_land_area_ha" : 0.94736904603199112, - "grid_per_watt" : 0.050000000000000003, - "inverter_total" : 27000, - "dc_ac_ratio" : 1.1499999999999999, - "loss_nameplate" : 1, - "bos_equip_perwatt" : 0.34999999999999998, - "landprep_per_acre" : 0, - "module_num_units" : 1, - "loss_age" : 0, - "shading_en_diff" : 0, - "engr_total" : 162000, - "ui_land_area_per_mw" : 0, - "inflation_rate" : 2.5, - "grid_fixed" : 0, - "user_specified_weather_file" : "", - "loss_wiring" : 2, - "subtotal_direct" : 680400, - "module_power" : 540, - "inv_eff" : 96, - "install_labor_perwatt" : 0.17999999999999999, - "lat" : 33.450000000000003, - "system_use_lifetime_output" : 0, - "loss_snow" : 0, - "ui_land_area_additional" : 0, - "ac_nameplate" : 469.56521739130437, - "per_module" : 0.42999999999999999, "om_production_escal" : 0, - "shading_timestep" : [ [ 0 ] ], - "land_per_watt" : 0, - "is_advanced" : 0, - "modulearray_area" : 2842.1052631578946, + "om_fixed_escal" : 0, "batt_simple_enable" : 0, - "library_paths" : "", - "inverter_power" : 469.56521739130437, - "ui_total_module_area_ha" : 0.28421052631578947, "analysis_period" : 25, + "module_type" : 0, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "gcr" : 0.29999999999999999, + "total_installed_cost" : 948196.80000000005, + "om_capacity_escal" : 0, + "dc_ac_ratio" : 1.1499999999999999, + "adjust_en_timeindex" : 0, + "en_snowloss" : 0, + "adjust_en_periods" : 0, + "om_production" : [ 0 ], + "tilt" : 20, "azimuth" : 180, - "land_fixed" : 0, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], "system_capacity" : 540, - "module_costunits" : 0, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "shading_en_mxh" : 0, + "shading_timestep" : [ [ 0 ] ], + "system_use_lifetime_output" : 0, + "losses" : 14.075660688264469, + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "inv_eff" : 96, + "shading_string_option" : 0, "shading_en_timestep" : 0, - "contingency" : 27216, - "per_inverter" : 0.050000000000000003, - "user_specified_constant_albedo" : 0.20000000000000001, - "bos_equip_total" : 189000, - "lon" : -111.98, - "en_snowloss" : 0, - "shading" : 0, - "annual_beam" : 7.3356438356164393, - "in_location_options" : 0, + "shading_en_diff" : 0, "shading_en_azal" : 0, - "total_module_area" : 2842.1052631578946, - "pv_land_area_is_shown" : 0, - "bos_equip_perarea" : 0, - "in_location_list" : "15458 Denver W Pkwy, Golden CO 804041|phoenix,az|45.5,-120.9|Manaus,Brazil", - "library_folder_list" : "x", - "annual_twet" : "nan", - "file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "landprep_per_watt" : 0, - "sales_tax_rate" : 5, - "install_margin_fixed" : 0, - "use_wf_albedo" : 1, - "total_indirect_cost" : 205200, - "permitting_fixed" : 0, - "permitting_percent" : 0, - "gcr" : 0.29999999999999999, - "om_capacity_escal" : 0, - "install_margin_perarea" : 0, - "land_total" : 0, - "tz" : -7, - "step" : 3600, - "landprep_total" : 0, - "ui_use_acre_per_mw" : 0, - "install_margin_perwatt" : 0.25, - "pvwatts.advanced.is_shown" : 0, - "solar_data_file_name_load" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "annual_tdry" : 21.938470319634703, - "ui_total_module_area_acres" : 0.70229888435920551, - "adjust_en_timeindex" : 0, - "annual_albedo" : 0.18395068539332038, - "array_type" : 1, - "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", - "wf_nrecords" : 8760, + "shading_en_string_option" : 0, "shading_diff" : 0, - "en_user_spec_losses" : 0, - "engr_fixed" : 0, - "engr_per_watt" : 0.29999999999999999, - "loss_lid" : 1.5, - "ui_array_land_area_multiplier" : 1, - "total_land_area" : 2.3409962811973517, - "total_direct_cost" : 707616, - "loss_mismatch" : 2, - "shading_string_option" : 0, - "annual_snow" : "nan", - "adjust" : 0, - "use_specific_weather_file" : 0, - "ui_step_minutes" : 60, - "sales_tax_total" : 35380.800000000003, + "shading_azal" : [ [ 0 ] ], + "om_capacity" : [ 22 ], "bifaciality" : 0, - "landprep_percent" : 0, - "country" : "-", - "module_type" : 0, - "loss_avail" : 3, - "om_fixed" : [ 0 ], - "inverterarray_power" : 469.56521739130437, - "annual_diffuse" : 1.3484328767123288, - "engr_percent" : 0, - "elev" : 358, - "in_time_step" : 0, - "solar_data_file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "install_labor_total" : 97200, - "city" : "-", - "ui_array_land_area_acres" : 2.3409962811973517, - "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", "adjust_constant" : 0, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "station_id" : "78208", - "ui_array_land_area_ha" : 0.94736904603199112, - "loss_soiling" : 2, - "annual_wspd" : 1.7864840188646289, - "losses_user" : 14, - "om_capacity" : [ 22 ], - "shading_azal" : [ [ 0 ] ], - "shading_en_string_option" : 0, - "install_margin_total" : 135000, - "state" : "-", - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "degradation" : [ 0.5 ], - "ui_land_area_additional_units" : 0, - "in_nsrdb_options" : 0, - "loss_conn" : 0.5, - "number table entries" : 167 + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "use_wf_albedo" : 1, + "array_type" : 1, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_fixed" : [ 0 ], + "number table entries" : 41 }, "hybrid" : { "om_fixed" : 0, @@ -598,408 +473,174 @@ "number table entries" : 424 }, "windpower" : { - "bos_cost_total" : 191160, - "est_turbine_cost" : 0, - "om_fixed" : [ 0 ], - "wind_resource.location_id" : "loc_id", - "wind.turbine.tower_design" : 0, - "env_icing_loss" : 0.20999999999999999, - "sales_tax_rate" : 5, - "system_capacity" : 100, - "sales_tax_basis" : 0, - "degradation" : [ 0 ], - "wind_farm_xcoordinates" : [ 0 ], - "analysis_period" : 25, - "install_type" : 0, - "turb_generic_loss" : 1.7, - "elec_parasitic_loss" : 0.10000000000000001, - "om_fixed_escal" : 0, - "a_error_test_number" : 17.344999999999999, - "turbine_cost_total" : 273240, - "wind_resource.closest_dir_meas_ht" : 80, - "avail_loss_total" : 5.5011684999999977, "ops_env_loss" : 1, - "reference_sales_tax_percent" : 5, - "total_installed_cost" : 464400, - "wind.turbine.name_only" : "0", - "wind_turbine_rated_wind_speed" : 100, + "wind_farm_ycoordinates" : [ 0 ], "avail_grid_loss" : 1.5, - "wind_resource.year" : 1900, + "wind_turbine_rotor_diameter" : 21, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, "turb_perf_loss" : 1.1000000000000001, "adjust_periods" : [ [ 0, 0, 0 ] ], - "reference_capacity" : 100, - "ops_grid_loss" : 0.83999999999999997, - "wind_farm_ycoord_file" : [ 0 ], - "resource_definition_type" : 0, - "sales_tax_total" : 0, - "ui_step_minutes" : 60, - "windfarm.farm.row_spacing" : 8, - "turb_hysteresis_loss" : 0.40000000000000002, + "adjust_constant" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_farm_xcoordinates" : [ 0 ], + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "adjust_en_periods" : 0, "om_production" : [ 0 ], - "turb_loss_total" : 3.9544963841199987, - "wind_turbine_iec_class_from_lib" : "Unknown", - "wind_turbine_rotor_diameter_from_lib" : 21, - "wake_future_loss" : 0, - "system_use_lifetime_output" : 0, - "wind.turbine.elevation" : 0, - "windfarm.farm.number_of_rows" : 10, - "wind_resource.file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "windfarm.farm.turbine_spacing" : 8, - "weibull_k_factor" : 2, - "wind_turbine_kw_rating_from_lib" : 100, + "turb_hysteresis_loss" : 0.40000000000000002, "env_env_loss" : 0.40000000000000002, - "wind_turbine_rotor_diameter" : 21, - "windfarm.farm.layout_slider" : 0, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], + "elec_parasitic_loss" : 0.10000000000000001, + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 464400, "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], - "wind_turbine_cut_out" : 25, - "reference_number_turbines" : 1, - "wind_resource.elev" : 1829, - "wind_farm_ycoordinates" : [ 0 ], - "wind.turbine.region2nhalf_slope" : 5, - "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", - "bos_cost_per_turbine" : 0, - "wind_climate.msg_is_error" : 0, - "wind_resource_model_choice" : 0, - "adjust_constant" : 0, - "wind.turbine.radio_list_or_design" : 0, - "wind_turbine_powercurve_hub_efficiency" : [ 0 ], - "wind_turbine_kw_rating" : 100, - "windfarm.layout.file_or_controls" : 1, - "wind_resource.lon_requested" : 0, - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "ops_loss_total" : 2.8034671600000061, - "a_error_test_string" : "\"default err msg\"", - "wind_climate.msg" : "", - "wake_ext_loss" : 1.1000000000000001, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "turbine_cost_fixed" : 0, - "windfarm.farm.shape" : 0, - "windfarm.farm.offset_type" : 0, - "user_specified_wf_wind" : " ", - "om_production_escal" : 0, - "wind.turbine.blade_design" : 0, - "wind_resource.country" : "USA", "adjust_timeindex" : [ 0 ], - "wind.turbine.max_tip_speed" : 80, "wind_resource_shear" : 0.14000000000000001, + "system_capacity" : 100, + "env_degrad_loss" : 1.8, + "wake_ext_loss" : 1.1000000000000001, + "wind_resource_turbulence_coeff" : 0.10000000000000001, "om_capacity" : [ 35 ], - "total_installed_cost_per_kw" : 4644, - "wind_resource.closest_speed_meas_ht" : 80, - "wind_farm_num_turbines" : 1, - "wind_resource.lat_requested" : 0, - "wind_resource_distribution" : [ [ 0 ] ], - "cols" : 1, - "wind_resource.requested_ht" : 80, - "weibull_reference_height" : 50, - "turbine_cost_per_turbine" : 0, - "avail_turb_loss" : 3.5800000000000001, - "avail_bop_loss" : 0.5, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, "env_exposure_loss" : 0, - "weibull_wind_speed" : 7.25, - "wind.turbine.drive_train" : 0, - "rows" : 1, - "wind_turbine_max_cp" : 0.45000000000000001, - "wind_turbine_selection" : "Northern Power Northwind 100", - "wind_resource.lat" : 0, - "wind_climate.url_info" : "empty", - "windfarm.farm.layout_angle" : 0, - "wind_farm_sizing_mode" : 0, - "wind.turbine.max_tspeed_ratio" : 8, - "desired_farm_size" : 5, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource.lon" : 0, - "windfarm.farm.turbines_per_row" : 10, - "bos_cost_per_kw" : 1911.5999999999999, - "turb_specific_loss" : 0.81000000000000005, + "om_fixed_escal" : 0, + "weibull_reference_height" : 50, + "om_production_escal" : 0, "wind_turbine_hub_ht" : 80, - "env_loss_total" : 2.3981951200000018, - "use_specific_wf_wind" : 0, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], - "elec_loss_total" : 2.0080899999999957, - "specify_label" : 0, - "wake_int_loss" : 0, - "reference_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_turbine_kw_rating_input" : 1500, - "wind_turbine_powercurve_windspeeds_from_lib" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], - "adjust" : 0, - "wind_turbine_cutin" : 4, - "wind_farm_wake_model" : 0, - "wind_resource.city" : "city??", - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "turbine_cost_per_kw" : 2732.4000000000001, - "adjust_en_periods" : 0, - "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, - "inflation_rate" : 2.5, - "step" : 0, - "wind_turbine_rotor_diameter_input" : 75, - "windfarm.farm.offset" : 0, - "wind_resource.state" : "AZ", - "sizing_warning" : 0, - "est_bos_cost" : 0, - "wind.turbine.dummy" : 0, - "wake_loss" : 0, + "wind_resource_model_choice" : 0, + "om_fixed" : [ 0 ], + "wind_resource_distribution" : [ [ 0 ] ], + "elec_eff_loss" : 1.9099999999999999, "ops_load_loss" : 0.98999999999999999, - "om_capacity_escal" : 0, - "wind_turbine_powercurve_err_msg" : "", - "wind_resource.location" : "AZ Eastern-Rolling Hills", - "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], - "env_degrad_loss" : 1.8, - "wake_loss_total" : 1.100000000000001, - "wind_farm_xcoord_file" : [ 0 ], - "number table entries" : 149 + "number table entries" : 50 }, "battery" : { - "batt_load_ac_forecast_escalation" : [ 0 ], - "batt_user_specified_weather_file_peak_shaving" : "", - "batt_dispatch_wf_forecast_choice_psd" : 0, - "genericsys.cost.contingency" : 0, - "batt_ui_nominal_bank_power" : 300.05235000000005, - "en_standalone_batt" : 0, "load_escalation" : [ 0 ], "dispatch_manual_system_charge_first" : 1, - "peak_shaving_batt_dispatch_choice" : 0, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "batt_pv_ac_forecast_psd" : [ 0 ], - "battery_per_kwh" : 225.06, - "batt_gridcharge_percent_1" : 100, - "batt_computed_series" : 139, - "batt_vfull" : 4.0999999999999996, - "batt_dispatch_auto_can_charge" : 1, - "pv.storage.p2.charge" : 1, - "batt_replacement_capacity" : 0, - "pv.storage.p4.charge" : 0, - "batt_gridcharge_percent_4" : 100, - "genericsys.cost.epc.percent" : 0, - "genericsys.cost.epc.nonfixed" : 0, - "batt_qfull_flow" : 2398.5, - "batt_dispatch_load_forecast_choice_psd" : 0, - "ui_step_minutes" : 60, - "batt_room_temperature_celsius" : [ 25 ], - "batt_power_discharge_max_kwac" : 288.05025600000005, - "batt_dispatch_excl" : 0, - "batt_qnom_percent" : 88.888900000000007, - "batt_c_rate_max_charge_input" : 0.5, - "batt_resistance" : 0.002, - "batt_bank_size_ui" : 1200, - "batt_dispatch_choice" : 0, - "ui_copy_batt_discharge_percent_6" : 25, - "genericsys.cost.sales_tax.total" : 0, + "batt_calendar_q0" : 1.02, "batt_vnom" : 3.3999999999999999, + "batt_target_power_monthly" : [ 0 ], + "batt_qfull_flow" : 2398.5, + "om_batt_fixed_cost" : [ 0 ], + "inflation_rate" : 2.5, + "om_production_escal" : 0, + "batt_calendar_choice" : 1, + "batt_dispatch_auto_can_charge" : 1, + "batt_load_ac_forecast_escalation" : [ 0 ], + "batt_initial_soc" : 50, + "leadacid_q20_computed" : 2398.5, + "leadacid_q10_computed" : 2230.605, + "batt_custom_dispatch" : [ 0 ], + "batt_target_choice" : 0, + "batt_current_charge_max" : 599.625, + "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], + "batt_minimum_soc" : 30, + "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_vexp" : 4.0499999999999998, "analysis_period" : 25, - "pv.storage.p1.gridcharge" : 0, - "batt_gridcharge_percent_5" : 100, - "genericsys.cost.sales_tax.percent" : 0, - "genericsys.cost.per_watt" : 0, - "battery_total_cost_lcos" : 391808.35863000003, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "genericsys.cost.plm.percent" : 0, "batt_replacement_option" : 1, - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "batt_bank_voltage" : 500, - "batt_minimum_soc" : 30, + "batt_dispatch_choice" : 0, "batt_dispatch_auto_can_fuelcellcharge" : 0, - "batt_ui_inverter_eff" : 96, - "genericsys.cost.sales_tax.value" : 5, - "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_power_discharge_max_kwdc" : 300.05235000000005, - "batt_calendar_a" : 0.00266, "add_om_num_types" : 1, - "total_direct_cost" : 391808.35863000003, - "batt_current_charge_max" : 599.625, - "batt_volume" : 14.636700000000003, - "batt_computed_strings" : 1066, - "batt_surface_area" : 90.015705000000011, - "ui_copy_batt_discharge_percent_1" : 25, - "pv.storage.p2.discharge" : 0, - "batt_calendar_c" : 939, - "genericsys.cost.plm.fixed" : 0, - "leadacid_tn" : 1, - "pv.storage.p1.charge" : 1, - "batt_cell_power_charge_max" : 2, - "batt_discharge_percent_5" : 25, - "pv_system_capacity" : 540, - "om_batt_fixed_cost" : [ 0 ], - "batt_bank_power_dc_ac" : 0, - "batt_load_ac_forecast" : [ 0 ], + "grid_interconnection_limit_kwac" : 20000, + "batt_calendar_a" : 0.00266, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "batt_qnom" : 2.0000002500000003, "en_batt" : 1, - "batt_cell_current_charge_max" : 10, - "load_user_forecast_data_psd" : [ 0 ], - "pv.storage.p3.gridcharge" : 0, - "ppa_multiplier_model" : 0, - "pv.storage.p3.charge" : 0, - "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], - "batt_c_rate_max_discharge" : 0.25, - "genericsys.cost.epc.total" : 0, - "pv.storage.p1.discharge" : 0, - "total_indirect_cost" : 0, - "batt_custom_dispatch" : [ 0 ], - "batt_user_specified_weather_file_psd" : "", - "ppa_escalation" : 1, - "batt_discharge_percent_4" : 25, - "batt_gridcharge_percent_3" : 100, - "batt_chem" : 1, - "batt_ac_dc_efficiency" : 96, + "batt_load_ac_forecast" : [ 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "om_batt_variable_cost" : [ 0 ], + "batt_target_power" : [ 0 ], + "om_batt_replacement_cost" : [ 225.06 ], "batt_minimum_modetime" : 10, - "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], - "pv.storage.p4.dischargetogrid" : 0, + "batt_ac_dc_efficiency" : 96, "om_replacement_cost_escal" : 0, - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_load_escal_choice_peak" : 0, - "batt_calendar_q0" : 1.02, - "genericsys.cost.plm.total" : 0, - "batt_computed_voltage" : 500.40000000000003, - "ui_copy_batt_discharge_percent_4" : 25, - "pv.storage.p3.discharge" : 1, - "batt_dispatch_load_forecast_escal_psd" : [ 0 ], - "pv.storage.p5.charge" : 0, - "batt_target_power" : [ 0 ], - "sales_tax_rate" : 5, - "battery_indirect_cost_percent" : 0, - "batt_mass" : 17145.848571428574, - "genericsys.cost.contingency_percent" : 0, + "batt_chem" : 1, + "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], + "ppa_multiplier_model" : 0, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_vnom_default" : 3.6000000000000001, + "batt_qfull" : 2.25, "batt_computed_bank_capacity" : 1200.2094000000002, - "leadacid_q20_computed" : 2398.5, - "batt_pv_ac_forecast_peak" : [ 0 ], - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "om_batt_nameplate" : 1200.2094000000002, - "om_batt_replacement_cost" : [ 225.06 ], - "batt_discharge_percent_1" : 25, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], "batt_loss_choice" : 0, - "pv.storage.p6.gridcharge" : 0, - "om_production_escal" : 0, - "ui_batt_life_model" : 0, - "batt_vnom_default" : 3.6000000000000001, - "batt_replacement_schedule_percent" : [ 0 ], - "batt_target_power_monthly" : [ 0 ], - "batt_vexp" : 4.0499999999999998, - "pv.storage.p3.dischargetogrid" : 0, - "batt_life_excl" : 0, + "batt_qexp" : 0.040000050000000002, + "batt_current_choice" : 1, "batt_calendar_b" : -7280, - "battery_per_kw" : 405.56, "batt_pv_ac_forecast" : [ 0 ], - "batt_dispatch_load_escal_choice_psd" : 0, - "pv.storage.p5.discharge" : 0, - "batt_specific_energy_per_mass" : 70, - "batt_computed_stacks_series" : 0, - "batt_target_choice" : 0, - "batt_room_temperature_single" : 25, - "batt_unit_surface_area" : 30, "batt_cp" : 1500, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], "batt_dispatch_auto_can_clipcharge" : 0, + "batt_current_discharge_max" : 599.625, + "batt_dc_dc_efficiency" : 99, + "batt_life_model" : 0, + "om_capacity_escal" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "batt_dispatch_wf_forecast_choice" : 0, - "genericsys.cost.plm.nonfixed" : 0, - "battery_energy" : 1200.2094000000002, - "batt_specific_energy_per_volume" : 82, - "batt_gridcharge_percent_6" : 100, - "om_fixed_escal" : 0, "total_installed_cost" : 391808.35863000003, - "pv.storage.p5.dischargetogrid" : 0, - "grid_interconnection_limit_kwac" : 20000, - "battery_voltage_shown" : 0, - "batt_size_choice" : 0, - "om_batt_variable_cost" : [ 0 ], - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_qexp_percent" : 1.7777799999999999, - "batt_ui_cell_voltage" : 3.6000000000000001, - "batt_dispatch_discharge_only_load_exceeds_system" : 1, - "batt_voltage_choice" : 0, - "batt_c_rate" : 0.20000000000000001, - "batt_initial_soc" : 50, - "leadacid_qn_computed" : 1439.0999999999999, - "battery_losses_shown" : 0, - "batt_bank_size_dc_ac" : 0, - "batt_qexp" : 0.040000050000000002, - "batt_time_capacity" : 4, - "batt_current_choice" : 1, - "pv.storage.p2.dischargetogrid" : 0, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_pv_clipping_forecast" : [ 0 ], + "om_fixed_escal" : 0, "batt_inverter_efficiency_cutoff" : 90, - "batt_discharge_percent_6" : 25, - "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], - "pv.storage.p6.discharge" : 0, - "pv.storage.p6.dischargetogrid" : 0, - "compute_as_cube" : 0, + "leadacid_tn" : 1, + "batt_replacement_capacity" : 0, + "batt_vfull" : 4.0999999999999996, + "batt_computed_series" : 139, + "ppa_escalation" : 1, + "batt_room_temperature_celsius" : [ 25 ], + "batt_power_discharge_max_kwac" : 288.05025600000005, "batt_power_charge_max_kwac" : 312.55453125000008, + "batt_maximum_soc" : 95, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_resistance" : 0.002, "batt_dc_ac_efficiency" : 96, - "pv.storage.p2.gridcharge" : 1, - "batt_c_rate_max_charge" : 0.25, - "pv.storage.p6.charge" : 0, - "batt_type" : 5, - "batt_calendar_choice" : 1, - "battery_total" : 391808.35863000003, + "leadacid_qn_computed" : 1439.0999999999999, + "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], + "batt_power_discharge_max_kwdc" : 300.05235000000005, + "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, "batt_vcut" : 2.706, - "leadacid_q20" : 100, - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "ui_copy_batt_discharge_percent_2" : 25, - "batt_cell_power_discharge_max" : 2, - "genericsys.cost.installed_per_capacity" : 1.3602083333333332, - "batt_bank_nstrings" : 1, - "inflation_rate" : 2.5, - "batt_dc_dc_efficiency" : 99, + "batt_surface_area" : 90.015705000000011, + "batt_voltage_choice" : 0, + "batt_c_rate" : 0.20000000000000001, + "batt_cycle_cost_choice" : 0, + "batt_computed_strings" : 1066, + "batt_calendar_c" : 939, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_room_temperature_vector" : [ 0 ], - "batt_current_discharge_max" : 599.625, - "batt_maximum_soc" : 95, - "ppa_price_input" : [ 0.153 ], - "ui_copy_batt_discharge_percent_5" : 25, - "batt_bank_size" : 1200, - "batt_duration_choice" : 0, - "batt_thermal_choice" : 0, - "leadacid_qn" : 60, - "batt_discharge_percent_2" : 25, - "dispatch_manual_percent_gridcharge" : [ 100, 0 ], "batt_h_to_ambient" : 7.5, - "batt_dispatch_load_forecast_choice" : 0, - "batt_unit_capacity" : 400, - "batt_cycle_cost" : [ 0 ], - "batt_meter_position" : 0, + "dispatch_manual_percent_gridcharge" : [ 100, 0 ], + "ppa_price_input" : [ 0.153 ], + "en_wave_batt" : 0, + "batt_dispatch_discharge_only_load_exceeds_system" : 1, "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "batt_cycle_cost" : [ 0 ], + "batt_dispatch_load_forecast_choice" : 0, + "batt_dispatch_charge_only_system_exceeds_load" : 1, "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "batt_losses" : [ 0 ], - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "leadacid_q10_computed" : 2230.605, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_mass" : 17145.848571428574, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_power_charge_max_kwdc" : 300.05235000000005, + "batt_meter_position" : 0, "batt_ac_or_dc" : 1, - "battery_thermal_shown" : 0, - "batt_c_rate_max_discharge_input" : 0.5, - "batt_ui_bank_voltage" : 500.40000000000003, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "batt_qnom" : 2.0000002500000003, + "batt_losses" : [ 0 ], + "batt_dispatch_auto_can_gridcharge" : 0, + "en_standalone_batt" : 0, "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_load_forecast_choice_peak_shaving" : 0, - "leadacid_q10" : 93, - "system_capacity" : 288.05025600000005, - "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, - "en_wave_batt" : 0, - "batt_power_charge_max_kwdc" : 300.05235000000005, - "batt_num_cells" : 148174, - "pv.storage.p4.gridcharge" : 0, - "batt_cell_current_discharge_max" : 10, - "batt_dispatch_charge_only_system_exceeds_load" : 1, - "ui_copy_batt_discharge_percent_3" : 25, "om_batt_capacity_cost" : [ 6.2800000000000002 ], - "pv.storage.p1.dischargetogrid" : 0, - "batt_dispatch_load_forecast_escal_peak_shaving" : [ 0 ], - "batt_dispatch_choice_ui" : 0, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_discharge_percent_3" : 25, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], - "batt_qfull" : 2.25, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_cycle_cost_choice" : 0, - "load_user_forecast_data_peak_shaving" : [ 0 ], - "genericsys.cost.epc.fixed" : 0, - "battery_power" : 300.05235000000005, - "batt_bank_nseries_stacks" : 1, - "batt_bank_duration" : 1200, - "pv.storage.p4.discharge" : 1, - "batt_bank_size_specify" : 100, - "pv.storage.p5.gridcharge" : 0, - "batt_bank_ncells_serial" : 3, - "batt_bank_power" : 300, - "om_capacity_escal" : 0, - "batt_life_model" : 0, - "number table entries" : 249 + "number table entries" : 114 }, "number table entries" : 5 }, diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json index 9c19de80b..604d4445d 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json @@ -2,180 +2,51 @@ "input" : { "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], "pvwattsv8" : { - "bos_equip_fixed" : 0, - "grid_percent" : 0, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "ui_land_area_ha" : 175.43871222814653, - "installed_per_capacity" : 1.163945, - "om_production" : [ 0 ], - "land_area_value" : 433.51782985136145, - "losses" : 14.075660688264469, - "inverter_num_units" : 1, - "om_fixed_escal" : 0, - "permitting_per_watt" : 0, - "permitting_total" : 0, "shading_mxh" : [ [ 0 ] ], "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "land_percent" : 0, - "inverter_costunits" : 1, - "landprep_fixed" : 0, - "ui_total_module_area" : 526315.78947368416, - "shading_en_mxh" : 0, - "contingency_percent" : 3, - "ui_ac_capacity" : 76.92307692307692, + "gcr" : 0.29999999999999999, + "om_land_lease_escal" : 0, + "adjust_constant" : 0, "total_installed_cost" : 116394500, - "module_total" : 39000000, + "om_capacity_escal" : 0, + "dc_ac_ratio" : 1.3, + "adjust_en_timeindex" : 0, "adjust_en_periods" : 0, - "grid_total" : 2000000, - "sales_tax_value" : 5, - "modulearray_power" : 100000, + "om_production" : [ 0 ], "om_land_lease" : [ 0 ], - "om_land_lease_escal" : 0, - "grid_per_watt" : 0.02, - "ui_total_land_area_ha" : 175.43871222814653, - "annual_global" : 5.7947616438356171, - "module_is_bifacial" : 0, - "inverter_total" : 5000000, - "ui_land_area_multiplier" : 0, - "dc_ac_ratio" : 1.3, - "loss_nameplate" : 1, - "bos_equip_perwatt" : 0.28999999999999998, - "landprep_per_acre" : 0, - "module_num_units" : 1, - "ui_land_area_per_mw" : 0, - "user_specified_weather_file" : "", - "inflation_rate" : 2.5, - "grid_fixed" : 0, - "loss_wiring" : 2, - "subtotal_direct" : 103000000, - "module_power" : 100000, - "inv_eff" : 96, - "install_labor_perwatt" : 0.17999999999999999, - "lat" : 33.450000000000003, - "system_use_lifetime_output" : 0, - "loss_snow" : 0, - "loss_shading" : 3, - "install_labor_perarea" : 0, - "ui_land_area_additional" : 0, "tilt" : 0, - "solar_data_source" : "NSRDB", - "ac_nameplate" : 76923.076923076922, - "per_module" : 0.39000000000000001, "om_production_escal" : 0, - "shading_timestep" : [ [ 0 ] ], - "land_per_watt" : 0, - "is_advanced" : 0, - "modulearray_area" : 526315.78947368416, + "om_fixed_escal" : 0, "batt_simple_enable" : 0, - "library_paths" : "", - "inverter_power" : 76923.076923076922, - "ui_total_module_area_ha" : 52.631578947368418, - "ui_total_module_area_acres" : 130.05534895540842, - "adjust_en_timeindex" : 0, "analysis_period" : 25, - "sales_tax_rate" : 5, - "landprep_per_watt" : 0.01, + "module_type" : 0, "azimuth" : 180, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "use_wf_albedo" : 1, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "shading_en_mxh" : 0, "system_capacity" : 100000, - "land_fixed" : 0, - "module_costunits" : 0, - "shading_en_timestep" : 0, - "contingency" : 3090000, - "per_inverter" : 0.050000000000000003, - "user_specified_constant_albedo" : 0.20000000000000001, - "bos_equip_total" : 28999999.999999996, - "lon" : -111.98, + "shading_timestep" : [ [ 0 ] ], + "system_use_lifetime_output" : 0, "en_snowloss" : 0, - "shading" : 0, - "land_area" : 433.51782985136145, - "install_labor_fixed" : 0, - "land_per_acre" : 0, - "ui_land_lease" : [ 0 ], - "annual_beam" : 7.3356438356164393, - "loss_age" : 0, - "engr_total" : 2000000, - "shading_en_diff" : 0, - "in_location_options" : 0, - "shading_en_azal" : 0, - "total_module_area" : 526315.78947368416, - "pv_land_area_is_shown" : 0, - "bos_equip_perarea" : 0, - "in_location_list" : "", - "library_folder_list" : "x", - "file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "annual_twet" : "nan", - "install_margin_fixed" : 0, - "use_wf_albedo" : 1, - "total_indirect_cost" : 5000000, - "permitting_fixed" : 0, - "permitting_percent" : 0, - "gcr" : 0.29999999999999999, - "om_capacity_escal" : 0, - "install_margin_perarea" : 0, - "land_total" : 0, - "tz" : -7, - "step" : 3600, - "landprep_total" : 1000000, - "ui_use_acre_per_mw" : 0, - "install_margin_perwatt" : 0.12, - "pvwatts.advanced.is_shown" : 0, - "solar_data_file_name_load" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "annual_tdry" : 21.938470319634703, - "annual_albedo" : 0.18395068539332038, - "array_type" : 2, - "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", - "wf_nrecords" : 8760, - "shading_diff" : 0, - "en_user_spec_losses" : 0, - "engr_fixed" : 0, - "engr_per_watt" : 0.02, - "loss_lid" : 1.5, - "ui_array_land_area_multiplier" : 1, + "losses" : 14.075660688264469, + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "inv_eff" : 96, "shading_string_option" : 0, - "annual_snow" : "nan", - "total_direct_cost" : 106090000, - "total_land_area" : 433.51782985136145, - "adjust" : 0, - "use_specific_weather_file" : 0, - "ui_step_minutes" : 60, - "sales_tax_total" : 5304500, - "bifaciality" : 0, - "landprep_percent" : 0, - "country" : "-", - "module_type" : 0, - "loss_avail" : 3, - "om_fixed" : [ 0 ], - "inverterarray_power" : 76923.076923076922, - "annual_diffuse" : 1.3484328767123288, - "engr_percent" : 0, - "elev" : 358, - "in_time_step" : 0, - "solar_data_file_name" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "install_labor_total" : 18000000, - "city" : "-", - "ui_array_land_area_acres" : 433.51782985136145, - "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", - "adjust_constant" : 0, - "ui_land_area_units" : 0, + "array_type" : 2, "adjust_periods" : [ [ 0, 0, 0 ] ], - "station_id" : "78208", - "ui_array_land_area_ha" : 175.43871222814653, - "losses_user" : 14, "om_capacity" : [ 15 ], - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "loss_soiling" : 2, - "annual_wspd" : 1.7864840188646289, - "loss_mismatch" : 2, + "land_area" : 433.51782985136145, "shading_azal" : [ [ 0 ] ], + "bifaciality" : 0, + "shading_en_timestep" : 0, + "shading_en_diff" : 0, + "shading_en_azal" : 0, + "shading_diff" : 0, "shading_en_string_option" : 0, - "install_margin_total" : 12000000, - "state" : "-", - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "degradation" : [ 0.5 ], - "ui_land_area_additional_units" : 0, - "in_nsrdb_options" : 0, - "loss_conn" : 0.5, - "number table entries" : 174 + "om_fixed" : [ 0 ], + "number table entries" : 44 }, "hybrid" : { "ur_rate_notes" : "", @@ -558,411 +429,178 @@ "number table entries" : 377 }, "windpower" : { - "bos_cost_total" : 69552000, - "est_turbine_cost" : 0, - "om_fixed" : [ 0 ], - "wind_resource.location_id" : "loc_id", - "wind.turbine.tower_design" : 0, - "env_icing_loss" : 0.20999999999999999, - "sales_tax_rate" : 5, - "system_capacity" : 200000, - "sales_tax_basis" : 0, - "degradation" : [ 0 ], - "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "analysis_period" : 25, - "install_type" : 0, - "turb_generic_loss" : 1.7, - "elec_parasitic_loss" : 0.10000000000000001, - "om_fixed_escal" : 0, - "a_error_test_number" : 17.344999999999999, - "turbine_cost_total" : 222480000.00000003, - "wind_resource.closest_dir_meas_ht" : 80, - "avail_loss_total" : 5.5011684999999977, "ops_env_loss" : 1, - "reference_sales_tax_percent" : 5, - "total_installed_cost" : 292032000, - "wind.turbine.name_only" : "0", - "wind_turbine_rated_wind_speed" : 2500, + "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], "avail_grid_loss" : 1.5, - "wind_resource.year" : 1900, + "wind_turbine_rotor_diameter" : 100, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, "turb_perf_loss" : 1.1000000000000001, "adjust_periods" : [ [ 0, 0, 0 ] ], - "reference_capacity" : 200000, - "ops_grid_loss" : 0.83999999999999997, - "wind_farm_ycoord_file" : [ 0 ], - "resource_definition_type" : 0, - "sales_tax_total" : 0, - "ui_step_minutes" : 60, - "windfarm.farm.row_spacing" : 8, - "turb_hysteresis_loss" : 0.40000000000000002, + "adjust_constant" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "adjust_en_periods" : 0, "om_production" : [ 0 ], - "turb_loss_total" : 3.9544963841199987, - "wind_turbine_iec_class_from_lib" : "IIb|IIIa", - "wind_turbine_rotor_diameter_from_lib" : 100, - "wake_future_loss" : 0, - "system_use_lifetime_output" : 0, - "wind.turbine.elevation" : 0, - "windfarm.farm.number_of_rows" : 10, - "wind_resource.file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "windfarm.farm.turbine_spacing" : 8, - "weibull_k_factor" : 2, - "wind_turbine_kw_rating_from_lib" : 2500, + "turb_hysteresis_loss" : 0.40000000000000002, "env_env_loss" : 0.40000000000000002, - "wind_turbine_rotor_diameter" : 100, - "windfarm.farm.layout_slider" : 33, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "elec_parasitic_loss" : 0.10000000000000001, + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 292032000, "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_turbine_cut_out" : 25, - "reference_number_turbines" : 80, - "wind_resource.elev" : 1829, - "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wind.turbine.region2nhalf_slope" : 5, - "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", - "bos_cost_per_turbine" : 0, - "wind_climate.msg_is_error" : 0, - "wind_resource_model_choice" : 0, - "adjust_constant" : 0, - "wind.turbine.radio_list_or_design" : 0, - "wind_turbine_powercurve_hub_efficiency" : [ 0 ], - "wind_turbine_kw_rating" : 2500, - "windfarm.layout.file_or_controls" : 1, - "wind_resource.lon_requested" : 0, - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "ops_loss_total" : 2.8034671600000061, - "a_error_test_string" : "\"default err msg\"", - "wind_climate.msg" : "", - "wake_ext_loss" : 1.1000000000000001, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "turbine_cost_fixed" : 0, - "windfarm.farm.shape" : 0, - "windfarm.farm.offset_type" : 0, - "user_specified_wf_wind" : " ", - "om_production_escal" : 0, - "wind.turbine.blade_design" : 0, - "wind_resource.country" : "USA", "adjust_timeindex" : [ 0 ], - "wind.turbine.max_tip_speed" : 80, "wind_resource_shear" : 0.14000000000000001, + "system_capacity" : 200000, + "env_degrad_loss" : 1.8, + "wake_ext_loss" : 1.1000000000000001, + "wind_resource_turbulence_coeff" : 0.10000000000000001, "om_capacity" : [ 40 ], - "total_installed_cost_per_kw" : 1460.1600000000001, - "wind_resource.closest_speed_meas_ht" : 80, - "wind_farm_num_turbines" : 80, - "wind_resource.lat_requested" : 0, - "wind_resource_distribution" : [ [ 0 ] ], - "cols" : 1, - "wind_resource.requested_ht" : 80, - "weibull_reference_height" : 50, - "turbine_cost_per_turbine" : 0, - "avail_turb_loss" : 3.5800000000000001, - "avail_bop_loss" : 0.5, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, "env_exposure_loss" : 0, - "weibull_wind_speed" : 7.25, - "wind.turbine.drive_train" : 0, - "rows" : 1, - "wind_turbine_max_cp" : 0.45000000000000001, - "wind_turbine_selection" : "GE 2.5xl", - "wind_resource.lat" : 0, - "wind_climate.url_info" : "empty", - "windfarm.farm.layout_angle" : 0, - "wind_farm_sizing_mode" : 2, - "wind.turbine.max_tspeed_ratio" : 8, - "desired_farm_size" : 10, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource.lon" : 0, - "windfarm.farm.turbines_per_row" : 8, - "bos_cost_per_kw" : 347.75999999999999, - "turb_specific_loss" : 0.81000000000000005, + "om_fixed_escal" : 0, + "weibull_reference_height" : 50, + "om_production_escal" : 0, "wind_turbine_hub_ht" : 80, - "env_loss_total" : 2.3981951200000018, - "use_specific_wf_wind" : 0, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "elec_loss_total" : 2.0080899999999957, - "specify_label" : 1, - "wake_int_loss" : 0, - "reference_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_turbine_kw_rating_input" : 1500, - "wind_turbine_powercurve_windspeeds_from_lib" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "adjust" : 0, - "wind_turbine_cutin" : 4, - "wind_farm_wake_model" : 0, - "wind_resource.city" : "city??", - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "turbine_cost_per_kw" : 1112.4000000000001, - "adjust_en_periods" : 0, - "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, - "inflation_rate" : 2.5, - "step" : 0, - "wind_turbine_rotor_diameter_input" : 75, - "windfarm.farm.offset" : 4, - "wind_resource.state" : "AZ", - "sizing_warning" : 0, - "est_bos_cost" : 0, - "wind.turbine.dummy" : 0, - "wake_loss" : 0, + "wind_resource_model_choice" : 0, + "om_fixed" : [ 0 ], + "wind_resource_distribution" : [ [ 0 ] ], + "elec_eff_loss" : 1.9099999999999999, "ops_load_loss" : 0.98999999999999999, - "om_capacity_escal" : 0, - "wind_turbine_powercurve_err_msg" : "", - "wind_resource.location" : "AZ Eastern-Rolling Hills", - "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "env_degrad_loss" : 1.8, - "wake_loss_total" : 1.100000000000001, - "wind_farm_xcoord_file" : [ 0 ], - "number table entries" : 149 + "number table entries" : 50 }, "battery" : { "batt_dispatch_auto_can_charge" : 1, - "dispatch_manual_system_charge_first" : 0, - "batt_dispatch_pvs_ki" : 1.8, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "batt_ui_inverter_eff" : 96, - "genericsys.cost.contingency" : 2750402.5406820006, - "batt_ui_nominal_bank_power" : 60000.055425000006, - "en_standalone_batt" : 0, "ppa_multiplier_model" : 0, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "batt_vnom" : 3.3999999999999999, "batt_dispatch_pvs_timestep_multiplier" : 3, - "genericsys.cost.epc.nonfixed" : 9443048.7230082024, - "batt_qfull_flow" : 479616.75, - "analysis_period" : 25, - "pv.storage.p1.charge" : 1, - "om_production_escal" : 0, - "batt_dispatch_pvs_soc_rest" : 50, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_cycle_cost_choice" : 0, - "batt_c_rate" : 0.20000000000000001, "batt_dispatch_auto_can_gridcharge" : 0, - "total_indirect_cost" : 12748115.776061073, - "batt_cell_current_charge_max" : 10, - "ui_copy_batt_discharge_percent_4" : 25, - "batt_gridcharge_percent_4" : 100, - "pv.storage.p3.gridcharge" : 0, - "batt_gridcharge_percent_2" : 100, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "leadacid_q10" : 93, - "pv.storage.p6.discharge" : 0, "batt_loss_choice" : 0, - "pv.storage.p6.gridcharge" : 0, - "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "genericsys.cost.sales_tax.value" : 5, - "batt_minimum_soc" : 10, - "battery_total_cost_lcos" : 111900127.3676472, - "pv.storage.p1.gridcharge" : 0, - "batt_discharge_percent_6" : 25, - "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], - "batt_gridcharge_percent_5" : 100, - "genericsys.cost.sales_tax.percent" : 100, - "genericsys.cost.per_watt" : 0, - "batt_dispatch_pvs_wf_forecast_choice" : 0, - "batt_pv_ac_forecast_fom_auto" : [ 0 ], + "batt_current_choice" : 1, + "batt_qexp" : 0.040000050000000002, + "batt_dispatch_update_frequency_hours" : 1, + "batt_look_ahead_hours" : 18, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "batt_qnom" : 2.0000002500000003, + "batt_dispatch_auto_can_clipcharge" : 0, + "batt_surface_area" : 18000.016627500001, + "batt_cycle_cost_choice" : 0, + "total_installed_cost" : 111900127.3676472, + "batt_dispatch_wf_forecast_choice" : 0, "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "pv.storage.p3.discharge" : 0, - "batt_bank_size" : 240000, - "battery_indirect_cost_percent" : 0, - "batt_mass" : 3428574.595714286, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "hybrid_system_capacity" : 276923.09999999998, - "sales_tax_rate" : 5, + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "leadacid_qn_computed" : 287770.04999999999, + "batt_qfull_flow" : 479616.75, "batt_calendar_q0" : 1.02, - "batt_computed_voltage" : 500.40000000000003, - "genericsys.cost.plm.total" : 3305067.0530528706, - "pv.storage.p6.charge" : 0, - "total_installed_cost" : 111900127.3676472, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_minimum_soc" : 10, "om_fixed_escal" : 0, - "batt_gridcharge_percent_6" : 100, - "batt_dispatch_excl" : 3, - "batt_qnom_percent" : 88.888900000000007, - "pv.storage.p5.dischargetogrid" : 0, - "grid_interconnection_limit_kwac" : 20000, - "batt_c_rate_max_charge_input" : 0.5, - "pv.storage.p5.discharge" : 0, - "batt_dispatch_pvs_short_forecast_enable" : 0, - "ui_batt_life_model" : 0, - "genericsys.cost.contingency_percent" : 3, - "batt_computed_bank_capacity" : 240000.22170000002, - "leadacid_q20_computed" : 479616.75, - "om_batt_nameplate" : 240000.22170000002, - "om_batt_replacement_cost" : [ 323 ], - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "batt_discharge_percent_1" : 50, - "batt_type" : 5, - "batt_dispatch_pvs_nameplate" : 276923.09999999998, + "om_production_escal" : 0, "batt_calendar_choice" : 1, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_dispatch_choice_ui" : 3, - "batt_discharge_percent_5" : 25, - "ppa_escalation" : 1, - "batt_discharge_percent_4" : 25, - "batt_ac_dc_efficiency" : 96, - "batt_gridcharge_percent_3" : 100, + "batt_mass" : 3428574.595714286, + "batt_calendar_b" : -7280, "batt_chem" : 1, - "batt_dispatch_pvs_curtail_if_violation" : 0, - "batt_pvs_pv_ac_forecast" : [ 0 ], - "batt_dispatch_pvs_battery_power" : 62500.057734375012, - "pv.storage.p4.charge" : 0, - "pv.storage.p2.gridcharge" : 0, - "batt_c_rate_max_charge" : 0.25, - "batt_vnom_default" : 3.6000000000000001, + "batt_dispatch_pvs_soc_rest" : 50, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_dispatch_pvs_ki" : 1.8, + "dispatch_manual_system_charge_first" : 0, "batt_replacement_schedule_percent" : [ 0 ], "batt_vexp" : 4.0499999999999998, - "pv.storage.p3.dischargetogrid" : 0, - "batt_life_excl" : 0, - "batt_calendar_b" : -7280, - "battery_per_kw" : 236, + "analysis_period" : 25, "batt_pv_ac_forecast" : [ 0 ], - "wind_ui_step_minutes" : 60, - "batt_dispatch_update_frequency_hours" : 1, - "batt_specific_energy_per_mass" : 70, - "batt_dispatch_pvs_ac_ub_enable" : 0, - "batt_ui_bank_voltage" : 500.40000000000003, - "batt_room_temperature_single" : 25, - "batt_unit_surface_area" : 30, "batt_replacement_option" : 1, - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "batt_bank_voltage" : 500, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "batt_cp" : 1500, - "batt_dispatch_auto_can_clipcharge" : 0, - "batt_dispatch_wf_forecast_choice" : 0, - "genericsys.cost.plm.nonfixed" : 3305067.0530528706, - "battery_energy" : 240000.22170000002, - "batt_specific_energy_per_volume" : 82, + "batt_replacement_capacity" : 50, + "leadacid_tn" : 1, "batt_power_charge_max_kwac" : 62500.057734375012, "batt_dc_ac_efficiency" : 96, - "batt_bank_size_ui" : 240000, "batt_resistance" : 0.002, "batt_room_temperature_celsius" : [ 25 ], "batt_power_discharge_max_kwac" : 57600.053208000005, - "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_current_choice" : 1, - "batt_time_capacity" : 4, - "batt_user_specified_weather_file" : "", - "pv.storage.p4.dischargetogrid" : 0, "om_replacement_cost_escal" : 0, - "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_ac_dc_efficiency" : 96, "batt_minimum_modetime" : 10, + "inflation_rate" : 2.5, + "om_batt_fixed_cost" : [ 0 ], + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_life_model" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], + "om_capacity_escal" : 0, "batt_dispatch_pvs_ac_lb_enable" : 0, "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "pv_ui_step_minutes" : 60, - "battery_voltage_shown" : 0, + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "add_om_num_types" : 1, + "batt_custom_dispatch" : [ 0 ], "batt_current_charge_max" : 119904.1875, - "total_direct_cost" : 94430487.23008202, + "batt_dispatch_pvs_kp" : 1.2, + "batt_calendar_c" : 939, "batt_computed_strings" : 213163, - "batt_volume" : 2926.8319719512197, - "ui_copy_batt_discharge_percent_1" : 50, - "batt_surface_area" : 18000.016627500001, - "pv.storage.p2.discharge" : 1, - "batt_size_choice" : 0, - "om_batt_variable_cost" : [ 0 ], + "ppa_price_input" : [ 0.050000000000000003 ], + "batt_maximum_soc" : 95, "batt_dispatch_pvs_max_ramp" : 10, "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_qexp_percent" : 1.7777799999999999, - "batt_dispatch_pvs_kf" : 0.29999999999999999, - "ui_copy_batt_discharge_percent_2" : 25, "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "leadacid_q20" : 100, - "batt_ui_cell_voltage" : 3.6000000000000001, "batt_voltage_choice" : 0, - "batt_dispatch_pvs_battery_energy" : 240000.22170000002, - "batt_vnom" : 3.3999999999999999, - "ui_copy_batt_discharge_percent_6" : 25, - "pv.storage.p2.dischargetogrid" : 0, + "batt_c_rate" : 0.20000000000000001, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "batt_current_discharge_max" : 119904.1875, + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_dc_dc_efficiency" : 99, + "om_batt_replacement_cost" : [ 323 ], + "om_batt_variable_cost" : [ 0 ], "batt_inverter_efficiency_cutoff" : 90, - "batt_bank_size_dc_ac" : 0, - "batt_initial_soc" : 50, - "leadacid_qn_computed" : 287770.04999999999, - "battery_losses_shown" : 0, - "battery_per_kwh" : 323, - "batt_computed_series" : 139, "batt_vfull" : 4.0999999999999996, - "batt_gridcharge_percent_1" : 100, - "genericsys.cost.plm.percent" : 3.5, - "batt_dispatch_pvs_battery_rte" : 92.159999999999997, - "battery_total" : 91680084.689400017, - "batt_replacement_capacity" : 50, - "genericsys.cost.plm.fixed" : 0, - "leadacid_tn" : 1, - "pv.storage.p2.charge" : 0, - "batt_look_ahead_hours" : 18, + "batt_computed_series" : 139, + "ppa_escalation" : 1, + "batt_dispatch_choice" : 3, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "om_batt_nameplate" : 240000.22170000002, + "batt_computed_bank_capacity" : 240000.22170000002, + "batt_power_charge_max_kwdc" : 60000.055425000006, "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], - "batt_meter_position" : 1, "batt_cycle_cost" : [ 0 ], - "batt_num_cells" : 29629657, - "genericsys.cost.epc.total" : 9443048.7230082024, - "batt_c_rate_max_discharge" : 0.25, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "batt_dispatch_pvs_interconnection_limit" : 20000, - "pv.storage.p1.discharge" : 0, - "batt_custom_dispatch" : [ 0 ], - "batt_c_rate_max_discharge_input" : 0.5, - "battery_thermal_shown" : 0, "batt_vcut" : 2.706, - "batt_bank_nstrings" : 1, - "inflation_rate" : 2.5, - "batt_cell_power_discharge_max" : 2, - "genericsys.cost.installed_per_capacity" : 1.9427087500000004, - "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_dc_dc_efficiency" : 99, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_room_temperature_vector" : [ 0 ], - "batt_current_discharge_max" : 119904.1875, - "batt_maximum_soc" : 95, - "add_om_num_types" : 1, - "batt_calendar_a" : 0.00266, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], "batt_power_discharge_max_kwdc" : 60000.055425000006, - "pv.storage.p5.gridcharge" : 0, - "ppa_price_input" : [ 0.050000000000000003 ], - "ui_copy_batt_discharge_percent_5" : 25, - "batt_calendar_c" : 939, - "pv.storage.p4.discharge" : 0, - "batt_bank_size_specify" : 100, + "batt_calendar_a" : 0.00266, "batt_qfull" : 2.25, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_bank_nseries_stacks" : 1, - "batt_bank_duration" : 0, - "batt_dispatch_pvs_forecast_shift_periods" : 3, - "batt_dispatch_pvs_kp" : 1.2, - "batt_unit_capacity" : 400, - "om_capacity_escal" : 0, - "batt_life_model" : 0, - "leadacid_q10_computed" : 446043.57750000001, + "batt_vnom_default" : 3.6000000000000001, + "batt_losses" : [ 0 ], "batt_ac_or_dc" : 1, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], "batt_dispatch_pvs_ac_ub" : 1.05, - "batt_dispatch_pvs_wf_timestep" : 60, - "batt_losses" : [ 0 ], - "batt_pvs_user_specified_weather_file" : "", - "leadacid_qn" : 60, - "batt_thermal_choice" : 0, - "batt_duration_choice" : 0, - "system_capacity" : 57600.053208000005, - "pv.storage.p4.gridcharge" : 0, - "batt_cell_current_discharge_max" : 10, - "batt_cell_power_charge_max" : 2, - "ui_copy_batt_discharge_percent_3" : 25, - "batt_qexp" : 0.040000050000000002, - "batt_bank_power" : 60000, - "batt_discharge_percent_3" : 25, - "pv.storage.p5.charge" : 0, - "batt_computed_stacks_series" : 0, + "batt_initial_soc" : 50, + "batt_meter_position" : 1, + "en_standalone_batt" : 0, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_discharge_percent_2" : 25, "batt_h_to_ambient" : 100, - "batt_bank_power_dc_ac" : 0, - "om_batt_fixed_cost" : [ 0 ], - "battery_power" : 60000.055425000006, - "batt_dispatch_pvs_ramp_interval" : 180, - "batt_qnom" : 2.0000002500000003, - "genericsys.cost.epc.percent" : 10, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_dispatch_pvs_ac_ub_enable" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "leadacid_q20_computed" : 479616.75, + "batt_dispatch_pvs_short_forecast_enable" : 0, + "leadacid_q10_computed" : 446043.57750000001, + "batt_dispatch_pvs_curtail_if_violation" : 0, "batt_dispatch_pvs_nameplate_ac" : 20000, - "genericsys.cost.epc.fixed" : 0, - "pv.storage.p6.dischargetogrid" : 0, - "compute_as_cube" : 0, - "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "pv.storage.p1.dischargetogrid" : 0, - "batt_power_charge_max_kwdc" : 60000.055425000006, - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "pv.storage.p3.charge" : 0, - "batt_dispatch_choice" : 3, - "batt_bank_ncells_serial" : 3, - "number table entries" : 252 + "number table entries" : 118 }, "number table entries" : 5 }, diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json deleted file mode 100644 index 5facd5022..000000000 --- a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json +++ /dev/null @@ -1,475 +0,0 @@ -{ - "input" : { - "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], - "windpower" : { - "om_fixed_escal" : 0, - "turb_specific_loss" : 0.81000000000000005, - "wind_resource_distribution" : [ [ 0 ] ], - "avail_bop_loss" : 0.5, - "weibull_wind_speed" : 7.25, - "env_degrad_loss" : 1.8, - "adjust_en_timeindex" : 0, - "weibull_reference_height" : 50, - "degradation" : [ 0 ], - "avail_grid_loss" : 1.5, - "wind_turbine_rotor_diameter" : 100, - "om_production_escal" : 0, - "turb_perf_loss" : 1.1000000000000001, - "wind_turbine_max_cp" : 0.45000000000000001, - "wind_resource_model_choice" : 0, - "wind_turbine_hub_ht" : 80, - "avail_turb_loss" : 3.5800000000000001, - "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", - "adjust_constant" : 0, - "weibull_k_factor" : 2, - "wind_resource_shear" : 0.14000000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_farm_wake_model" : 0, - "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "adjust_en_periods" : 0, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "adjust_timeindex" : [ 0 ], - "om_capacity" : [ 40 ], - "system_capacity" : 200000, - "turb_hysteresis_loss" : 0.40000000000000002, - "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "ops_load_loss" : 0.98999999999999999, - "wake_future_loss" : 0, - "elec_parasitic_loss" : 0.10000000000000001, - "ops_strategies_loss" : 0, - "elec_eff_loss" : 1.9099999999999999, - "turb_generic_loss" : 1.7, - "env_env_loss" : 0.40000000000000002, - "env_exposure_loss" : 0, - "ops_grid_loss" : 0.83999999999999997, - "env_icing_loss" : 0.20999999999999999, - "ops_env_loss" : 1, - "wake_ext_loss" : 1.1000000000000001, - "wake_int_loss" : 0, - "total_installed_cost" : 292032000, - "om_capacity_escal" : 0, - "om_fixed" : [ 0 ], - "om_production" : [ 0 ], - "number table entries" : 50 - }, - "pvwattsv8" : { - "array_type" : 2, - "dc_ac_ratio" : 1.3, - "shading_timestep" : [ [ 0 ] ], - "shading_en_diff" : 0, - "om_land_lease" : [ 0 ], - "losses" : 14.075660688264469, - "shading_azal" : [ [ 0 ] ], - "shading_string_option" : 0, - "om_land_lease_escal" : 0, - "adjust_en_periods" : 0, - "inv_eff" : 96, - "analysis_period" : 25, - "solar_resource_file" : "C:/Projects/Github/NREL/source/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "om_production_escal" : 0, - "system_use_lifetime_output" : 0, - "batt_simple_enable" : 0, - "degradation" : [ 0.5 ], - "azimuth" : 180, - "gcr" : 0.29999999999999999, - "shading_mxh" : [ [ 0 ] ], - "tilt" : 0, - "module_type" : 0, - "system_capacity" : 100000, - "shading_diff" : 0, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "om_capacity" : [ 15 ], - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "total_installed_cost" : 116394500, - "shading_en_timestep" : 0, - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "adjust_en_timeindex" : 0, - "adjust_constant" : 0, - "en_snowloss" : 0, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "shading_en_azal" : 0, - "bifaciality" : 0, - "shading_en_mxh" : 0, - "om_production" : [ 0 ], - "shading_en_string_option" : 0, - "use_wf_albedo" : 1, - "om_fixed" : [ 0 ], - "om_fixed_escal" : 0, - "om_capacity_escal" : 0, - "land_area" : 433.51782985136145, - "number table entries" : 44 - }, - "battery" : { - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_losses" : [ 0 ], - "leadacid_q20_computed" : 479616, - "batt_qexp" : 2.5840000000000005, - "leadacid_q10_computed" : 446042.88, - "batt_dc_ac_efficiency" : 96, - "batt_dispatch_wf_forecast_choice" : 0, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "batt_cycle_cost_choice" : 0, - "batt_room_temperature_celsius" : [ 25 ], - "batt_resistance" : 0.001155, - "batt_dispatch_pvs_kf" : 0.29999999999999999, - "ppa_price_input" : [ 0.050000000000000003 ], - "leadacid_tn" : 1, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "leadacid_qn_computed" : 287769.59999999998, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "batt_qnom" : 3.1260000000000003, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_dispatch_pvs_kp" : 1.2, - "batt_computed_bank_capacity" : 239999.84640000004, - "batt_power_discharge_max_kwdc" : 59999.96160000001, - "add_om_num_types" : 1, - "batt_chem" : 1, - "om_replacement_cost_escal" : 0, - "batt_life_model" : 1, - "batt_c_rate" : 0.20000000000000001, - "batt_dispatch_pvs_ac_ub" : 1.05, - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_computed_series" : 139, - "om_batt_variable_cost" : [ 0 ], - "om_batt_nameplate" : 239999.84640000004, - "batt_surface_area" : 17999.988480000004, - "batt_loss_choice" : 0, - "batt_meter_position" : 1, - "batt_maximum_soc" : 95, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_vcut" : 2.7719999999999998, - "batt_vnom_default" : 3.6000000000000001, - "batt_vfull" : 4.2000000000000002, - "batt_computed_strings" : 149880, - "en_standalone_batt" : 0, - "batt_current_discharge_max" : 119904, - "batt_dc_dc_efficiency" : 99, - "batt_qfull_flow" : 479616.00000000006, - "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], - "batt_initial_soc" : 50, - "batt_inverter_efficiency_cutoff" : 90, - "batt_current_charge_max" : 119904, - "batt_qfull" : 3.2000000000000002, - "batt_current_choice" : 1, - "om_capacity_escal" : 0, - "batt_ac_or_dc" : 1, - "batt_power_charge_max_kwac" : 62499.960000000014, - "batt_ac_dc_efficiency" : 96, - "batt_power_charge_max_kwdc" : 59999.96160000001, - "batt_power_discharge_max_kwac" : 57599.963136000006, - "batt_voltage_choice" : 0, - "batt_vexp" : 3.5299999999999998, - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_vnom" : 3.3420000000000001, - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_replacement_schedule_percent" : [ 0 ], - "dispatch_manual_system_charge_first" : 0, - "batt_cp" : 1500, - "batt_mass" : 2376236.1029702974, - "batt_dispatch_pvs_ac_lb_enable" : 0, - "batt_h_to_ambient" : 100, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_calendar_a" : 0.00266, - "batt_calendar_b" : -7280, - "batt_calendar_q0" : 1.02, - "inflation_rate" : 2.5, - "om_fixed_escal" : 0, - "batt_calendar_c" : 939, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_calendar_choice" : 1, - "ppa_escalation" : 1, - "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], - "batt_replacement_capacity" : 50, - "batt_replacement_option" : 1, - "batt_cycle_cost" : [ 0 ], - "batt_pv_ac_forecast" : [ 0 ], - "batt_dispatch_update_frequency_hours" : 1, - "batt_look_ahead_hours" : 18, - "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_dispatch_pvs_ac_ub_enable" : 0, - "om_batt_fixed_cost" : [ 0 ], - "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_dispatch_pvs_curtail_if_violation" : 0, - "batt_dispatch_pvs_forecast_shift_periods" : 3, - "batt_dispatch_pvs_ki" : 1.8, - "batt_dispatch_pvs_max_ramp" : 10, - "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "batt_dispatch_pvs_nameplate_ac" : 20000, - "total_installed_cost" : 111899952.38398466, - "batt_dispatch_pvs_short_forecast_enable" : 0, - "batt_dispatch_pvs_soc_rest" : 50, - "batt_dispatch_pvs_timestep_multiplier" : 3, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_custom_dispatch" : [ 0 ], - "dispatch_manual_percent_gridcharge" : [ 0 ], - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], - "analysis_period" : 25, - "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_dispatch_auto_can_clipcharge" : 0, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_minimum_soc" : 10, - "batt_minimum_modetime" : 10, - "om_production_escal" : 0, - "grid_interconnection_limit_kwac" : 20000, - "batt_dispatch_auto_can_charge" : 1, - "batt_dispatch_choice" : 3, - "om_batt_replacement_cost" : [ 323 ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ppa_multiplier_model" : 0, - "number table entries" : 118 - }, - "hybrid" : { - "depr_bonus_fed_custom" : 0, - "flip_target_year" : 20, - "om_capacity" : 0, - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_sta_amount_deprbas_fed" : 0, - "ibi_oth_amount_tax_sta" : 1, - "cost_other_financing" : 0, - "cp_capacity_payment_type" : 0, - "inflation_rate" : 2.5, - "depr_bonus_fed" : 0, - "flip_target_percent" : 11, - "cp_capacity_payment_esc" : 0, - "term_int_rate" : 4, - "property_tax_rate" : 0, - "ptc_fed_escal" : 0, - "months_working_reserve" : 6, - "system_capacity" : 276923.07692307694, - "ibi_fed_percent_maxvalue" : 0, - "pbi_oth_tax_sta" : 1, - "grid_interconnection_limit_kwac" : 20000, - "cost_debt_fee" : 2.75, - "enable_interconnection_limit" : 0, - "equip3_reserve_freq" : 0, - "ibi_oth_percent_tax_fed" : 1, - "dscr_maximum_debt_fraction" : 100, - "ptc_sta_amount" : [ 0 ], - "itc_fed_percent_deprbas_fed" : 1, - "cbi_fed_deprbas_fed" : 0, - "debt_option" : 1, - "real_discount_rate" : 6.4000000000000004, - "itc_sta_amount" : [ 0 ], - "depr_alloc_sl_15_percent" : 0, - "rate_escalation" : [ 0 ], - "prop_tax_assessed_decline" : 0, - "pbi_oth_for_ds" : 0, - "cbi_fed_deprbas_sta" : 0, - "itc_fed_percent_deprbas_sta" : 1, - "depr_itc_sta_macrs_15" : 0, - "ur_enable_billing_demand" : 0, - "debt_percent" : 60, - "batt_salvage_percentage" : 0, - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "ibi_fed_amount_deprbas_sta" : 0, - "salvage_percentage" : 0, - "ibi_oth_amount_deprbas_sta" : 0, - "depr_alloc_macrs_5_percent" : 100, - "total_installed_cost" : 520326452.38398468, - "pbi_uti_tax_sta" : 1, - "itc_sta_amount_deprbas_sta" : 0, - "cbi_uti_deprbas_fed" : 0, - "depr_bonus_fed_macrs_15" : 0, - "om_fixed" : 0, - "om_production" : 0, - "term_tenor" : 18, - "ur_billing_demand_lookback_period" : 0, - "state_tax_rate" : [ 7 ], - "analysis_period" : 25, - "ibi_uti_amount_deprbas_fed" : 0, - "federal_tax_rate" : [ 21 ], - "equip_reserve_depr_sta" : 0, - "equip3_reserve_cost" : 0, - "dscr" : 1.3, - "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "cbi_fed_maxvalue" : 0, - "ibi_sta_percent" : 0, - "pbi_sta_term" : 0, - "ur_monthly_fixed_charge" : 0, - "insurance_rate" : 0, - "ibi_uti_percent_tax_fed" : 1, - "prop_tax_cost_assessed_percent" : 100, - "depr_itc_sta_sl_15" : 0, - "ibi_fed_amount" : 0, - "ptc_fed_amount" : [ 0 ], - "ibi_uti_percent_deprbas_sta" : 0, - "cbi_fed_tax_sta" : 1, - "pbi_fed_tax_fed" : 1, - "depr_alloc_sl_5_percent" : 0, - "cbi_sta_amount" : 0, - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "payment_option" : 0, - "pbi_sta_for_ds" : 0, - "pbi_fed_escal" : 0, - "grid_curtailment_price_esc" : 0, - "depr_custom_schedule" : [ 0 ], - "dscr_limit_debt_fraction" : 0, - "cost_debt_closing" : 0, - "ibi_oth_percent_tax_sta" : 1, - "ibi_fed_amount_deprbas_fed" : 0, - "cp_capacity_payment_amount" : [ 0 ], - "loan_moratorium" : 0, - "cbi_oth_tax_fed" : 1, - "batt_replacement_option" : 1, - "itc_fed_amount_deprbas_fed" : 1, - "ibi_sta_percent_deprbas_fed" : 0, - "pbi_fed_amount" : [ 0 ], - "ibi_oth_percent_deprbas_sta" : 0, - "depr_bonus_sta_sl_39" : 0, - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "ibi_oth_amount_tax_fed" : 1, - "ur_nm_credit_rollover" : 0, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "ibi_uti_percent_maxvalue" : 0, - "ibi_uti_percent_deprbas_fed" : 0, - "construction_financing_cost" : 13658569.375079598, - "cbi_uti_maxvalue" : 0, - "pbi_uti_escal" : 0, - "depr_fedbas_method" : 1, - "ibi_uti_amount_tax_sta" : 1, - "ptc_sta_escal" : 0, - "depr_bonus_sta_macrs_5" : 0, - "itc_sta_percent_deprbas_fed" : 0, - "pbi_oth_escal" : 0, - "months_receivables_reserve" : 0, - "cbi_sta_tax_sta" : 1, - "ibi_sta_amount" : 0, - "equip1_reserve_cost" : 0.10000000000000001, - "ibi_oth_amount" : 0, - "ibi_sta_percent_tax_fed" : 1, - "dscr_reserve_months" : 6, - "equip1_reserve_freq" : 15, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "equip2_reserve_cost" : 0, - "equip_reserve_depr_fed" : 0, - "equip2_reserve_freq" : 0, - "depr_bonus_fed_sl_39" : 0, - "reserves_interest" : 1.25, - "depr_itc_sta_custom" : 0, - "ppa_escalation" : 1, - "ppa_price_input" : [ 0.050000000000000003 ], - "ibi_oth_percent_maxvalue" : 0, - "ppa_soln_mode" : 1, - "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], - "depr_alloc_sl_20_percent" : 0, - "en_electricity_rates" : 1, - "ibi_sta_amount_deprbas_sta" : 0, - "itc_fed_amount" : [ 0 ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ibi_fed_percent_tax_fed" : 1, - "depr_bonus_sta_custom" : 0, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "pbi_sta_tax_fed" : 1, - "depr_bonus_fed_sl_15" : 0, - "pbi_sta_amount" : [ 0 ], - "ur_nm_yearend_sell_rate" : 0, - "depr_itc_sta_macrs_5" : 0, - "ppa_multiplier_model" : 0, - "cp_battery_nameplate" : 0, - "ptc_fed_term" : 10, - "cp_capacity_credit_percent" : [ 0 ], - "pbi_oth_amount" : [ 0 ], - "ibi_sta_percent_deprbas_sta" : 0, - "cp_system_nameplate" : 276.92307692307696, - "grid_curtailment_price" : [ 0 ], - "depr_bonus_fed_sl_5" : 0, - "itc_fed_amount_deprbas_sta" : 1, - "itc_fed_percent" : [ 30 ], - "ptc_sta_term" : 10, - "pbi_uti_tax_fed" : 1, - "itc_sta_amount_deprbas_fed" : 0, - "pbi_fed_for_ds" : 0, - "itc_sta_percent" : [ 0 ], - "itc_sta_percent_deprbas_sta" : 0, - "ibi_sta_amount_tax_sta" : 1, - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], - "depr_alloc_macrs_15_percent" : 0, - "cbi_uti_tax_fed" : 1, - "ibi_uti_amount_deprbas_sta" : 0, - "cbi_fed_amount" : 0, - "cbi_fed_tax_fed" : 1, - "cbi_sta_tax_fed" : 1, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], - "ibi_fed_percent_deprbas_fed" : 0, - "depr_itc_fed_sl_39" : 0, - "cbi_oth_amount" : 0, - "depr_bonus_fed_sl_20" : 0, - "cbi_oth_deprbas_fed" : 0, - "cbi_oth_deprbas_sta" : 0, - "pbi_fed_tax_sta" : 1, - "cbi_oth_maxvalue" : 0, - "cbi_oth_tax_sta" : 1, - "cbi_sta_deprbas_fed" : 0, - "cbi_sta_deprbas_sta" : 0, - "cbi_sta_maxvalue" : 0, - "ibi_fed_amount_tax_fed" : 1, - "cbi_uti_amount" : 0, - "ibi_uti_percent" : 0, - "cbi_uti_deprbas_sta" : 0, - "depr_bonus_sta_macrs_15" : 0, - "cbi_uti_tax_sta" : 1, - "ibi_fed_percent_deprbas_sta" : 0, - "ibi_fed_amount_tax_sta" : 1, - "ibi_fed_percent" : 0, - "ibi_fed_percent_tax_sta" : 1, - "ibi_sta_amount_tax_fed" : 1, - "ibi_oth_amount_deprbas_fed" : 0, - "ibi_sta_percent_tax_sta" : 1, - "ibi_oth_percent" : 0, - "ibi_uti_amount_tax_fed" : 1, - "ibi_oth_percent_deprbas_fed" : 0, - "ibi_sta_percent_maxvalue" : 0, - "ibi_uti_amount" : 0, - "ur_nm_credit_month" : 0, - "ibi_uti_percent_tax_sta" : 1, - "depr_itc_sta_sl_5" : 0, - "pbi_fed_term" : 0, - "pbi_oth_tax_fed" : 1, - "pbi_oth_term" : 0, - "depr_itc_fed_sl_15" : 0, - "depr_itc_sta_sl_20" : 0, - "pbi_sta_escal" : 0, - "pbi_sta_tax_sta" : 1, - "pbi_uti_amount" : [ 0 ], - "pbi_uti_for_ds" : 0, - "pbi_uti_term" : 0, - "depr_alloc_custom_percent" : 0, - "depr_bonus_sta_sl_20" : 0, - "depr_itc_sta_sl_39" : 0, - "depr_alloc_sl_39_percent" : 0, - "depr_bonus_fed_macrs_5" : 1, - "depr_bonus_sta" : 0, - "depr_bonus_sta_sl_15" : 0, - "depr_itc_fed_sl_5" : 0, - "depr_itc_fed_macrs_15" : 0, - "depr_bonus_sta_sl_5" : 0, - "depr_itc_fed_macrs_5" : 0, - "depr_itc_fed_custom" : 0, - "depr_itc_fed_sl_20" : 0, - "depr_stabas_method" : 1, - "ur_ts_sell_rate" : [ 0 ], - "ur_en_ts_sell_rate" : 0, - "ur_metering_option" : 4, - "ur_en_ts_buy_rate" : 0, - "ur_ts_buy_rate" : [ 0 ], - "ur_annual_min_charge" : 0, - "ur_monthly_min_charge" : 0, - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_yearzero_usage_peaks" : [ 0 ], - "ur_billing_demand_minimum" : 0, - "ur_dc_enable" : 0, - "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "number table entries" : 242 - }, - "number table entries" : 5 - }, - "compute_module_0" : "hybrid", - "number_compute_modules" : 1, - "number_metrics" : 0 -} diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 945cf42e3..3ee244279 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -206,64 +206,16 @@ TEST_F(CmodHybridTest, GenericPVWattsWindFuelCellBatteryHybrid_SingleOwner) { auto revenue = ssc_data_get_array(hybrid_outputs, "cf_total_revenue", &len); auto om_expenses = ssc_data_get_array(hybrid_outputs, "cf_operating_expenses", &len); - EXPECT_NEAR(genericannualenergy, 756864000., 1); - EXPECT_NEAR(pvannualenergy, 211907359., 1); - EXPECT_NEAR(windannualenergy, 818888286., 1); - EXPECT_NEAR(battannualenergy, 1780823936., 1); - - EXPECT_NEAR(om_expenses[1], 155867774., 1); - EXPECT_NEAR(revenue[1], 86930659., 1); - EXPECT_NEAR(ebitda[1], -68937115., 1); - EXPECT_NEAR(npv, -3230348080., 1); - } - ssc_data_free(dat); - dat = nullptr; -} - - -// Code generator testing - -TEST_F(CmodHybridTest, CodeGeneratorPVWattsv8WindBatterySingleOwner) { - - char file_path[256]; - int nfc1 = sprintf(file_path, "%s/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner.json", SSCDIR); - std::ifstream file(file_path); - std::ostringstream tmp; - tmp << file.rdbuf(); - file.close(); - ssc_data_t dat = json_to_ssc_data(tmp.str().c_str()); - tmp.str(""); - - auto table = ssc_data_get_table(dat, "input"); - auto pv_table = ssc_data_get_table(table, "pvwattsv8"); - char solar_resource_path[256]; - sprintf(solar_resource_path, "%s/test/input_cases/general_data/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", std::getenv("SSCDIR")); - ssc_data_set_string(pv_table, "solar_resource_file", solar_resource_path); - auto wind_table = ssc_data_get_table(table, "windpower"); - char wind_resource_path[256]; - sprintf(wind_resource_path, "%s/test/input_cases/general_data/AZ Eastern-Rolling Hills.srw", std::getenv("SSCDIR")); - ssc_data_set_string(wind_table, "wind_resource_filename", wind_resource_path); - - int errors = run_module(dat, "hybrid"); - - EXPECT_FALSE(errors); - if (!errors) - { - ssc_number_t pvannualenergy, windannualenergy, npv; - auto outputs = ssc_data_get_table(dat, "output"); - - auto pv_outputs = ssc_data_get_table(outputs, "pvwattsv8"); - ssc_data_get_number(pv_outputs, "annual_energy", &pvannualenergy); - EXPECT_NEAR(pvannualenergy, 211907456, 211907456 * 0.01); - - auto wind_outputs = ssc_data_get_table(outputs, "windpower"); - ssc_data_get_number(wind_outputs, "annual_energy", &windannualenergy); - EXPECT_NEAR(windannualenergy, 366975552, 366975552 * 0.01); - - auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); - ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); - EXPECT_NEAR(npv, -307199232, 307199232 * 0.001); + EXPECT_NEAR(genericannualenergy, 756864000., 1e6); + EXPECT_NEAR(pvannualenergy, 211907455., 1e6); + EXPECT_NEAR(windannualenergy, 818888286., 1e6); + EXPECT_NEAR(battannualenergy, 1783633373., 1e6); + + EXPECT_NEAR(om_expenses[1], 90570833., 1e5); + EXPECT_NEAR(revenue[1], 89461086., 1e5); + EXPECT_NEAR(ebitda[1], -1109746., 1e5); + EXPECT_NEAR(npv, -1545988651., 1e6); } ssc_data_free(dat); dat = nullptr; From f654a9f46a4a654d012c6487aeafb067283e4374 Mon Sep 17 00:00:00 2001 From: Darice Date: Mon, 4 Mar 2024 09:28:32 -0700 Subject: [PATCH 69/79] fix codegenerator for "hybrid" inputs --- ... FuelCell Battery Hybrid_Single Owner.json | 781 ++++++--------- ...ts Wind Battery Hybrid_Host Developer.json | 933 ++++++++---------- ...atts Wind Battery Hybrid_Single Owner.json | 705 ++++++------- 3 files changed, 1002 insertions(+), 1417 deletions(-) diff --git a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json index 951884bb2..f5e082ef9 100644 --- a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json @@ -29,178 +29,172 @@ "number table entries" : 24 }, "battery" : { - "ppa_multiplier_model" : 0, - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "batt_vnom" : 3.3999999999999999, "batt_dispatch_pvs_timestep_multiplier" : 3, - "batt_dispatch_auto_can_charge" : 1, "om_production_escal" : 0, "batt_calendar_choice" : 1, - "om_fixed_escal" : 0, "batt_dispatch_pvs_soc_rest" : 50, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_loss_choice" : 0, + "LeadAcid_q20_computed" : 479616.75, + "om_fixed_escal" : 0, + "batt_cycle_cost_choice" : 0, "batt_current_choice" : 1, - "batt_qexp" : 0.040000050000000002, "batt_dispatch_update_frequency_hours" : 1, "batt_look_ahead_hours" : 18, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "batt_qnom" : 2.0000002500000003, - "batt_dispatch_auto_can_clipcharge" : 0, - "batt_surface_area" : 18000.016627500001, - "batt_cycle_cost_choice" : 0, - "batt_qfull_flow" : 479616.75, + "batt_initial_SOC" : 50, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_Vnom_default" : 3.6000000000000001, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_dispatch_auto_can_charge" : 1, + "om_batt_fixed_cost" : [ 0 ], + "inflation_rate" : 2.5, + "analysis_period" : 25, + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], "batt_calendar_q0" : 1.02, - "batt_dispatch_pvs_forecast_shift_periods" : 3, - "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_minimum_soc" : 15, - "total_installed_cost" : 99152011.591586128, - "batt_dispatch_wf_forecast_choice" : 0, + "batt_Qfull_flow" : 479616.75, + "batt_replacement_capacity" : 0, + "batt_Cp" : 1500, "batt_mass" : 3428574.595714286, "batt_calendar_b" : -7280, - "batt_chem" : 1, - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "batt_dispatch_pvs_ki" : 1.8, "dispatch_manual_system_charge_first" : 0, "batt_replacement_schedule_percent" : [ 0 ], - "batt_vexp" : 4.0499999999999998, - "analysis_period" : 25, - "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_pvs_short_forecast_enable" : 0, + "batt_computed_bank_capacity" : 240000.22170000002, + "om_batt_nameplate" : 240000.22170000002, "batt_replacement_option" : 1, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_cp" : 1500, - "leadacid_tn" : 1, - "batt_replacement_capacity" : 0, - "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], - "leadacid_qn_computed" : 287770.04999999999, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_wf_forecast_choice" : 0, + "total_installed_cost" : 99152011.591586128, "batt_power_charge_max_kwac" : 62500.057734375012, "batt_dc_ac_efficiency" : 96, "batt_resistance" : 0.002, - "batt_room_temperature_celsius" : [ 25 ], - "batt_power_discharge_max_kwac" : 57600.053208000005, - "batt_minimum_modetime" : 10, + "grid_interconnection_limit_kwac" : 20000, + "LeadAcid_qn_computed" : 287770.04999999999, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "add_om_num_types" : 1, "om_replacement_cost_escal" : 0, + "batt_minimum_modetime" : 10, "batt_ac_dc_efficiency" : 96, - "om_batt_fixed_cost" : [ 0 ], - "inflation_rate" : 2.5, + "batt_power_discharge_max_kwac" : 57600.053208000005, + "batt_room_temperature_celsius" : [ 25 ], + "batt_Vcut" : 2.706, + "batt_loss_choice" : 0, "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_life_model" : 0, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "om_capacity_escal" : 0, - "batt_dispatch_pvs_ac_lb_enable" : 0, "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "grid_interconnection_limit_kwac" : 20000, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "add_om_num_types" : 1, - "batt_custom_dispatch" : [ 0 ], - "batt_current_charge_max" : 119904.1875, - "batt_dispatch_pvs_kp" : 1.2, - "batt_calendar_c" : 939, + "batt_dispatch_pvs_ac_lb_enable" : 0, "batt_computed_strings" : 213163, - "ppa_price_input" : [ 0.050000000000000003 ], - "batt_maximum_soc" : 95, - "batt_dispatch_pvs_max_ramp" : 10, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_calendar_c" : 939, + "batt_surface_area" : 18000.016627500001, + "om_batt_variable_cost" : [ 0 ], + "batt_Qfull" : 2.25, "batt_voltage_choice" : 0, "batt_dispatch_pvs_kf" : 0.29999999999999999, - "batt_c_rate" : 0.20000000000000001, - "batt_current_discharge_max" : 119904.1875, - "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_pv_ac_forecast" : [ 0 ], + "LeadAcid_tn" : 1, + "batt_meter_position" : 1, + "batt_Qexp" : 0.040000050000000002, + "batt_dispatch_choice" : 3, + "batt_inverter_efficiency_cutoff" : 90, "batt_dc_dc_efficiency" : 99, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_current_discharge_max" : 119904.1875, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_dispatch_pvs_ac_ub_enable" : 0, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_max_ramp" : 10, + "om_capacity_escal" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_life_model" : 0, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "ppa_multiplier_model" : 0, "om_batt_replacement_cost" : [ 323 ], - "om_batt_variable_cost" : [ 0 ], - "batt_inverter_efficiency_cutoff" : 90, - "batt_vfull" : 4.0999999999999996, - "batt_computed_series" : 139, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "en_standalone_batt" : 0, - "batt_dispatch_choice" : 3, - "om_batt_nameplate" : 240000.22170000002, - "batt_computed_bank_capacity" : 240000.22170000002, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_power_charge_max_kwdc" : 60000.055425000006, - "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], - "batt_cycle_cost" : [ 0 ], - "batt_vcut" : 2.706, - "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "batt_power_discharge_max_kwdc" : 60000.055425000006, + "batt_chem" : 1, "batt_calendar_a" : 0.00266, - "batt_qfull" : 2.25, - "batt_vnom_default" : 3.6000000000000001, - "batt_losses" : [ 0 ], - "batt_ac_or_dc" : 1, - "batt_dispatch_pvs_ac_ub" : 1.05, - "batt_initial_soc" : 50, - "batt_meter_position" : 1, - "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_h_to_ambient" : 100, + "batt_minimum_SOC" : 15, + "batt_C_rate" : 0.20000000000000001, + "batt_Vfull" : 4.0999999999999996, "ppa_escalation" : 1, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_dispatch_pvs_ac_ub_enable" : 0, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_dispatch_pvs_short_forecast_enable" : 0, - "leadacid_q20_computed" : 479616.75, - "leadacid_q10_computed" : 446043.57750000001, + "LeadAcid_q10_computed" : 446043.57750000001, + "batt_Vexp" : 4.0499999999999998, + "batt_ac_or_dc" : 1, + "batt_losses" : [ 0 ], + "batt_Qnom" : 2.0000002500000003, "batt_dispatch_pvs_curtail_if_violation" : 0, "batt_dispatch_pvs_nameplate_ac" : 20000, + "batt_maximum_SOC" : 95, + "batt_Vnom" : 3.3999999999999999, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "batt_power_discharge_max_kwdc" : 60000.055425000006, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_h_to_ambient" : 100, + "dispatch_manual_percent_gridcharge" : [ 0 ], + "batt_dispatch_pvs_kp" : 1.2, + "ppa_price_input" : [ 0.050000000000000003 ], + "batt_computed_series" : 139, + "batt_cycle_cost" : [ 0 ], + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "batt_dispatch_pvs_ac_ub" : 1.05, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_power_charge_max_kwdc" : 60000.055425000006, + "en_standalone_batt" : 0, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_current_charge_max" : 119904.1875, + "batt_custom_dispatch" : [ 0 ], "number table entries" : 118 }, - "windpower" : { - "ops_env_loss" : 1, - "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "avail_grid_loss" : 1.5, - "wind_turbine_rotor_diameter" : 100, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], + "pvwattsv8" : { + "shading_mxh" : [ [ 0 ] ], + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "gcr" : 0.29999999999999999, + "om_land_lease_escal" : 0, "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "adjust_en_periods" : 0, - "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "elec_parasitic_loss" : 0.10000000000000001, + "total_installed_cost" : 116394500, "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 292032100, - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "adjust_timeindex" : [ 0 ], - "wind_resource_shear" : 0.14000000000000001, - "system_capacity" : 200000, - "env_degrad_loss" : 1.8, - "wake_ext_loss" : 1.1000000000000001, - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "om_capacity" : [ 40 ], - "ops_strategies_loss" : 0, + "dc_ac_ratio" : 1.3, "adjust_en_timeindex" : 0, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, + "adjust_en_periods" : 0, + "om_production" : [ 0 ], + "om_land_lease" : [ 0 ], + "tilt" : 0, "om_production_escal" : 0, - "wind_turbine_hub_ht" : 80, - "wind_resource_model_choice" : 0, + "om_fixed_escal" : 0, + "batt_simple_enable" : 0, + "analysis_period" : 25, + "module_type" : 0, + "azimuth" : 180, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "use_wf_albedo" : 1, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "shading_en_mxh" : 0, + "system_capacity" : 100000, + "shading_timestep" : [ [ 0 ] ], + "system_use_lifetime_output" : 0, + "en_snowloss" : 0, + "losses" : 14.075660688264469, + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "inv_eff" : 96, + "shading_string_option" : 0, + "array_type" : 2, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_capacity" : [ 15 ], + "land_area" : 433.51782985136145, + "shading_azal" : [ [ 0 ] ], + "bifaciality" : 0, + "shading_en_timestep" : 0, + "shading_en_diff" : 0, + "shading_en_azal" : 0, + "shading_diff" : 0, + "shading_en_string_option" : 0, + "degradation" : [ 0.5 ], "om_fixed" : [ 0 ], - "wind_resource_distribution" : [ [ 0 ] ], - "elec_eff_loss" : 1.9099999999999999, - "ops_load_loss" : 0.98999999999999999, - "number table entries" : 50 + "number table entries" : 44 }, "fuelcell" : { "add_om_num_types" : 2, @@ -248,435 +242,302 @@ "fuelcell_lhv" : 983, "number table entries" : 43 }, - "pvwattsv8" : { - "shading_mxh" : [ [ 0 ] ], - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "gcr" : 0.29999999999999999, - "om_land_lease_escal" : 0, + "windpower" : { + "ops_env_loss" : 1, + "avail_grid_loss" : 1.5, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "wind_turbine_rotor_diameter" : 100, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, "adjust_constant" : 0, - "total_installed_cost" : 116394500, - "om_capacity_escal" : 0, - "dc_ac_ratio" : 1.3, - "adjust_en_timeindex" : 0, - "adjust_en_periods" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], "om_production" : [ 0 ], - "om_land_lease" : [ 0 ], - "tilt" : 0, - "om_production_escal" : 0, + "turb_hysteresis_loss" : 0.40000000000000002, + "env_env_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "elec_parasitic_loss" : 0.10000000000000001, + "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 292032100, + "env_exposure_loss" : 0, "om_fixed_escal" : 0, - "batt_simple_enable" : 0, - "analysis_period" : 25, - "module_type" : 0, - "azimuth" : 180, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "use_wf_albedo" : 1, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "shading_en_mxh" : 0, - "system_capacity" : 100000, - "shading_timestep" : [ [ 0 ] ], - "system_use_lifetime_output" : 0, - "en_snowloss" : 0, - "losses" : 14.075660688264469, - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "inv_eff" : 96, - "shading_string_option" : 0, - "array_type" : 2, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_capacity" : [ 15 ], - "land_area" : 433.51782985136145, - "shading_azal" : [ [ 0 ] ], - "bifaciality" : 0, - "shading_en_timestep" : 0, - "shading_en_diff" : 0, - "shading_en_azal" : 0, - "shading_diff" : 0, - "shading_en_string_option" : 0, - "degradation" : [ 0.5 ], + "weibull_reference_height" : 50, + "om_production_escal" : 0, + "system_capacity" : 200000, + "env_degrad_loss" : 1.8, + "wind_resource_shear" : 0.14000000000000001, + "adjust_timeindex" : [ 0 ], + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_resource_model_choice" : 0, + "elec_eff_loss" : 1.9099999999999999, + "wind_resource_distribution" : [ [ 0 ] ], "om_fixed" : [ 0 ], - "number table entries" : 44 + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wake_ext_loss" : 1.1000000000000001, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_load_loss" : 0.98999999999999999, + "adjust_en_periods" : 0, + "om_capacity" : [ 40 ], + "wind_turbine_hub_ht" : 80, + "number table entries" : 50 }, - "hybrid" : { - "ibi_uti_percent_maxvalue" : 0, - "lib_dispatch_factor1" : 1, - "depr_itc_fed_macrs_15" : 0, - "ur_en_ts_buy_rate" : 0, - "ibi_fed_percent_tax_sta" : 1, - "itc_fed_percent" : [ 30 ], - "ibi_sta_amount_deprbas_sta" : 0, - "cbi_oth_maxvalue" : 0, - "const_per_total1" : 43008751.054279134, - "ur_is_default" : 0, - "ibi_uti_amount_deprbas_sta" : 0, - "ibi_sta_percent_maxvalue" : 0, - "ibi_fed_percent" : 0, - "ur_end_date" : "empty", - "depr_itc_fed_custom" : 0, + "grid" : { "pbi_oth_escal" : 0, - "ur_ec_is_shown" : 1, - "fuelcell_total_installed_cost" : 2100000, + "depr_itc_fed_custom" : 0, + "ibi_sta_amount_deprbas_sta" : 0, "ur_ts_sell_rate" : [ 0 ], - "ur_rate_notes" : "", - "pbi_oth_for_ds" : 0, - "ur_billing_demand_minimum" : 0, - "depr_bonus_fed" : 0, - "depr_bonus_fed_sl_20" : 0, - "ibi_oth_percent_deprbas_sta" : 0, - "lib_dispatch_factor7" : 1, - "mera_name1" : "Replacement Reserve 1", - "cbi_uti_deprbas_sta" : 0, - "ur_demand_window" : 0, - "cp_capacity_payment_type" : 0, - "ur_voltage_max" : 0, - "pbi_uti_term" : 0, - "grid_curtailment_price" : [ 0 ], - "const_per_percent4" : 0, - "depr_bonus_fed_sl_5" : 0, - "ur_source" : "empty", - "chk_update_peaks" : 0, "depr_itc_fed_sl_39" : 0, + "depr_bonus_fed_sl_15" : 0, + "depr_alloc_sl_20_percent" : 0, + "ibi_sta_amount_tax_fed" : 1, + "cp_capacity_payment_type" : 0, + "pbi_oth_for_ds" : 0, + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_amount_deprbas_sta" : 0, + "ibi_oth_percent_deprbas_fed" : 0, + "ibi_sta_amount" : 0, "ibi_fed_percent_deprbas_fed" : 0, - "depr_alloc_none" : 0, + "ur_billing_demand_minimum" : 0, + "ibi_uti_percent_maxvalue" : 0, + "depr_itc_fed_macrs_15" : 0, + "ibi_fed_percent" : 0, "depr_bonus_sta_sl_5" : 0, - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "ibi_oth_percent_tax_sta" : 1, - "ibi_oth_percent" : 0, - "pbi_fed_amount" : [ 0 ], + "depr_bonus_fed" : 0, "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_energy_attrs" : "", - "is_btm" : 0, - "cbi_fed_tax_fed" : 1, - "pbi_uti_tax_sta" : 1, - "ibi_oth_amount_tax_sta" : 1, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "lib_dispatch_factor4" : 1, - "depr_bonus_fed_sl_15" : 0, + "ibi_sta_percent_tax_fed" : 1, + "pbi_uti_term" : 0, "cbi_oth_deprbas_sta" : 0, - "lib_dispatch_factor5" : 1, + "depr_bonus_sta" : 0, + "cbi_fed_amount" : 0, "depr_itc_sta_sl_15" : 0, "cbi_uti_amount" : 0, - "equip1_reserve_freq" : 15, + "pbi_fed_amount" : [ 0 ], + "ibi_oth_percent" : 0, + "ibi_uti_amount_tax_fed" : 1, + "cbi_oth_maxvalue" : 0, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_fed_amount_deprbas_fed" : 0, + "depr_alloc_sl_5_percent" : 0, + "itc_fed_percent" : [ 30 ], + "cbi_sta_amount" : 0, + "depr_alloc_macrs_5_percent" : 100, "pbi_fed_tax_sta" : 1, - "const_per_name1" : "Loan 1", - "const_per_interest_total" : 26624464.938363276, - "ur_desc_is_shown" : 0, - "depr_itc_fed_sl_5" : 0, - "ur_demand_min" : 0, "cbi_sta_maxvalue" : 0, - "ibi_oth_percent_deprbas_fed" : 0, - "const_per_percent1" : 100, - "const_per_total2" : 0, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "ibi_sta_amount" : 0, - "show_construction_period" : 1, - "cp_capacity_payment_amount" : [ 0 ], - "depr_bonus_sta_sl_15" : 0, - "depr_itc_sta_macrs_5" : 0, - "const_per_interest_rate1" : 6.5, - "ibi_sta_percent_tax_fed" : 1, - "ur_unused_is_shown" : 0, - "cbi_fed_amount" : 0, - "depr_bonus_sta" : 0, - "ibi_fed_amount" : 0, - "ibi_oth_amount_deprbas_sta" : 0, - "cbi_sta_deprbas_sta" : 0, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.044999999999999998, 0 ] ], - "battery_system_capacity" : 57600.053208000005, - "ibi_uti_amount_tax_fed" : 1, - "ibi_uti_percent_tax_sta" : 1, - "ibi_sta_amount_tax_fed" : 1, - "pv_total_installed_cost" : 116394500, - "ur_utility" : "empty", - "ur_annual_min_charge" : 0, + "depr_itc_fed_sl_5" : 0, + "ur_enable_billing_demand" : 0, + "ppa_multiplier_model" : 0, "itc_sta_percent_deprbas_fed" : 0, - "ibi_oth_amount_tax_fed" : 1, + "cost_debt_fee" : 2.75, "depr_bonus_fed_sl_39" : 0, "itc_fed_amount" : [ 0 ], "ur_nm_yearend_sell_rate" : 0, "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], "cbi_uti_deprbas_fed" : 0, - "itc_fed_percent_deprbas_fed" : 1, - "depr_bonus_fed_macrs_5" : 1, - "equip1_reserve_cost" : 0.10000000000000001, - "depr_itc_sta_sl_5" : 0, "ibi_sta_percent_deprbas_sta" : 0, + "depr_itc_sta_sl_5" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "cbi_uti_deprbas_sta" : 0, + "ibi_oth_percent_tax_sta" : 1, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], "itc_fed_amount_deprbas_fed" : 1, "ibi_oth_amount_deprbas_fed" : 0, - "ui_fuel_cell_capacity" : 200, "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "itc_sta_percent" : [ 0 ], - "pbi_sta_escal" : 0, - "generic_system_capacity" : 100000, - "const_per_interest3" : 0, + "depr_bonus_fed_macrs_5" : 1, + "itc_fed_percent_deprbas_fed" : 1, + "ibi_oth_amount_deprbas_sta" : 0, + "ibi_fed_amount" : 0, + "ibi_uti_percent_tax_sta" : 1, + "cbi_sta_deprbas_sta" : 0, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.044999999999999998, 0 ] ], + "equip1_reserve_freq" : 15, "rate_escalation" : [ 0 ], - "ibi_uti_percent_deprbas_fed" : 0, - "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "fuelcell_system_capacity" : 200, - "pbi_sta_tax_fed" : 1, - "ppa_escalation" : 1, - "wind_total_installed_cost" : 292032100, - "ur_monthly_fixed_charge" : 0, - "months_working_reserve" : 6, - "cp_battery_nameplate" : 0, - "ur_dc_is_shown" : 1, - "const_per_name3" : "Loan 3", - "batt_power_discharge_max_kwac" : 57600.053208000005, - "ibi_fed_percent_maxvalue" : 0, - "ui_pv_cost" : 116394500, - "const_per_interest_rate5" : 0, - "depr_itc_fed_macrs_5" : 0, - "ur_demand_history" : 0, + "pbi_uti_tax_sta" : 1, + "ibi_oth_amount_tax_sta" : 1, + "depr_bonus_fed_sl_5" : 0, "prop_tax_cost_assessed_percent" : 100, "ptc_fed_term" : 10, - "ac_nameplate" : 76923.100000000006, + "ur_annual_min_charge" : 0, "equip3_reserve_cost" : 0, - "depr_alloc_macrs_5_percent" : 100, "inflation_rate" : 2.5, "debt_option" : 1, - "itc_sta_amount_deprbas_fed" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_fed_sl_20" : 0, + "dscr_limit_debt_fraction" : 0, + "cp_battery_nameplate" : 0, + "months_working_reserve" : 6, + "ppa_escalation" : 1, + "pbi_sta_tax_fed" : 1, + "ur_monthly_fixed_charge" : 0, "pbi_fed_term" : 0, - "ur_has_unused_items" : 0, - "ibi_fed_amount_tax_fed" : 1, - "mera_name2" : "Replacement Reserve 2", - "revenue_tod_is_shown" : 0, - "cbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_fed" : 0, "cp_system_nameplate" : 377.12309999999997, - "ur_enable_billing_demand" : 0, - "show_reserveaccounts" : 1, - "equip3_reserve_freq" : 0, + "cbi_uti_tax_sta" : 1, "ibi_sta_percent_deprbas_fed" : 0, - "const_per_interest_rate3" : 0, - "const_per_interest4" : 0, - "analysis_period_warning" : "", - "ibi_sta_amount_tax_sta" : 1, - "dispatch_data_filename" : "", - "ibi_oth_percent_maxvalue" : 0, "depr_itc_fed_sl_15" : 0, "ptc_sta_term" : 10, "cost_other_financing" : 0, - "show_debtconstdscr" : 1, - "ur_energy_min" : 0, "cbi_fed_deprbas_fed" : 0, "enable_interconnection_limit" : 0, - "const_per_principal4" : 0, - "const_per_interest2" : 0, - "loan_moratorium" : 0, - "const_per_upfront_rate3" : 0, - "const_per_principal5" : 0, - "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_sta_amount_deprbas_fed" : 0, - "const_per_principal2" : 0, - "ur_billing_demand_is_shown" : 0, - "ui_generic_cost" : 1128750000, - "depr_itc_sta_sl_20" : 0, - "salvage_value" : 0, "property_tax_rate" : 0, + "depr_itc_sta_sl_20" : 0, "pbi_fed_escal" : 0, + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_sta_amount_deprbas_fed" : 0, + "loan_moratorium" : 0, "cbi_sta_deprbas_fed" : 0, "ur_yearzero_usage_peaks" : [ 0 ], - "lib_dispatch_factor8" : 1, - "ibi_fed_amount_deprbas_fed" : 0, - "depr_alloc_sl_5_percent" : 0, + "pbi_oth_tax_fed" : 1, + "equip_reserve_depr_sta" : 0, + "ibi_sta_percent_tax_sta" : 1, "ibi_oth_percent_tax_fed" : 1, - "const_per_months5" : 0, - "const_per_interest_rate2" : 0, + "ur_billing_demand_lookback_period" : 0, "pbi_uti_tax_fed" : 1, "pbi_oth_term" : 0, - "const_per_principal3" : 0, "dscr" : 1.3, - "ui_generic_capacity" : 100000, - "ur_start_date" : "empty", - "lib_dispatch_factor3" : 1, - "dscr_reserve_months" : 6, "depr_itc_sta_macrs_15" : 0, - "ui_electricity_rate_option" : 0, + "dscr_reserve_months" : 6, + "ibi_fed_amount_tax_fed" : 1, + "ibi_oth_amount" : 0, "pbi_oth_tax_sta" : 1, "depr_bonus_sta_macrs_5" : 0, - "const_per_upfront_rate5" : 0, - "ibi_sta_percent_tax_sta" : 1, "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "cbi_oth_amount" : 0, - "ppa_multiplier_model" : 0, - "depr_fedbas_method" : 1, "ibi_fed_amount_deprbas_sta" : 0, - "const_per_upfront_rate4" : 0, - "const_per_percent2" : 0, - "const_per_months1" : 6, + "depr_fedbas_method" : 1, + "equip3_reserve_freq" : 0, "depr_alloc_macrs_15_percent" : 0, "ibi_uti_percent" : 0, - "ur_ts_buy_rate" : [ 0 ], + "depr_itc_fed_macrs_5" : 0, + "ibi_oth_amount_tax_fed" : 1, "ibi_fed_percent_deprbas_sta" : 0, - "ui_wind_cost" : 292032100, + "ur_ts_buy_rate" : [ 0 ], + "ibi_uti_amount" : 0, + "grid_curtailment_price_esc" : 0, + "ibi_fed_amount_tax_sta" : 1, + "pbi_oth_amount" : [ 0 ], + "ibi_fed_percent_tax_fed" : 1, "ptc_sta_amount" : [ 0 ], - "depr_itc_fed_sl_20" : 0, - "const_per_months3" : 0, - "ui_hyb_pv_capacity" : 76923.100000000006, - "ur_cr_sched" : [ [ 0 ] ], - "wind_system_capacity" : 200000, "cbi_oth_deprbas_fed" : 0, "salvage_percentage" : 0, - "const_per_upfront_rate1" : 1, + "pbi_uti_for_ds" : 0, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "depr_itc_fed_sl_20" : 0, "depr_alloc_sl_39_percent" : 0, "cbi_oth_tax_fed" : 1, "om_fixed" : 0, - "pbi_uti_for_ds" : 0, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "ui_system_nameplate_standalone" : 0, - "term_int_rate" : 7, - "depr_stabas_method" : 1, "depr_alloc_custom_percent" : 0, - "itc_sta_percent_deprbas_sta" : 0, + "depr_stabas_method" : 1, + "term_int_rate" : 7, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ur_en_ts_buy_rate" : 0, "cbi_fed_tax_sta" : 1, "payment_option" : 0, - "ur_uri" : "empty", - "insurance_rate" : 0, + "itc_sta_percent_deprbas_sta" : 0, "cbi_sta_tax_fed" : 1, + "insurance_rate" : 0, "federal_tax_rate" : [ 21 ], - "nominal_discount_rate" : 9.0600000000000023, "ibi_uti_percent_tax_fed" : 1, - "reserves_interest" : 1.7250000000000001, - "hybrid_capital_cost" : 0, - "lib_dispatch_factor2" : 1, + "construction_financing_cost" : 43008751.054279134, "ur_nm_credit_rollover" : 0, + "reserves_interest" : 1.7250000000000001, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], "ibi_sta_percent" : 0, - "ur_fixed_attrs" : "", - "cp_capacity_credit_percent" : [ 0 ], + "pbi_sta_for_ds" : 0, + "cbi_fed_deprbas_sta" : 0, "pbi_fed_tax_fed" : 1, + "cp_capacity_credit_percent" : [ 0 ], "depr_bonus_sta_custom" : 0, - "property_assessed_value" : 1638428611.5915861, + "ibi_uti_percent_deprbas_fed" : 0, + "ur_metering_option" : 4, + "ptc_fed_escal" : 0, + "cbi_sta_tax_sta" : 1, + "itc_fed_amount_deprbas_sta" : 1, + "batt_salvage_percentage" : 0, "ppa_price_input" : [ 0.050000000000000003 ], "ptc_sta_escal" : 0, - "ur_billing_demand_lookback_period" : 0, - "ur_name" : "", - "const_per_total3" : 0, "system_capacity" : 377123.09999999998, - "const_per_interest5" : 0, - "dscr_limit_debt_fraction" : 0, - "solution_mode_message" : "", - "ibi_fed_percent_tax_fed" : 1, - "ur_demand_reactive_power_charge" : 0, - "ibi_fed_amount_tax_sta" : 1, - "pbi_oth_amount" : [ 0 ], - "const_per_name2" : "Loan 2", - "ur_ratedata_filename" : "", - "show_capitalcostso" : 1, - "const_per_total4" : 0, - "cbi_fed_maxvalue" : 0, - "itc_sta_amount_deprbas_sta" : 0, - "cbi_sta_amount" : 0, - "const_per_name5" : "Loan 5", - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "ui_wacc" : 6.7097400000000018, - "depr_itc_sta_custom" : 0, - "cost_debt_fee" : 2.75, - "cbi_fed_deprbas_sta" : 0, - "pbi_sta_for_ds" : 0, - "tod_library" : "Uniform Dispatch", - "const_per_percent_total" : 100, "real_discount_rate" : 6.4000000000000004, - "generic_total_installed_cost" : 1128750000, "ibi_uti_amount_tax_sta" : 1, - "const_per_percent3" : 0, - "ur_cr_tou_mat" : [ [ 0 ] ], - "depr_bonus_sta_sl_20" : 0, "ppa_soln_mode" : 1, + "depr_bonus_sta_sl_20" : 0, "itc_fed_percent_deprbas_sta" : 1, "prop_tax_assessed_decline" : 0, "flip_target_percent" : 11, - "ur_schedule_name" : "empty", "en_electricity_rates" : 0, - "const_per_principal1" : 1638428611.5915861, + "pbi_sta_amount" : [ 0 ], "ur_dc_enable" : 0, "dscr_maximum_debt_fraction" : 100, "debt_percent" : 60, - "pbi_sta_amount" : [ 0 ], - "depr_bonus_fed_custom" : 0, - "state_tax_rate" : [ 7 ], - "ur_voltage_category" : "", - "const_per_percent5" : 0, - "mera_name3" : "Replacement Reserve 3", + "cbi_fed_tax_fed" : 1, + "itc_sta_percent" : [ 0 ], + "pbi_sta_escal" : 0, "ibi_uti_amount_deprbas_fed" : 0, "analysis_period" : 25, - "ibi_oth_amount" : 0, - "ibi_uti_amount" : 0, - "grid_curtailment_price_esc" : 0, - "lib_dispatch_factor6" : 1, - "ur_description" : "", - "ur_demand_max" : 0, - "cost_debt_closing" : 0, - "cp_capacity_payment_esc" : 0, - "term_tenor" : 18, - "ur_energy_max" : 0, - "construction_financing_cost" : 43008751.054279134, - "depr_itc_sta_sl_39" : 0, - "ur_service_type" : "", - "ur_fuel_adjustments_monthly" : [ 0 ], - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], "ur_nm_credit_month" : 0, - "ur_voltage_min" : 0, - "ur_monthly_min_charge" : 0, + "pbi_uti_amount" : [ 0 ], + "depr_bonus_fed_macrs_15" : 0, + "ibi_oth_percent_maxvalue" : 0, + "ibi_sta_amount_tax_sta" : 1, "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "depr_alloc_sl_20_percent" : 0, + "ur_monthly_min_charge" : 0, "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "const_per_principal_total" : 1638428611.5915861, - "total_installed_cost" : 1638428611.5915861, - "equip2_reserve_cost" : 0, - "lib_dispatch_factor9" : 1, - "equip_reserve_depr_sta" : 0, - "pbi_oth_tax_fed" : 1, - "const_per_upfront_rate2" : 0, + "cbi_uti_maxvalue" : 0, "cbi_uti_tax_fed" : 1, - "const_per_interest_rate4" : 0, "om_production" : 0, - "batt_salvage_percentage" : 0, - "itc_fed_amount_deprbas_sta" : 1, - "ur_metering_option" : 4, - "ptc_fed_escal" : 0, - "cbi_sta_tax_sta" : 1, - "pbi_uti_escal" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "depr_itc_sta_macrs_5" : 0, + "depr_bonus_sta_sl_15" : 0, + "cp_capacity_payment_amount" : [ 0 ], + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "ur_en_ts_sell_rate" : 0, "flip_target_year" : 10, - "const_per_total5" : 0, - "mera_cost3" : 0, - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "pbi_uti_escal" : 0, + "depr_itc_sta_custom" : 0, + "cbi_fed_maxvalue" : 0, + "itc_sta_amount_deprbas_sta" : 0, "ptc_fed_amount" : [ 0 ], - "ur_energy_history" : 0, + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], "ibi_uti_percent_deprbas_sta" : 0, - "sales_tax_rate" : 5, - "const_per_interest1" : 26624464.938363276, - "mera_cost1" : 37712310, - "ui_battery_cost" : 99152011.591586128, - "const_per_name4" : "Loan 4", - "depr_bonus_sta_macrs_15" : 0, - "ui_fuel_cell_cost" : 2100000, - "pbi_uti_amount" : [ 0 ], - "depr_bonus_fed_macrs_15" : 0, - "cbi_uti_maxvalue" : 0, - "revenue_capacity_payments_is_shown" : 0, - "revenue_curtailment_is_shown" : 0, + "depr_itc_sta_sl_39" : 0, + "ibi_fed_percent_tax_sta" : 1, + "grid_interconnection_limit_kwac" : 20000, + "depr_alloc_sl_15_percent" : 0, "cbi_oth_tax_sta" : 1, "itc_sta_amount" : [ 0 ], - "const_per_months4" : 0, - "ur_en_ts_sell_rate" : 0, - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "const_per_months2" : 0, - "equip2_reserve_freq" : 0, - "equip_reserve_depr_fed" : 0, - "ur_phase_wiring" : "", + "depr_custom_schedule" : [ 0 ], "pbi_sta_term" : 0, - "mera_cost2" : 0, "pbi_fed_for_ds" : 0, "months_receivables_reserve" : 0, - "debt_message" : "", - "ui_battery_capacity" : 57600.053208000005, - "depr_custom_schedule" : [ 0 ], - "pbi_sta_tax_sta" : 1, - "battery_total_installed_cost" : 99152011.591586128, - "depr_alloc_sl_15_percent" : 0, - "batt_salvage_value" : 0, + "ibi_fed_percent_maxvalue" : 0, + "grid_curtailment_price" : [ 0 ], + "cost_debt_closing" : 0, + "cp_capacity_payment_esc" : 0, + "term_tenor" : 18, "om_capacity" : 0, + "total_installed_cost" : 1638428611.5915861, + "equip2_reserve_cost" : 0, + "depr_bonus_fed_custom" : 0, + "state_tax_rate" : [ 7 ], + "depr_bonus_sta_macrs_15" : 0, + "pbi_sta_tax_sta" : 1, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], "depr_bonus_sta_sl_39" : 0, - "grid_interconnection_limit_kwac" : 20000, - "number table entries" : 380 + "number table entries" : 241 }, "number table entries" : 7 }, diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json index 3957cb032..fff9536f0 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json @@ -1,6 +1,6 @@ { "input" : { - "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","host_developer"], + "compute_modules" : ["pvwattsv8","windpower","fuelcell","battery","grid","utilityrate5","host_developer"], "pvwattsv8" : { "shading_mxh" : [ [ 0 ] ], "om_production_escal" : 0, @@ -44,452 +44,22 @@ "adjust_periods" : [ [ 0, 0, 0 ] ], "om_fixed" : [ 0 ], "number table entries" : 41 - }, - "hybrid" : { - "om_fixed" : 0, - "cbi_oth_tax_fed" : 1, - "cbi_oth_deprbas_sta" : 0, - "energy_2" : 48557.315400000007, - "depr_alloc_sl_5_percent" : 0, - "ibi_fed_amount_deprbas_fed" : 0, - "crit_7" : 0, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "energy_12" : 54338.530100000084, - "ur_rate_notes" : "", - "ac_nameplate" : 469.565, - "mpeak" : [ 234.67599999999999, 173.422, 172.00700000000001, 191.434, 198.29499999999999, 236.46899999999999, 274.23099999999999, 260.33600000000001, 226.751, 185.12299999999999, 156.19999999999999, 184.05000000000001 ], - "crit_9" : 0, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "pbi_uti_for_ds" : 0, - "ur_billing_demand_lookback_period" : 0, - "ui_generic_cost" : 0, - "cbi_uti_deprbas_fed" : 0, - "ibi_fed_amount" : 0, - "depr_bonus_fed_macrs_15" : 0, - "pbi_uti_amount" : [ 0 ], - "lib_dispatch_factor1" : 1, - "ibi_uti_percent_maxvalue" : 0, - "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ptc_fed_escal" : 0, - "peak_12" : 184.05000000000001, - "crit_2" : 0, - "ur_schedule_name" : "empty", - "depr_bonus_sta_macrs_15" : 0, - "ui_fuel_cell_cost" : 0, - "ibi_uti_percent_deprbas_fed" : 0, - "equip3_reserve_cost" : 0, - "load_user_data" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "prop_tax_cost_assessed_percent" : 100, - "ibi_fed_amount_deprbas_sta" : 0, - "peak_4" : 191.434, - "depr_fedbas_method" : 1, - "ptc_fed_term" : 10, - "ur_has_unused_items" : 0, - "crit_11" : 0, - "escal_input_hourly" : [ 0 ], - "energy_8" : 77555.05109999991, - "peak_6" : 236.46899999999999, - "ibi_uti_amount_deprbas_sta" : 0, - "ur_metering_option" : 0, - "debt_option" : 1, - "ibi_oth_amount_deprbas_fed" : 0, - "itc_fed_amount_deprbas_fed" : 1, - "ui_annual_load" : 0, - "cbi_fed_tax_fed" : 1, - "ur_demand_window" : 0, - "ur_billing_demand_minimum" : 0, - "om_capacity" : 0, - "cost_debt_fee" : 2.75, - "ibi_uti_percent_tax_fed" : 1, - "depr_alloc_sl_20_percent" : 0, - "nominal_discount_rate" : 9.0600000000000023, - "ppa_multiplier_model" : 0, - "ur_is_default" : 0, - "ibi_fed_percent_tax_sta" : 1, - "cbi_sta_tax_fed" : 1, - "ur_ts_sell_rate" : [ 0 ], - "crit_6" : 0, - "show_debtconstdscr" : 1, - "cbi_fed_deprbas_fed" : 0, - "ur_energy_min" : 0, - "enable_interconnection_limit" : 0, - "battery_total_installed_cost" : 391808.35863000003, - "crit_5" : 0, - "ibi_fed_percent_maxvalue" : 0, - "ibi_sta_amount" : 0, - "batt_power_discharge_max_kwac" : 288.05025600000005, - "const_per_name3" : "Loan 3", - "ur_voltage_max" : 0, - "cbi_fed_tax_sta" : 1, - "const_per_total3" : 0, - "payment_option" : 0, - "itc_sta_percent_deprbas_sta" : 0, - "peak_7" : 274.23099999999999, - "ur_source" : "empty", - "pbi_fed_amount" : [ 0 ], - "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "peak_9" : 226.751, - "is_btm" : 0, - "ur_monthly_fixed_charge" : 0, - "pbi_sta_tax_fed" : 1, - "ppa_escalation" : 1, - "crit_3" : 0, - "wind_total_installed_cost" : 464400, - "energy_7" : 77708.464099999896, - "crit_load_type" : 0, - "ur_en_ts_buy_rate" : 0, - "ur_demand_attrs" : "", - "peak_10" : 185.12299999999999, - "prop_tax_assessed_decline" : 0, - "energy_5" : 60460.74550000007, - "depr_itc_sta_sl_39" : 0, - "ibi_oth_percent_maxvalue" : 0, - "ur_service_type" : "", - "const_per_interest4" : 0, - "ur_name" : "", - "crit_load" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_salvage_value" : 0, - "depr_alloc_none" : 0, - "system_capacity" : 569.56500000000005, - "load_escalation" : [ 0 ], - "depr_itc_fed_sl_39" : 0, - "const_per_interest3" : 0, - "lib_dispatch_factor8" : 1, - "depr_itc_fed_custom" : 0, - "ibi_sta_percent_maxvalue" : 0, - "depr_bonus_sta_sl_20" : 0, - "cbi_uti_tax_fed" : 1, - "ppa_soln_mode" : 1, - "ibi_oth_percent_tax_fed" : 1, - "ur_start_date" : "empty", - "om_production" : 0, - "ui_generic_capacity" : 0, - "const_per_upfront_rate2" : 0, - "chk_update_peaks" : 0, - "const_per_months5" : 0, - "pbi_oth_tax_fed" : 1, - "equip_reserve_depr_sta" : 0, - "depr_itc_fed_macrs_15" : 0, - "ibi_sta_percent_tax_fed" : 1, - "energy_3" : 55750.081999999988, - "const_per_interest_rate1" : 6.5, - "const_per_name1" : "Loan 1", - "depr_itc_sta_sl_20" : 0, - "salvage_value" : 0, - "property_tax_rate" : 0, - "crit_10" : 0, - "pbi_fed_escal" : 0, - "depr_bonus_fed_sl_39" : 0, - "peak_1" : 234.67599999999999, - "ptc_fed_amount" : [ 0 ], - "const_per_months1" : 6, - "depr_alloc_macrs_15_percent" : 0, - "mera_cost1" : 56956.500000000007, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "const_per_percent1" : 100, - "ibi_fed_amount_tax_sta" : 1, - "const_per_total2" : 0, - "const_per_percent_total" : 100, - "equip_reserve_depr_fed" : 0, - "ibi_fed_percent_deprbas_fed" : 0, - "depr_bonus_fed_sl_5" : 0, - "ui_hyb_pv_capacity" : 469.565, - "wind_system_capacity" : 100, - "ur_desc_is_shown" : 0, - "ur_cr_sched" : [ [ 0 ] ], - "const_per_interest_rate4" : 0, - "const_per_upfront_rate1" : 1, - "ur_unused_is_shown" : 0, - "annual_peak" : 274.23099999999999, - "const_per_name5" : "Loan 5", - "cbi_sta_amount" : 0, - "crit_load_user_data" : [ 0 ], - "show_capitalcostso" : 1, - "depr_bonus_sta_sl_5" : 0, - "ibi_sta_amount_deprbas_fed" : 0, - "ur_ec_sched_weekend" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ] ], - "ur_billing_demand_is_shown" : 0, - "depr_itc_fed_sl_5" : 0, - "ibi_uti_amount_tax_fed" : 1, - "depr_itc_sta_sl_15" : 0, - "cbi_uti_amount" : 0, - "normalize_to_utility_bill" : 0, - "rate_escalation" : [ 0 ], - "inflation_rate" : 2.5, - "depr_bonus_fed_sl_20" : 0, - "ibi_oth_percent_deprbas_sta" : 0, - "depr_bonus_fed" : 0, - "ibi_uti_percent_tax_sta" : 1, - "pbi_oth_for_ds" : 0, - "grid_interconnection_limit_kwac" : 20000, - "ibi_oth_percent_deprbas_fed" : 0, - "ur_ts_buy_rate" : [ 0 ], - "ibi_fed_percent" : 0, - "ui_wind_cost" : 464400, - "ibi_fed_percent_deprbas_sta" : 0, - "ur_energy_max" : 0, - "pv_total_installed_cost" : 948196.80000000005, - "load_model" : 1, - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "ur_ec_sched_weekday" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ] ], - "ur_dc_is_shown" : 1, - "cbi_oth_amount" : 0, - "cbi_oth_tax_sta" : 1, - "ibi_oth_percent_tax_sta" : 1, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ], [ 2, 1, 9.9999999999999998e+37, 0, 0.074999999999999997, 0 ], [ 3, 1, 9.9999999999999998e+37, 0, 0.059999999999999998, 0 ], [ 4, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ] ], - "ur_description" : "", - "cbi_sta_deprbas_sta" : 0, - "ur_demand_max" : 0, - "cost_debt_closing" : 0, - "ur_energy_history" : 0, - "itc_fed_percent" : [ 30 ], - "peak_2" : 173.422, - "cbi_oth_maxvalue" : 0, - "crit_4" : 0, - "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "crit_8" : 0, - "ur_phase_wiring" : "", - "pbi_sta_term" : 0, - "batt_minimum_outage_soc" : 10, - "ur_demand_min" : 0, - "cbi_sta_maxvalue" : 0, - "ur_end_date" : "empty", - "pbi_sta_escal" : 0, - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "pbi_oth_escal" : 0, - "const_per_principal_total" : 1804405.15863, - "dscr_reserve_months" : 0, - "total_installed_cost" : 1804405.15863, - "lib_dispatch_factor3" : 1, - "ibi_oth_amount_tax_fed" : 1, - "depr_itc_sta_macrs_15" : 0, - "depr_bonus_fed_sl_15" : 0, - "const_per_principal2" : 0, - "cbi_uti_tax_sta" : 1, - "depr_alloc_sl_15_percent" : 0, - "host_nominal_discount_rate" : 9.0600000000000023, - "ibi_sta_percent_deprbas_sta" : 0, - "cbi_fed_maxvalue" : 0, - "const_per_upfront_rate3" : 0, - "crit_load_escalation" : [ 0 ], - "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "depr_itc_sta_sl_5" : 0, - "equip1_reserve_cost" : 0.10000000000000001, - "load_annual_total" : 726208.38439997181, - "show_construction_period" : 1, - "pbi_oth_tax_sta" : 1, - "depr_bonus_sta_macrs_5" : 0, - "itc_fed_amount" : [ 0 ], - "escal_belpe" : [ 0 ], - "ur_energy_attrs" : "", - "cbi_uti_deprbas_sta" : 0, - "loan_moratorium" : 0, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "peak_11" : 156.19999999999999, - "const_per_principal5" : 0, - "pbi_uti_term" : 0, - "ptc_sta_escal" : 0, - "property_assessed_value" : 1804405.15863, - "ppa_price_input" : [ 0.153 ], - "pbi_uti_escal" : 0, - "sales_tax_rate" : 5, - "ptc_sta_amount" : [ 0 ], - "annual_crit" : 0, - "const_per_total5" : 0, - "flip_target_year" : 20, - "itc_sta_amount_deprbas_sta" : 0, - "pbi_oth_term" : 0, - "const_per_interest_rate2" : 0, - "pbi_uti_tax_fed" : 1, - "energy_9" : 61793.676699999931, - "const_per_total1" : 47365.635414037504, - "energy_10" : 57692.479699999887, - "pbi_fed_tax_fed" : 1, - "depr_bonus_sta_custom" : 0, - "ur_utility" : "empty", - "crit_load_pct" : 0, - "ur_annual_min_charge" : 0, - "construction_financing_cost" : 47365.635414037504, - "itc_sta_percent_deprbas_fed" : 0, - "depr_stabas_method" : 1, - "const_per_upfront_rate4" : 0, - "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "ibi_sta_amount_deprbas_sta" : 0, - "ui_fuel_cell_capacity" : 0, - "grid_outage" : [ 0 ], - "cbi_sta_tax_sta" : 1, - "ur_nm_yearend_sell_rate" : 0, - "const_per_months3" : 0, - "depr_itc_fed_sl_20" : 0, - "utility_bill_data" : [ 300, 300, 300, 300, 300, 400, 500, 500, 400, 300, 300, 300 ], - "ur_ec_is_shown" : 1, - "cbi_sta_deprbas_fed" : 0, - "ur_yearzero_usage_peaks" : [ 0 ], - "ur_enable_billing_demand" : 0, - "const_per_interest_rate5" : 0, - "depr_itc_fed_macrs_5" : 0, - "ur_demand_history" : 0, - "ui_pv_cost" : 948196.80000000005, - "term_int_rate" : 7, - "show_reserveaccounts" : 1, - "pbi_fed_tax_sta" : 1, - "lib_dispatch_factor4" : 1, - "peak_8" : 260.33600000000001, - "ur_monthly_min_charge" : 0, - "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "lib_dispatch_factor6" : 1, - "const_per_principal4" : 0, - "ibi_uti_amount" : 0, - "const_per_interest2" : 0, - "mera_name1" : "Replacement Reserve 1", - "const_per_months2" : 0, - "equip2_reserve_freq" : 0, - "depr_bonus_sta" : 0, - "energy_1" : 57339.488999999958, - "const_per_months4" : 0, - "cbi_fed_amount" : 0, - "itc_sta_amount" : [ 0 ], - "lib_dispatch_factor5" : 1, - "ibi_sta_amount_tax_sta" : 1, - "lib_dispatch_factor7" : 1, - "analysis_period_warning" : "", - "energy_4" : 53014.929699999993, - "ibi_oth_amount" : 0, - "crit_1" : 0, - "energy_6" : 70152.33849999994, - "depr_alloc_macrs_5_percent" : 100, - "const_per_percent3" : 0, - "ur_cr_tou_mat" : [ [ 0 ] ], - "depr_itc_sta_macrs_5" : 0, - "depr_bonus_sta_sl_15" : 0, - "lib_dispatch_factor9" : 1, - "equip2_reserve_cost" : 0, - "itc_sta_amount_deprbas_fed" : 0, - "pbi_fed_term" : 0, - "ur_nm_credit_rollover" : 0, - "reserves_interest" : 1.75, - "ur_voltage_category" : "", - "lib_dispatch_factor2" : 1, - "hybrid_capital_cost" : 0, - "pbi_fed_for_ds" : 0, - "depr_alloc_sl_39_percent" : 0, - "host_real_discount_rate" : 6.4000000000000004, - "const_per_interest5" : 0, - "dscr_limit_debt_fraction" : 0, - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], - "mera_cost3" : 0, - "depr_itc_fed_sl_15" : 0, - "cost_other_financing" : 0, - "ptc_sta_term" : 10, - "mera_name3" : "Replacement Reserve 3", - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], - "ur_fuel_adjustments_monthly" : [ 0 ], - "tod_library" : "Uniform Dispatch", - "analysis_period" : 25, - "ibi_oth_amount_deprbas_sta" : 0, - "real_discount_rate" : 6.4000000000000004, - "itc_sta_percent" : [ 0 ], - "ibi_uti_amount_tax_sta" : 1, - "ur_ratedata_filename" : "", - "ibi_uti_amount_deprbas_fed" : 0, - "const_per_percent5" : 0, - "equip3_reserve_freq" : 0, - "mera_cost2" : 0, - "months_receivables_reserve" : 0, - "const_per_principal1" : 1804405.15863, - "pbi_sta_amount" : [ 0 ], - "ur_dc_enable" : 0, - "dscr_maximum_debt_fraction" : 100, - "debt_percent" : 60, - "state_tax_rate" : [ 7 ], - "depr_bonus_fed_custom" : 0, - "ibi_oth_amount_tax_sta" : 1, - "const_per_total4" : 0, - "pbi_uti_tax_sta" : 1, - "ibi_sta_percent_deprbas_fed" : 0, - "const_per_interest_rate3" : 0, - "const_per_percent2" : 0, - "ur_demand_reactive_power_charge" : 0, - "ibi_fed_percent_tax_fed" : 1, - "solution_mode_message" : "", - "const_per_name2" : "Loan 2", - "flip_target_percent" : 11, - "itc_fed_percent_deprbas_sta" : 1, - "crit_12" : 0, - "batt_salvage_percentage" : 0, - "itc_fed_amount_deprbas_sta" : 1, - "dispatch_data_filename" : "", - "scale_factor" : 1, - "ibi_sta_percent_tax_sta" : 1, - "const_per_upfront_rate5" : 0, - "cbi_fed_deprbas_sta" : 0, - "depr_bonus_sta_sl_39" : 0, - "pbi_oth_amount" : [ 0 ], - "cbi_oth_deprbas_fed" : 0, - "const_per_interest_total" : 29321.583827737501, - "salvage_percentage" : 0, - "term_tenor" : 18, - "ur_uri" : "empty", - "insurance_rate" : 0, - "federal_tax_rate" : [ 21 ], - "months_working_reserve" : 6, - "mera_name2" : "Replacement Reserve 2", - "peak_5" : 198.29499999999999, - "const_per_principal3" : 0, - "dscr" : 1.3, - "equip1_reserve_freq" : 15, - "itc_fed_percent_deprbas_fed" : 1, - "depr_itc_sta_custom" : 0, - "depr_bonus_fed_macrs_5" : 1, - "debt_message" : "", - "ibi_fed_amount_tax_fed" : 1, - "ibi_uti_percent" : 0, - "energy_11" : 51845.282599999977, - "ur_fixed_attrs" : "", - "ibi_sta_percent" : 0, - "pbi_sta_for_ds" : 0, - "escal_other" : [ 0 ], - "const_per_interest1" : 29321.583827737501, - "ibi_oth_percent" : 0, - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "ui_wacc" : 6.7097400000000018, - "ibi_uti_percent_deprbas_sta" : 0, - "run_resiliency_calcs" : 0, - "cbi_uti_maxvalue" : 0, - "ui_battery_capacity" : 288.05025600000005, - "depr_custom_schedule" : [ 0 ], - "ui_battery_cost" : 391808.35863000003, - "const_per_name4" : "Loan 4", - "ibi_sta_amount_tax_fed" : 1, - "batt_replacement_option" : 1, - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "ur_en_ts_sell_rate" : 0, - "pbi_sta_tax_sta" : 1, - "ur_nm_credit_month" : 11, - "ur_voltage_min" : 0, - "depr_alloc_custom_percent" : 0, - "const_per_percent4" : 0, - "peak_3" : 172.00700000000001, - "number table entries" : 424 }, "windpower" : { "ops_env_loss" : 1, - "wind_farm_ycoordinates" : [ 0 ], "avail_grid_loss" : 1.5, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, "wind_turbine_rotor_diameter" : 21, "weibull_k_factor" : 2, "env_icing_loss" : 0.20999999999999999, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], "adjust_constant" : 0, "wind_farm_wake_model" : 0, "avail_bop_loss" : 0.5, "turb_generic_loss" : 1.7, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_farm_xcoordinates" : [ 0 ], - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "adjust_en_periods" : 0, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], "om_production" : [ 0 ], "turb_hysteresis_loss" : 0.40000000000000002, "env_env_loss" : 0.40000000000000002, @@ -500,149 +70,438 @@ "avail_turb_loss" : 3.5800000000000001, "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], "elec_parasitic_loss" : 0.10000000000000001, + "wind_farm_yCoordinates" : [ 0 ], + "wind_farm_xCoordinates" : [ 0 ], "om_capacity_escal" : 0, "wake_int_loss" : 0, "total_installed_cost" : 464400, - "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], - "adjust_timeindex" : [ 0 ], - "wind_resource_shear" : 0.14000000000000001, - "system_capacity" : 100, - "env_degrad_loss" : 1.8, - "wake_ext_loss" : 1.1000000000000001, - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "om_capacity" : [ 35 ], - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, "env_exposure_loss" : 0, "om_fixed_escal" : 0, "weibull_reference_height" : 50, "om_production_escal" : 0, - "wind_turbine_hub_ht" : 80, + "system_capacity" : 100, + "env_degrad_loss" : 1.8, + "wind_resource_shear" : 0.14000000000000001, + "adjust_timeindex" : [ 0 ], + "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], "wind_resource_model_choice" : 0, - "om_fixed" : [ 0 ], - "wind_resource_distribution" : [ [ 0 ] ], "elec_eff_loss" : 1.9099999999999999, - "ops_load_loss" : 0.98999999999999999, - "number table entries" : 50 - }, - "battery" : { - "load_escalation" : [ 0 ], - "dispatch_manual_system_charge_first" : 1, - "batt_calendar_q0" : 1.02, - "batt_vnom" : 3.3999999999999999, - "batt_target_power_monthly" : [ 0 ], - "batt_qfull_flow" : 2398.5, + "wind_resource_distribution" : [ [ 0 ] ], + "om_fixed" : [ 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wake_ext_loss" : 1.1000000000000001, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_load_loss" : 0.98999999999999999, + "adjust_en_periods" : 0, + "om_capacity" : [ 35 ], + "wind_turbine_hub_ht" : 80, + "number table entries" : 50 + }, + "fuelcell" : { + "add_om_num_types" : 2, + "fuelcell_availability_schedule" : [ [ 0, 0 ] ], + "om_fuelcell_variable_cost" : [ 0 ], + "om_fuel_cost" : [ 10.369999999999999 ], + "fuelcell_replacement_option" : 0, + "dispatch_manual_units_fc_discharge" : [ 0 ], + "fuelcell_operation_options" : 1, + "fuelcell_unit_min_power" : 60, + "dispatch_manual_fuelcell_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "om_fuelcell_replacement_cost" : [ 0 ], + "fuelcell_number_of_units" : 1, + "om_fuel_cost_escal" : 0, + "fuelcell_degradation_restart" : 1, + "om_fuelcell_fixed_cost" : [ 0 ], + "fuelcell_type" : 2, + "fuelcell_replacement_percent" : 50, + "fuelcell_efficiency" : [ [ 0, 3, 50 ], [ 16.100000000000001, 21, 50 ], [ 25.5, 25.199999999999999, 50 ], [ 34.799999999999997, 31.5, 50 ], [ 44.100000000000001, 37.299999999999997, 50 ], [ 53.399999999999999, 42.600000000000001, 50 ], [ 62.700000000000003, 47.399999999999999, 49 ], [ 72, 49.899999999999999, 48 ], [ 81.400000000000006, 52, 47 ], [ 90.700000000000003, 51.799999999999997, 46 ], [ 100, 50.700000000000003, 45 ] ], + "dispatch_manual_fuelcell_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "fuelcell_dispatch_choice" : 0, + "fuelcell_dispatch" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "fuelcell_degradation" : 0.0045662100456621002, + "fuelcell_dynamic_response_down" : 500, + "total_installed_cost" : 2100000, + "om_capacity_escal" : 0, + "fuelcell_dynamic_response_up" : 500, + "om_production_escal" : 0, + "om_fixed_escal" : 0, + "dispatch_manual_fuelcelldischarge" : [ 0, 0, 0, 0, 0, 0 ], + "om_replacement_cost_escal" : 0, + "fuelcell_fuel_type" : 0, + "om_fuelcell_capacity_cost" : [ 27 ], + "fuelcell_is_started" : 0, + "fuelcell_shutdown_time" : 24, + "fuelcell_startup_time" : 24, + "fuelcell_replacement_schedule" : [ 0 ], + "dispatch_manual_percent_fc_discharge" : [ 0 ], + "fuelcell_efficiency_choice" : 0, + "fuelcell_unit_max_power" : 200, + "analysis_period" : 25, + "fuelcell_fixed_pct" : 95, + "fuelcell_fuel_available" : 10000000000, + "dispatch_manual_fuelcellcharge" : [ 0, 0, 0, 0, 0, 0 ], + "fuelcell_lhv" : 983, + "number table entries" : 43 + }, + "battery" : { + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "batt_Qfull_flow" : 2398.5, "om_batt_fixed_cost" : [ 0 ], "inflation_rate" : 2.5, - "om_production_escal" : 0, - "batt_calendar_choice" : 1, "batt_dispatch_auto_can_charge" : 1, "batt_load_ac_forecast_escalation" : [ 0 ], - "batt_initial_soc" : 50, - "leadacid_q20_computed" : 2398.5, - "leadacid_q10_computed" : 2230.605, + "om_fixed_escal" : 0, + "om_production_escal" : 0, + "batt_calendar_choice" : 1, + "total_installed_cost" : 890575.53609214595, + "batt_dispatch_wf_forecast_choice" : 0, + "ppa_price_input" : [ 0.153 ], + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "analysis_period" : 25, + "dispatch_manual_system_charge_first" : 0, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "batt_Vexp" : 4.0499999999999998, + "batt_ac_or_dc" : 1, + "batt_losses" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_custom_dispatch" : [ 0 ], "batt_target_choice" : 0, - "batt_current_charge_max" : 599.625, - "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], - "batt_minimum_soc" : 30, - "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_vexp" : 4.0499999999999998, - "analysis_period" : 25, + "batt_current_charge_max" : 575.6400000000001, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_Vnom_default" : 3.6000000000000001, + "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "batt_power_discharge_max_kwdc" : 312.55453125000008, + "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, "batt_replacement_option" : 1, - "batt_dispatch_choice" : 0, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "add_om_num_types" : 1, - "grid_interconnection_limit_kwac" : 20000, + "batt_C_rate" : 0.20000000000000001, + "batt_minimum_SOC" : 15, "batt_calendar_a" : 0.00266, "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "batt_qnom" : 2.0000002500000003, "en_batt" : 1, "batt_load_ac_forecast" : [ 0 ], "batt_replacement_schedule_percent" : [ 0 ], - "om_batt_variable_cost" : [ 0 ], - "batt_target_power" : [ 0 ], - "om_batt_replacement_cost" : [ 225.06 ], - "batt_minimum_modetime" : 10, - "batt_ac_dc_efficiency" : 96, - "om_replacement_cost_escal" : 0, - "batt_chem" : 1, - "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], - "ppa_multiplier_model" : 0, - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_vnom_default" : 3.6000000000000001, - "batt_qfull" : 2.25, + "batt_dispatch_choice" : 0, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "add_om_num_types" : 1, + "LeadAcid_qn_computed" : 1439.0999999999999, + "batt_surface_area" : 90.015705000000011, + "batt_calendar_q0" : 1.02, + "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], + "batt_replacement_capacity" : 50, + "batt_Cp" : 1500, "batt_computed_bank_capacity" : 1200.2094000000002, "om_batt_nameplate" : 1200.2094000000002, - "batt_loss_choice" : 0, - "batt_qexp" : 0.040000050000000002, - "batt_current_choice" : 1, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_power_charge_max_kwdc" : 288.05025600000005, "batt_calendar_b" : -7280, - "batt_pv_ac_forecast" : [ 0 ], - "batt_cp" : 1500, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], - "batt_dispatch_auto_can_clipcharge" : 0, - "batt_current_discharge_max" : 599.625, + "batt_room_temperature_celsius" : [ 25 ], + "batt_power_discharge_max_kwac" : 300.05235000000005, + "batt_Qnom" : 2.0000002500000003, + "batt_current_choice" : 1, + "batt_loss_choice" : 0, + "batt_Vcut" : 2.706, + "om_replacement_cost_escal" : 0, + "batt_ac_dc_efficiency" : 96, + "batt_minimum_modetime" : 10, + "om_batt_variable_cost" : [ 0 ], + "batt_Qfull" : 2.25, + "batt_target_power" : [ 0 ], + "om_batt_replacement_cost" : [ 0 ], + "batt_power_charge_max_kwac" : 300.05235000000005, + "ppa_escalation" : 1, + "batt_Vfull" : 4.0999999999999996, + "LeadAcid_q10_computed" : 2230.605, + "batt_current_discharge_max" : 624.60937500000011, "batt_dc_dc_efficiency" : 99, - "batt_life_model" : 0, "om_capacity_escal" : 0, + "batt_life_model" : 0, "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_dispatch_wf_forecast_choice" : 0, - "total_installed_cost" : 391808.35863000003, - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "batt_pv_clipping_forecast" : [ 0 ], - "om_fixed_escal" : 0, + "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], + "ppa_multiplier_model" : 0, + "batt_chem" : 1, + "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], "batt_inverter_efficiency_cutoff" : 90, - "leadacid_tn" : 1, - "batt_replacement_capacity" : 0, - "batt_vfull" : 4.0999999999999996, - "batt_computed_series" : 139, - "ppa_escalation" : 1, - "batt_room_temperature_celsius" : [ 25 ], - "batt_power_discharge_max_kwac" : 288.05025600000005, - "batt_power_charge_max_kwac" : 312.55453125000008, - "batt_maximum_soc" : 95, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_resistance" : 0.002, - "batt_dc_ac_efficiency" : 96, - "leadacid_qn_computed" : 1439.0999999999999, - "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], - "batt_power_discharge_max_kwdc" : 300.05235000000005, - "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, - "batt_vcut" : 2.706, - "batt_surface_area" : 90.015705000000011, + "batt_pv_ac_forecast" : [ 0 ], + "LeadAcid_tn" : 1, + "batt_dispatch_charge_only_system_exceeds_load" : 1, + "LeadAcid_q20_computed" : 2398.5, + "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_h_to_ambient" : 7.5, + "dispatch_manual_percent_gridcharge" : [ 100, 0 ], "batt_voltage_choice" : 0, - "batt_c_rate" : 0.20000000000000001, + "batt_target_power_monthly" : [ 0 ], "batt_cycle_cost_choice" : 0, "batt_computed_strings" : 1066, "batt_calendar_c" : 939, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_h_to_ambient" : 7.5, - "dispatch_manual_percent_gridcharge" : [ 100, 0 ], - "ppa_price_input" : [ 0.153 ], + "batt_Qexp" : 0.040000050000000002, + "batt_meter_position" : 0, "en_wave_batt" : 0, "batt_dispatch_discharge_only_load_exceeds_system" : 1, "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], "batt_cycle_cost" : [ 0 ], "batt_dispatch_load_forecast_choice" : 0, - "batt_dispatch_charge_only_system_exceeds_load" : 1, - "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_mass" : 17145.848571428574, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_power_charge_max_kwdc" : 300.05235000000005, - "batt_meter_position" : 0, - "batt_ac_or_dc" : 1, - "batt_losses" : [ 0 ], "batt_dispatch_auto_can_gridcharge" : 0, + "batt_initial_SOC" : 50, + "load_escalation" : [ 0 ], + "batt_mass" : 17145.848571428574, + "batt_maximum_SOC" : 95, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], + "batt_computed_series" : 139, + "batt_resistance" : 0.002, + "batt_dc_ac_efficiency" : 96, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "om_batt_capacity_cost" : [ 6.2800000000000002 ], + "batt_Vnom" : 3.3999999999999999, "en_standalone_batt" : 0, "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "om_batt_capacity_cost" : [ 6.2800000000000002 ], - "number table entries" : 114 + "number table entries" : 113 + }, + "grid" : { + "cbi_oth_deprbas_sta" : 0, + "ppa_multiplier_model" : 0, + "ur_enable_billing_demand" : 0, + "depr_itc_fed_custom" : 0, + "pbi_oth_escal" : 0, + "depr_alloc_sl_20_percent" : 0, + "depr_bonus_fed_sl_15" : 0, + "ibi_fed_percent_deprbas_fed" : 0, + "om_capacity" : 0, + "cbi_sta_tax_fed" : 1, + "ur_billing_demand_minimum" : 0, + "depr_alloc_macrs_15_percent" : 0, + "cost_debt_fee" : 2.75, + "itc_sta_percent_deprbas_fed" : 0, + "system_capacity" : 769.56500000000005, + "ibi_sta_percent_tax_fed" : 1, + "cbi_fed_amount" : 0, + "depr_bonus_sta" : 0, + "ibi_oth_amount_deprbas_fed" : 0, + "itc_fed_amount_deprbas_fed" : 1, + "cbi_fed_tax_fed" : 1, + "depr_bonus_sta_macrs_15" : 0, + "ibi_oth_amount_tax_fed" : 1, + "depr_itc_fed_macrs_5" : 0, + "ibi_uti_percent_tax_fed" : 1, + "equip3_reserve_freq" : 0, + "equip3_reserve_cost" : 0, + "ibi_uti_amount_deprbas_sta" : 0, + "ur_billing_demand_lookback_period" : 0, + "ibi_oth_percent_tax_fed" : 1, + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_percent_maxvalue" : 0, + "depr_itc_sta_sl_39" : 0, + "ur_ts_buy_rate" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "ibi_fed_percent_deprbas_sta" : 0, + "total_installed_cost" : 5293747.8721842924, + "equip2_reserve_cost" : 0, + "loan_moratorium" : 0, + "debt_option" : 1, + "inflation_rate" : 2.5, + "ppa_soln_mode" : 0, + "depr_bonus_sta_sl_20" : 0, + "prop_tax_assessed_decline" : 0, + "itc_fed_percent_deprbas_sta" : 1, + "flip_target_percent" : 11, + "cbi_uti_amount" : 0, + "depr_itc_sta_sl_15" : 0, + "crit_load" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "ibi_sta_amount" : 0, + "ibi_oth_percent_deprbas_fed" : 0, + "load_escalation" : [ 0 ], + "depr_itc_fed_sl_39" : 0, + "cbi_uti_tax_fed" : 1, + "om_production" : 0, + "depr_itc_fed_macrs_15" : 0, + "depr_bonus_fed_sl_39" : 0, + "ptc_fed_amount" : [ 0 ], + "dispatch_factors_ts" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "ptc_fed_escal" : 0, + "ur_metering_option" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "depr_bonus_fed_sl_5" : 0, + "pbi_uti_tax_sta" : 1, + "ibi_oth_amount_tax_sta" : 1, + "cbi_oth_deprbas_fed" : 0, + "salvage_percentage" : 0, + "ptc_sta_amount" : [ 0 ], + "depr_bonus_sta_sl_5" : 0, + "ibi_fed_percent_tax_sta" : 1, + "itc_sta_amount_deprbas_sta" : 0, + "cbi_fed_maxvalue" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_fed_sl_20" : 0, + "depr_bonus_fed" : 0, + "grid_interconnection_limit_kwac" : 20000, + "pbi_oth_for_ds" : 0, + "enable_interconnection_limit" : 0, + "cbi_fed_deprbas_fed" : 0, + "rate_escalation" : [ 0 ], + "itc_sta_amount" : [ 0 ], + "cbi_oth_tax_sta" : 1, + "ibi_fed_percent_maxvalue" : 0, + "pbi_sta_term" : 0, + "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "ur_dc_sched_weekday" : [ [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ] ], + "ur_ts_sell_rate" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "ibi_uti_amount" : 0, + "ibi_fed_amount_deprbas_fed" : 0, + "depr_alloc_sl_5_percent" : 0, + "ibi_uti_percent_tax_sta" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ], [ 2, 1, 9.9999999999999998e+37, 0, 0.074999999999999997, 0 ], [ 3, 1, 9.9999999999999998e+37, 0, 0.059999999999999998, 0 ], [ 4, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ] ], + "cbi_sta_deprbas_sta" : 0, + "ibi_oth_amount_deprbas_sta" : 0, + "ibi_fed_amount" : 0, + "cbi_uti_tax_sta" : 1, + "depr_bonus_sta_macrs_5" : 0, + "pbi_oth_tax_sta" : 1, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "depr_alloc_sl_15_percent" : 0, + "ibi_sta_percent_deprbas_sta" : 0, + "depr_itc_sta_sl_5" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_uti_deprbas_fed" : 0, + "itc_fed_amount" : [ 0 ], + "ur_nm_yearend_sell_rate" : 0, + "cbi_uti_deprbas_sta" : 0, + "pbi_uti_term" : 0, + "ptc_sta_escal" : 0, + "ppa_price_input" : [ 0.153 ], + "ibi_uti_amount_tax_fed" : 1, + "cost_debt_closing" : 0, + "pbi_uti_escal" : 0, + "flip_target_year" : 20, + "pbi_oth_term" : 0, + "pbi_uti_tax_fed" : 1, + "dscr" : 1.3, + "pbi_fed_tax_fed" : 1, + "depr_bonus_sta_custom" : 0, + "ur_annual_min_charge" : 0, + "ptc_fed_term" : 0, + "prop_tax_cost_assessed_percent" : 100, + "pbi_fed_escal" : 0, + "depr_itc_sta_sl_20" : 0, + "property_tax_rate" : 1, + "depr_bonus_fed_macrs_5" : 1, + "itc_fed_percent_deprbas_fed" : 1, + "ur_dc_tou_mat" : [ [ 1, 1, 100, 20 ], [ 1, 2, 9.9999999999999998e+37, 15 ], [ 2, 1, 100, 10 ], [ 2, 2, 9.9999999999999998e+37, 5 ] ], + "itc_sta_percent_deprbas_sta" : 0, + "cbi_fed_tax_sta" : 1, + "payment_option" : 0, + "pbi_fed_tax_sta" : 1, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekend" : [ [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ] ], + "depr_alloc_macrs_5_percent" : 100, + "depr_itc_sta_macrs_5" : 0, + "depr_bonus_sta_sl_15" : 0, + "depr_bonus_fed_macrs_15" : 0, + "pbi_uti_amount" : [ 0 ], + "ibi_oth_percent_maxvalue" : 0, + "ibi_sta_amount_tax_sta" : 1, + "batt_replacement_option" : 1, + "ibi_sta_amount_deprbas_sta" : 0, + "cbi_sta_amount" : 0, + "itc_fed_percent" : [ 30 ], + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "pbi_fed_term" : 0, + "itc_sta_amount_deprbas_fed" : 0, + "ur_nm_credit_rollover" : 0, + "reserves_interest" : 1.25, + "construction_financing_cost" : 138960.88164483767, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "pbi_fed_amount" : [ 0 ], + "depr_alloc_sl_39_percent" : 0, + "cbi_oth_tax_fed" : 1, + "om_fixed" : 0, + "ibi_oth_percent_tax_sta" : 1, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "cbi_sta_deprbas_fed" : 0, + "ur_yearzero_usage_peaks" : [ 0 ], + "ibi_sta_amount_deprbas_fed" : 0, + "ur_ec_sched_weekend" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ] ], + "ibi_fed_amount_deprbas_sta" : 0, + "depr_fedbas_method" : 1, + "host_real_discount_rate" : 6.4000000000000004, + "dscr_limit_debt_fraction" : 0, + "equip_reserve_depr_sta" : 0, + "pbi_oth_tax_fed" : 1, + "ibi_sta_percent_tax_sta" : 1, + "depr_itc_sta_macrs_15" : 0, + "dscr_reserve_months" : 0, + "batt_salvage_percentage" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "ibi_uti_percent" : 0, + "ibi_uti_percent_deprbas_fed" : 0, + "cbi_sta_tax_sta" : 1, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "real_discount_rate" : 6.4000000000000004, + "ibi_uti_amount_tax_sta" : 1, + "ibi_uti_amount_deprbas_fed" : 0, + "analysis_period" : 25, + "pbi_sta_escal" : 0, + "itc_sta_percent" : [ 0 ], + "ur_monthly_fixed_charge" : 0, + "ppa_escalation" : 1, + "pbi_sta_tax_fed" : 1, + "debt_percent" : 60, + "ur_dc_enable" : 1, + "dscr_maximum_debt_fraction" : 100, + "months_working_reserve" : 6, + "cbi_fed_deprbas_sta" : 0, + "pbi_sta_for_ds" : 0, + "ibi_fed_amount_tax_fed" : 1, + "depr_itc_fed_sl_5" : 0, + "cbi_sta_maxvalue" : 0, + "pbi_fed_for_ds" : 0, + "months_receivables_reserve" : 0, + "pbi_sta_amount" : [ 0 ], + "pbi_sta_tax_sta" : 1, + "term_tenor" : 18, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "pbi_uti_for_ds" : 0, + "depr_alloc_custom_percent" : 0, + "depr_stabas_method" : 1, + "term_int_rate" : 7, + "depr_itc_fed_sl_15" : 0, + "ptc_sta_term" : 10, + "cost_other_financing" : 0, + "insurance_rate" : 1, + "federal_tax_rate" : [ 21 ], + "ibi_sta_percent" : 0, + "ibi_oth_percent" : 0, + "ibi_oth_amount" : 0, + "depr_bonus_sta_sl_39" : 0, + "pbi_oth_amount" : [ 0 ], + "ibi_fed_amount_tax_sta" : 1, + "ibi_fed_percent" : 0, + "state_tax_rate" : [ 7 ], + "depr_bonus_fed_custom" : 0, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "ur_en_ts_sell_rate" : 0, + "ibi_uti_percent_deprbas_sta" : 0, + "cbi_oth_amount" : 0, + "ur_ec_sched_weekday" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ] ], + "cbi_oth_maxvalue" : 0, + "ibi_sta_percent_deprbas_fed" : 0, + "equip1_reserve_freq" : 15, + "ur_nm_credit_month" : 11, + "depr_itc_sta_custom" : 0, + "ibi_sta_amount_tax_fed" : 1, + "cbi_uti_maxvalue" : 0, + "depr_custom_schedule" : [ 0 ], + "grid_outage" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "depr_itc_fed_sl_20" : 0, + "ur_en_ts_buy_rate" : 0, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ibi_fed_percent_tax_fed" : 1, + "number table entries" : 238 }, - "number table entries" : 5 + "number table entries" : 6 }, "compute_module_0" : "hybrid", "number_compute_modules" : 1, diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json index 604d4445d..00a080c93 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json @@ -48,558 +48,423 @@ "om_fixed" : [ 0 ], "number table entries" : 44 }, - "hybrid" : { - "ur_rate_notes" : "", + "windpower" : { + "ops_env_loss" : 1, + "avail_grid_loss" : 1.5, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "wind_turbine_rotor_diameter" : 100, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, + "adjust_constant" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_production" : [ 0 ], + "turb_hysteresis_loss" : 0.40000000000000002, + "env_env_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "elec_parasitic_loss" : 0.10000000000000001, + "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 292032000, + "env_exposure_loss" : 0, + "om_fixed_escal" : 0, + "weibull_reference_height" : 50, + "om_production_escal" : 0, + "system_capacity" : 200000, + "env_degrad_loss" : 1.8, + "wind_resource_shear" : 0.14000000000000001, + "adjust_timeindex" : [ 0 ], + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_resource_model_choice" : 0, + "elec_eff_loss" : 1.9099999999999999, + "wind_resource_distribution" : [ [ 0 ] ], + "om_fixed" : [ 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wake_ext_loss" : 1.1000000000000001, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_load_loss" : 0.98999999999999999, + "adjust_en_periods" : 0, + "om_capacity" : [ 40 ], + "wind_turbine_hub_ht" : 80, + "number table entries" : 50 + }, + "grid" : { "ur_ts_sell_rate" : [ 0 ], + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ibi_sta_amount_deprbas_sta" : 0, - "ur_is_default" : 0, + "depr_itc_fed_sl_39" : 0, + "depr_bonus_fed_sl_15" : 0, + "depr_alloc_sl_20_percent" : 0, + "ibi_sta_amount_tax_fed" : 1, "ibi_uti_amount_deprbas_sta" : 0, "ibi_oth_percent_deprbas_fed" : 0, "ibi_sta_amount" : 0, - "show_construction_period" : 1, - "cp_capacity_payment_amount" : [ 0 ], - "ur_end_date" : "empty", + "ibi_sta_percent_maxvalue" : 0, + "cp_capacity_payment_type" : 0, "pbi_oth_for_ds" : 0, - "ur_billing_demand_minimum" : 0, - "depr_bonus_fed" : 0, - "lib_dispatch_factor7" : 1, - "mera_name1" : "Replacement Reserve 1", - "cbi_uti_deprbas_sta" : 0, - "depr_itc_fed_sl_39" : 0, - "chk_update_peaks" : 0, - "ur_voltage_max" : 0, - "ibi_sta_percent_tax_fed" : 1, - "ur_unused_is_shown" : 0, - "const_per_interest_rate1" : 6.5, - "pbi_uti_term" : 0, - "grid_curtailment_price" : [ 0 ], - "const_per_percent4" : 0, - "depr_bonus_fed_sl_5" : 0, - "ur_source" : "empty", + "equip3_reserve_freq" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], "ibi_fed_percent_deprbas_fed" : 0, - "depr_alloc_none" : 0, - "depr_bonus_sta_sl_5" : 0, - "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "ibi_oth_percent_tax_sta" : 1, + "ur_billing_demand_minimum" : 0, + "ur_annual_min_charge" : 0, "ibi_uti_percent_maxvalue" : 0, - "lib_dispatch_factor1" : 1, "depr_itc_fed_macrs_15" : 0, + "ibi_sta_percent_deprbas_fed" : 0, "ibi_fed_percent" : 0, - "ibi_oth_percent" : 0, - "pbi_fed_amount" : [ 0 ], - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ur_energy_attrs" : "", - "is_btm" : 0, - "cbi_fed_tax_fed" : 1, - "pbi_uti_tax_sta" : 1, - "ibi_oth_amount_tax_sta" : 1, - "lib_dispatch_factor4" : 1, - "depr_bonus_fed_sl_15" : 0, + "depr_bonus_sta_sl_5" : 0, + "depr_bonus_fed" : 0, + "ibi_sta_percent_tax_fed" : 1, + "pbi_uti_term" : 0, "cbi_oth_deprbas_sta" : 0, "depr_bonus_sta" : 0, "cbi_fed_amount" : 0, - "lib_dispatch_factor5" : 1, "depr_itc_sta_sl_15" : 0, "cbi_uti_amount" : 0, - "equip1_reserve_freq" : 15, - "pbi_fed_tax_sta" : 1, - "const_per_name1" : "Loan 1", - "const_per_interest_total" : 8455307.6947242673, - "ur_desc_is_shown" : 0, - "cbi_oth_maxvalue" : 0, - "const_per_total1" : 13658573.968400739, - "depr_itc_fed_sl_5" : 0, - "cbi_sta_maxvalue" : 0, - "ur_demand_min" : 0, - "cbi_sta_deprbas_sta" : 0, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], - "battery_system_capacity" : 57600.053208000005, + "pbi_fed_amount" : [ 0 ], + "ibi_oth_percent" : 0, "ibi_uti_amount_tax_fed" : 1, - "ibi_uti_percent_tax_sta" : 1, - "ur_demand_window" : 0, - "cp_capacity_payment_type" : 0, - "ibi_oth_amount_deprbas_sta" : 0, - "ibi_fed_amount" : 0, + "cbi_oth_maxvalue" : 0, "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ibi_fed_percent_tax_sta" : 1, - "ibi_oth_percent_deprbas_sta" : 0, - "depr_bonus_fed_sl_20" : 0, - "ur_en_ts_buy_rate" : 0, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "const_per_total2" : 0, - "const_per_percent1" : 100, + "ibi_fed_amount_deprbas_fed" : 0, + "depr_alloc_sl_5_percent" : 0, "itc_fed_percent" : [ 30 ], - "depr_itc_sta_macrs_5" : 0, - "depr_bonus_sta_sl_15" : 0, - "ibi_sta_amount_tax_fed" : 1, - "ibi_sta_percent_maxvalue" : 0, + "cbi_sta_amount" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "depr_alloc_macrs_5_percent" : 100, + "pbi_fed_tax_sta" : 1, + "cbi_sta_maxvalue" : 0, + "depr_itc_fed_sl_5" : 0, "depr_itc_fed_custom" : 0, - "pbi_oth_escal" : 0, - "ur_ec_is_shown" : 1, - "const_per_interest3" : 0, - "pv_total_installed_cost" : 116394500, - "ur_utility" : "empty", - "ur_annual_min_charge" : 0, "itc_sta_percent_deprbas_fed" : 0, - "ibi_oth_amount_tax_fed" : 1, + "cost_debt_fee" : 2.75, + "depr_bonus_sta_sl_15" : 0, + "depr_itc_sta_macrs_5" : 0, "depr_bonus_fed_sl_39" : 0, - "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "cbi_uti_deprbas_fed" : 0, "itc_fed_amount" : [ 0 ], "ur_nm_yearend_sell_rate" : 0, - "itc_fed_percent_deprbas_fed" : 1, - "depr_bonus_fed_macrs_5" : 1, - "equip1_reserve_cost" : 0.10000000000000001, - "depr_itc_sta_sl_5" : 0, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_uti_deprbas_fed" : 0, "ibi_sta_percent_deprbas_sta" : 0, + "depr_itc_sta_sl_5" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "cbi_uti_deprbas_sta" : 0, + "ibi_oth_percent_tax_sta" : 1, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], "itc_fed_amount_deprbas_fed" : 1, "ibi_oth_amount_deprbas_fed" : 0, - "ui_fuel_cell_capacity" : 0, "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "itc_sta_percent" : [ 0 ], - "pbi_sta_escal" : 0, + "depr_bonus_fed_macrs_5" : 1, + "itc_fed_percent_deprbas_fed" : 1, + "ibi_oth_amount_deprbas_sta" : 0, + "ibi_fed_amount" : 0, + "ibi_uti_percent_tax_sta" : 1, + "cbi_sta_deprbas_sta" : 0, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], + "equip1_reserve_freq" : 15, "rate_escalation" : [ 0 ], - "ibi_uti_percent_deprbas_fed" : 0, - "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "pbi_sta_tax_fed" : 1, - "ppa_escalation" : 1, - "wind_total_installed_cost" : 292032000, - "ur_monthly_fixed_charge" : 0, - "months_working_reserve" : 6, - "cp_battery_nameplate" : 0, - "ur_dc_is_shown" : 1, - "const_per_name3" : "Loan 3", - "batt_power_discharge_max_kwac" : 57600.053208000005, - "ibi_fed_percent_maxvalue" : 0, - "pbi_oth_tax_sta" : 1, - "depr_bonus_sta_macrs_5" : 0, - "ui_pv_cost" : 116394500, - "const_per_interest_rate5" : 0, - "depr_itc_fed_macrs_5" : 0, - "ur_demand_history" : 0, - "ac_nameplate" : 76923.100000000006, + "pbi_uti_tax_sta" : 1, + "ibi_oth_amount_tax_sta" : 1, + "depr_bonus_fed_sl_5" : 0, "equip3_reserve_cost" : 0, - "depr_alloc_macrs_5_percent" : 100, "inflation_rate" : 2.5, "debt_option" : 1, - "itc_sta_amount_deprbas_fed" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_fed_sl_20" : 0, + "dscr_limit_debt_fraction" : 0, + "cp_battery_nameplate" : 0, + "months_working_reserve" : 6, + "ppa_escalation" : 1, + "pbi_sta_tax_fed" : 1, + "ur_monthly_fixed_charge" : 0, "pbi_fed_term" : 0, - "ur_has_unused_items" : 0, - "ibi_fed_amount_tax_fed" : 1, - "mera_name2" : "Replacement Reserve 2", - "revenue_tod_is_shown" : 1, - "cbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_fed" : 0, "cp_system_nameplate" : 276.92309999999998, - "ur_enable_billing_demand" : 0, - "show_reserveaccounts" : 1, - "equip3_reserve_freq" : 0, - "ibi_sta_percent_deprbas_fed" : 0, - "const_per_interest_rate3" : 0, - "const_per_interest4" : 0, - "analysis_period_warning" : "", - "ibi_sta_amount_tax_sta" : 1, - "dispatch_data_filename" : "", - "ibi_oth_percent_maxvalue" : 0, + "cbi_uti_tax_sta" : 1, "depr_itc_fed_sl_15" : 0, "ptc_sta_term" : 10, "cost_other_financing" : 0, - "show_debtconstdscr" : 1, - "ur_energy_min" : 0, "cbi_fed_deprbas_fed" : 0, "enable_interconnection_limit" : 0, - "const_per_principal4" : 0, - "const_per_interest2" : 0, + "property_tax_rate" : 0, + "depr_itc_sta_sl_20" : 0, + "pbi_fed_escal" : 0, "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ibi_sta_amount_deprbas_fed" : 0, - "const_per_principal2" : 0, - "ur_billing_demand_is_shown" : 0, - "const_per_principal5" : 0, "loan_moratorium" : 0, - "const_per_upfront_rate3" : 0, - "ui_generic_cost" : 0, - "depr_itc_sta_sl_20" : 0, - "salvage_value" : 0, - "property_tax_rate" : 0, - "pbi_fed_escal" : 0, "cbi_sta_deprbas_fed" : 0, "ur_yearzero_usage_peaks" : [ 0 ], - "lib_dispatch_factor8" : 1, - "ibi_fed_amount_deprbas_fed" : 0, - "depr_alloc_sl_5_percent" : 0, - "const_per_upfront_rate5" : 0, + "pbi_oth_tax_fed" : 1, + "equip_reserve_depr_sta" : 0, "ibi_sta_percent_tax_sta" : 1, "ibi_oth_percent_tax_fed" : 1, - "const_per_months5" : 0, - "const_per_interest_rate2" : 0, + "ur_billing_demand_lookback_period" : 0, "pbi_uti_tax_fed" : 1, "pbi_oth_term" : 0, - "const_per_principal3" : 0, "dscr" : 1.3, - "ui_generic_capacity" : 0, - "ur_start_date" : "empty", - "lib_dispatch_factor3" : 1, - "dscr_reserve_months" : 6, "depr_itc_sta_macrs_15" : 0, - "ui_electricity_rate_option" : 1, - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "dscr_reserve_months" : 6, + "ibi_fed_amount_tax_fed" : 1, + "ibi_oth_amount" : 0, + "pbi_oth_tax_sta" : 1, + "depr_bonus_sta_macrs_5" : 0, "cbi_oth_amount" : 0, + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_enable_billing_demand" : 0, "ppa_multiplier_model" : 0, "depr_fedbas_method" : 1, "ibi_fed_amount_deprbas_sta" : 0, - "const_per_upfront_rate4" : 0, - "const_per_percent2" : 0, - "const_per_months1" : 6, "depr_alloc_macrs_15_percent" : 0, "ibi_uti_percent" : 0, - "ur_ts_buy_rate" : [ 0 ], + "depr_itc_fed_macrs_5" : 0, + "ibi_oth_amount_tax_fed" : 1, "ibi_fed_percent_deprbas_sta" : 0, - "ui_wind_cost" : 292032000, + "ur_ts_buy_rate" : [ 0 ], + "grid_curtailment_price_esc" : 0, + "ibi_uti_amount" : 0, + "ibi_fed_amount_tax_sta" : 1, + "pbi_oth_amount" : [ 0 ], + "ibi_fed_percent_tax_fed" : 1, "ptc_sta_amount" : [ 0 ], - "depr_itc_fed_sl_20" : 0, - "const_per_months3" : 0, - "ui_hyb_pv_capacity" : 76923.100000000006, - "ur_cr_sched" : [ [ 0 ] ], - "wind_system_capacity" : 200000, "cbi_oth_deprbas_fed" : 0, "salvage_percentage" : 0, - "const_per_upfront_rate1" : 1, + "pbi_uti_for_ds" : 0, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "depr_itc_fed_sl_20" : 0, "depr_alloc_sl_39_percent" : 0, "cbi_oth_tax_fed" : 1, "om_fixed" : 0, - "pbi_uti_for_ds" : 0, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "ui_system_nameplate_standalone" : 0, - "term_int_rate" : 4, - "depr_stabas_method" : 1, "depr_alloc_custom_percent" : 0, - "itc_sta_percent_deprbas_sta" : 0, + "depr_stabas_method" : 1, + "term_int_rate" : 4, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ur_en_ts_buy_rate" : 0, "cbi_fed_tax_sta" : 1, "payment_option" : 0, - "ur_uri" : "empty", - "insurance_rate" : 0, + "itc_sta_percent_deprbas_sta" : 0, "cbi_sta_tax_fed" : 1, + "insurance_rate" : 0, "federal_tax_rate" : [ 21 ], - "nominal_discount_rate" : 9.0600000000000023, "ibi_uti_percent_tax_fed" : 1, - "reserves_interest" : 1.25, - "hybrid_capital_cost" : 0, - "lib_dispatch_factor2" : 1, + "construction_financing_cost" : 13658573.968400739, "ur_nm_credit_rollover" : 0, + "reserves_interest" : 1.25, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], "ibi_sta_percent" : 0, - "ur_fixed_attrs" : "", - "cp_capacity_credit_percent" : [ 0 ], + "pbi_sta_for_ds" : 0, + "cbi_fed_deprbas_sta" : 0, "pbi_fed_tax_fed" : 1, + "cp_capacity_credit_percent" : [ 0 ], "depr_bonus_sta_custom" : 0, - "ptc_fed_term" : 10, "prop_tax_cost_assessed_percent" : 100, - "property_assessed_value" : 520326627.36764717, + "ptc_fed_term" : 10, + "ibi_uti_percent_deprbas_fed" : 0, + "ur_metering_option" : 4, + "ptc_fed_escal" : 0, + "cbi_sta_tax_sta" : 1, + "itc_fed_amount_deprbas_sta" : 1, + "batt_salvage_percentage" : 0, "ppa_price_input" : [ 0.050000000000000003 ], "ptc_sta_escal" : 0, - "ur_billing_demand_lookback_period" : 0, - "ur_name" : "", - "const_per_total3" : 0, "system_capacity" : 276923.09999999998, - "const_per_interest5" : 0, - "dscr_limit_debt_fraction" : 0, - "solution_mode_message" : "", - "ibi_fed_percent_tax_fed" : 1, - "ur_demand_reactive_power_charge" : 0, - "ibi_fed_amount_tax_sta" : 1, - "pbi_oth_amount" : [ 0 ], - "const_per_name2" : "Loan 2", - "ur_ratedata_filename" : "", - "show_capitalcostso" : 1, - "const_per_total4" : 0, - "cbi_fed_maxvalue" : 0, - "itc_sta_amount_deprbas_sta" : 0, - "cbi_sta_amount" : 0, - "const_per_name5" : "Loan 5", - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "ui_wacc" : 5.3872800000000014, - "depr_itc_sta_custom" : 0, - "cost_debt_fee" : 2.75, - "cbi_fed_deprbas_sta" : 0, - "pbi_sta_for_ds" : 0, - "tod_library" : "Uniform Dispatch", - "const_per_percent_total" : 100, - "const_per_percent3" : 0, - "ur_cr_tou_mat" : [ [ 0 ] ], - "depr_bonus_sta_sl_20" : 0, "ppa_soln_mode" : 1, + "depr_bonus_sta_sl_20" : 0, "itc_fed_percent_deprbas_sta" : 1, "prop_tax_assessed_decline" : 0, "flip_target_percent" : 11, - "ur_schedule_name" : "empty", "en_electricity_rates" : 1, - "const_per_principal1" : 520326627.36764717, - "ur_dc_enable" : 0, "dscr_maximum_debt_fraction" : 100, + "ur_dc_enable" : 0, "debt_percent" : 60, "pbi_sta_amount" : [ 0 ], - "depr_bonus_fed_custom" : 0, - "state_tax_rate" : [ 7 ], - "ur_voltage_category" : "", - "const_per_percent5" : 0, - "mera_name3" : "Replacement Reserve 3", + "cbi_fed_tax_fed" : 1, + "itc_sta_percent" : [ 0 ], + "pbi_sta_escal" : 0, "ibi_uti_amount_deprbas_fed" : 0, "analysis_period" : 25, - "ibi_oth_amount" : 0, - "ibi_uti_amount" : 0, - "grid_curtailment_price_esc" : 0, - "lib_dispatch_factor6" : 1, - "ur_description" : "", - "cost_debt_closing" : 0, - "ur_demand_max" : 0, - "cp_capacity_payment_esc" : 0, - "term_tenor" : 18, - "ur_energy_max" : 0, - "construction_financing_cost" : 13658573.968400739, - "depr_itc_sta_sl_39" : 0, - "ur_service_type" : "", - "ur_fuel_adjustments_monthly" : [ 0 ], - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "pbi_oth_escal" : 0, "ur_nm_credit_month" : 0, - "ur_voltage_min" : 0, - "ur_monthly_min_charge" : 0, - "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "depr_alloc_sl_20_percent" : 0, - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "const_per_principal_total" : 520326627.36764717, - "total_installed_cost" : 520326627.36764717, - "equip2_reserve_cost" : 0, - "lib_dispatch_factor9" : 1, - "equip_reserve_depr_sta" : 0, - "pbi_oth_tax_fed" : 1, - "const_per_upfront_rate2" : 0, + "ibi_uti_amount_tax_sta" : 1, + "real_discount_rate" : 6.4000000000000004, + "cbi_uti_maxvalue" : 0, "cbi_uti_tax_fed" : 1, - "const_per_interest_rate4" : 0, "om_production" : 0, - "batt_salvage_percentage" : 0, - "itc_fed_amount_deprbas_sta" : 1, - "ur_metering_option" : 4, - "ptc_fed_escal" : 0, - "cbi_sta_tax_sta" : 1, - "pbi_uti_escal" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "cp_capacity_payment_amount" : [ 0 ], + "depr_bonus_fed_macrs_15" : 0, + "pbi_uti_amount" : [ 0 ], + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "ur_en_ts_sell_rate" : 0, "flip_target_year" : 20, - "const_per_total5" : 0, - "mera_cost3" : 0, - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "pbi_uti_escal" : 0, + "depr_itc_sta_custom" : 0, + "cbi_fed_maxvalue" : 0, + "itc_sta_amount_deprbas_sta" : 0, "ptc_fed_amount" : [ 0 ], - "ur_energy_history" : 0, + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], "ibi_uti_percent_deprbas_sta" : 0, - "depr_bonus_sta_macrs_15" : 0, - "ui_fuel_cell_cost" : 0, - "ui_battery_cost" : 111900127.3676472, - "const_per_name4" : "Loan 4", - "batt_replacement_option" : 1, - "pbi_uti_amount" : [ 0 ], - "depr_bonus_fed_macrs_15" : 0, - "cbi_uti_maxvalue" : 0, - "revenue_capacity_payments_is_shown" : 0, - "sales_tax_rate" : 5, - "const_per_interest1" : 8455307.6947242673, - "mera_cost1" : 27692309.999999996, - "equip2_reserve_freq" : 0, - "const_per_months2" : 0, - "ibi_uti_amount_tax_sta" : 1, - "real_discount_rate" : 6.4000000000000004, - "revenue_curtailment_is_shown" : 0, + "depr_itc_sta_sl_39" : 0, + "ibi_fed_percent_tax_sta" : 1, + "grid_interconnection_limit_kwac" : 20000, + "depr_alloc_sl_15_percent" : 0, "cbi_oth_tax_sta" : 1, "itc_sta_amount" : [ 0 ], - "const_per_months4" : 0, - "ur_en_ts_sell_rate" : 0, - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "equip_reserve_depr_fed" : 0, - "ui_battery_capacity" : 57600.053208000005, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_replacement_option" : 1, "depr_custom_schedule" : [ 0 ], - "pbi_sta_tax_sta" : 1, - "battery_total_installed_cost" : 111900127.3676472, - "depr_alloc_sl_15_percent" : 0, - "batt_salvage_value" : 0, - "om_capacity" : 0, - "months_receivables_reserve" : 0, - "ur_phase_wiring" : "", "pbi_sta_term" : 0, "pbi_fed_for_ds" : 0, - "mera_cost2" : 0, + "months_receivables_reserve" : 0, + "ibi_fed_percent_maxvalue" : 0, + "grid_curtailment_price" : [ 0 ], + "cost_debt_closing" : 0, + "cp_capacity_payment_esc" : 0, + "term_tenor" : 18, + "om_capacity" : 0, + "total_installed_cost" : 520326627.36764717, + "equip2_reserve_cost" : 0, + "depr_bonus_fed_custom" : 0, + "state_tax_rate" : [ 7 ], + "depr_bonus_sta_macrs_15" : 0, + "ibi_sta_amount_tax_sta" : 1, + "ibi_oth_percent_maxvalue" : 0, + "pbi_sta_tax_sta" : 1, "depr_bonus_sta_sl_39" : 0, - "debt_message" : "", - "grid_interconnection_limit_kwac" : 20000, - "number table entries" : 377 - }, - "windpower" : { - "ops_env_loss" : 1, - "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "avail_grid_loss" : 1.5, - "wind_turbine_rotor_diameter" : 100, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "adjust_en_periods" : 0, - "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "elec_parasitic_loss" : 0.10000000000000001, - "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 292032000, - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "adjust_timeindex" : [ 0 ], - "wind_resource_shear" : 0.14000000000000001, - "system_capacity" : 200000, - "env_degrad_loss" : 1.8, - "wake_ext_loss" : 1.1000000000000001, - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "om_capacity" : [ 40 ], - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, - "om_production_escal" : 0, - "wind_turbine_hub_ht" : 80, - "wind_resource_model_choice" : 0, - "om_fixed" : [ 0 ], - "wind_resource_distribution" : [ [ 0 ] ], - "elec_eff_loss" : 1.9099999999999999, - "ops_load_loss" : 0.98999999999999999, - "number table entries" : 50 + "number table entries" : 242 }, "battery" : { - "batt_dispatch_auto_can_charge" : 1, - "ppa_multiplier_model" : 0, - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "batt_vnom" : 3.3999999999999999, + "batt_cycle_cost_choice" : 0, "batt_dispatch_pvs_timestep_multiplier" : 3, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_loss_choice" : 0, "batt_current_choice" : 1, - "batt_qexp" : 0.040000050000000002, "batt_dispatch_update_frequency_hours" : 1, "batt_look_ahead_hours" : 18, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "batt_qnom" : 2.0000002500000003, - "batt_dispatch_auto_can_clipcharge" : 0, - "batt_surface_area" : 18000.016627500001, - "batt_cycle_cost_choice" : 0, - "total_installed_cost" : 111900127.3676472, - "batt_dispatch_wf_forecast_choice" : 0, + "batt_initial_SOC" : 50, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_Vnom_default" : 3.6000000000000001, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_dispatch_auto_can_charge" : 1, + "analysis_period" : 25, "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], - "leadacid_qn_computed" : 287770.04999999999, - "batt_qfull_flow" : 479616.75, "batt_calendar_q0" : 1.02, - "batt_dispatch_pvs_forecast_shift_periods" : 3, - "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_minimum_soc" : 10, + "om_batt_replacement_cost" : [ 323 ], + "batt_Qfull_flow" : 479616.75, "om_fixed_escal" : 0, + "batt_dispatch_pvs_soc_rest" : 50, + "LeadAcid_q20_computed" : 479616.75, "om_production_escal" : 0, "batt_calendar_choice" : 1, + "batt_replacement_capacity" : 50, + "batt_Cp" : 1500, "batt_mass" : 3428574.595714286, "batt_calendar_b" : -7280, - "batt_chem" : 1, - "batt_dispatch_pvs_soc_rest" : 50, - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_power_charge_max_kwac" : 62500.057734375012, "batt_dispatch_pvs_ki" : 1.8, "dispatch_manual_system_charge_first" : 0, "batt_replacement_schedule_percent" : [ 0 ], - "batt_vexp" : 4.0499999999999998, - "analysis_period" : 25, - "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_pvs_short_forecast_enable" : 0, + "batt_computed_bank_capacity" : 240000.22170000002, + "om_batt_nameplate" : 240000.22170000002, "batt_replacement_option" : 1, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_cp" : 1500, - "batt_replacement_capacity" : 50, - "leadacid_tn" : 1, - "batt_power_charge_max_kwac" : 62500.057734375012, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "batt_Vexp" : 4.0499999999999998, + "batt_ac_or_dc" : 1, + "batt_losses" : [ 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_wf_forecast_choice" : 0, + "total_installed_cost" : 111900127.3676472, "batt_dc_ac_efficiency" : 96, "batt_resistance" : 0.002, - "batt_room_temperature_celsius" : [ 25 ], - "batt_power_discharge_max_kwac" : 57600.053208000005, + "grid_interconnection_limit_kwac" : 20000, + "LeadAcid_qn_computed" : 287770.04999999999, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "add_om_num_types" : 1, "om_replacement_cost_escal" : 0, - "batt_ac_dc_efficiency" : 96, "batt_minimum_modetime" : 10, - "inflation_rate" : 2.5, + "batt_ac_dc_efficiency" : 96, + "batt_power_discharge_max_kwac" : 57600.053208000005, + "batt_room_temperature_celsius" : [ 25 ], + "batt_Vcut" : 2.706, + "batt_loss_choice" : 0, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_dispatch_pvs_ac_lb_enable" : 0, + "batt_computed_strings" : 213163, + "batt_calendar_c" : 939, + "batt_surface_area" : 18000.016627500001, + "om_batt_variable_cost" : [ 0 ], + "batt_Qfull" : 2.25, "om_batt_fixed_cost" : [ 0 ], "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_life_model" : 0, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], - "om_capacity_escal" : 0, - "batt_dispatch_pvs_ac_lb_enable" : 0, - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "grid_interconnection_limit_kwac" : 20000, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "add_om_num_types" : 1, - "batt_custom_dispatch" : [ 0 ], - "batt_current_charge_max" : 119904.1875, - "batt_dispatch_pvs_kp" : 1.2, - "batt_calendar_c" : 939, - "batt_computed_strings" : 213163, - "ppa_price_input" : [ 0.050000000000000003 ], - "batt_maximum_soc" : 95, - "batt_dispatch_pvs_max_ramp" : 10, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "inflation_rate" : 2.5, "batt_voltage_choice" : 0, - "batt_c_rate" : 0.20000000000000001, "batt_dispatch_pvs_kf" : 0.29999999999999999, - "batt_current_discharge_max" : 119904.1875, - "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_dc_dc_efficiency" : 99, - "om_batt_replacement_cost" : [ 323 ], - "om_batt_variable_cost" : [ 0 ], + "batt_pv_ac_forecast" : [ 0 ], + "LeadAcid_tn" : 1, + "batt_meter_position" : 1, + "batt_Qexp" : 0.040000050000000002, + "batt_dispatch_choice" : 3, "batt_inverter_efficiency_cutoff" : 90, - "batt_vfull" : 4.0999999999999996, - "batt_computed_series" : 139, + "batt_dc_dc_efficiency" : 99, + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_current_discharge_max" : 119904.1875, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_dispatch_pvs_ac_ub_enable" : 0, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_max_ramp" : 10, + "om_capacity_escal" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_life_model" : 0, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "ppa_multiplier_model" : 0, + "batt_chem" : 1, + "batt_calendar_a" : 0.00266, + "batt_minimum_SOC" : 10, + "batt_C_rate" : 0.20000000000000001, + "batt_Vfull" : 4.0999999999999996, "ppa_escalation" : 1, - "batt_dispatch_choice" : 3, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "om_batt_nameplate" : 240000.22170000002, - "batt_computed_bank_capacity" : 240000.22170000002, - "batt_power_charge_max_kwdc" : 60000.055425000006, - "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], - "batt_cycle_cost" : [ 0 ], - "batt_vcut" : 2.706, + "LeadAcid_q10_computed" : 446043.57750000001, + "batt_Qnom" : 2.0000002500000003, + "batt_dispatch_pvs_curtail_if_violation" : 0, + "batt_dispatch_pvs_nameplate_ac" : 20000, + "batt_maximum_SOC" : 95, + "batt_Vnom" : 3.3999999999999999, "om_batt_capacity_cost" : [ 5.2999999999999998 ], "batt_power_discharge_max_kwdc" : 60000.055425000006, - "batt_calendar_a" : 0.00266, - "batt_qfull" : 2.25, - "batt_vnom_default" : 3.6000000000000001, - "batt_losses" : [ 0 ], - "batt_ac_or_dc" : 1, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_h_to_ambient" : 100, + "dispatch_manual_percent_gridcharge" : [ 0 ], + "batt_dispatch_pvs_kp" : 1.2, + "ppa_price_input" : [ 0.050000000000000003 ], + "batt_computed_series" : 139, + "batt_cycle_cost" : [ 0 ], + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], "batt_dispatch_pvs_ac_ub" : 1.05, - "batt_initial_soc" : 50, - "batt_meter_position" : 1, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_power_charge_max_kwdc" : 60000.055425000006, "en_standalone_batt" : 0, "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_h_to_ambient" : 100, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_dispatch_pvs_ac_ub_enable" : 0, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "leadacid_q20_computed" : 479616.75, - "batt_dispatch_pvs_short_forecast_enable" : 0, - "leadacid_q10_computed" : 446043.57750000001, - "batt_dispatch_pvs_curtail_if_violation" : 0, - "batt_dispatch_pvs_nameplate_ac" : 20000, + "batt_current_charge_max" : 119904.1875, + "batt_custom_dispatch" : [ 0 ], "number table entries" : 118 }, "number table entries" : 5 From e64326bd8d56a343abf737ac7669a9c194b1e9b0 Mon Sep 17 00:00:00 2001 From: Darice Date: Mon, 4 Mar 2024 10:15:58 -0700 Subject: [PATCH 70/79] update cmod_hybrid_Test input jsons --- ... FuelCell Battery Hybrid_Single Owner.json | 188 +++--- ...ts Wind Battery Hybrid_Host Developer.json | 551 ++++++++---------- ...atts Wind Battery Hybrid_Single Owner.json | 114 ++-- 3 files changed, 404 insertions(+), 449 deletions(-) diff --git a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json index f5e082ef9..96f35752c 100644 --- a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json @@ -149,52 +149,58 @@ "batt_custom_dispatch" : [ 0 ], "number table entries" : 118 }, - "pvwattsv8" : { - "shading_mxh" : [ [ 0 ] ], - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "gcr" : 0.29999999999999999, - "om_land_lease_escal" : 0, + "windpower" : { + "ops_env_loss" : 1, + "avail_grid_loss" : 1.5, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "wind_turbine_rotor_diameter" : 100, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, "adjust_constant" : 0, - "total_installed_cost" : 116394500, - "om_capacity_escal" : 0, - "dc_ac_ratio" : 1.3, - "adjust_en_timeindex" : 0, - "adjust_en_periods" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], "om_production" : [ 0 ], - "om_land_lease" : [ 0 ], - "tilt" : 0, - "om_production_escal" : 0, + "turb_hysteresis_loss" : 0.40000000000000002, + "env_env_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "elec_parasitic_loss" : 0.10000000000000001, + "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 292032100, + "env_exposure_loss" : 0, "om_fixed_escal" : 0, - "batt_simple_enable" : 0, - "analysis_period" : 25, - "module_type" : 0, - "azimuth" : 180, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "use_wf_albedo" : 1, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "shading_en_mxh" : 0, - "system_capacity" : 100000, - "shading_timestep" : [ [ 0 ] ], - "system_use_lifetime_output" : 0, - "en_snowloss" : 0, - "losses" : 14.075660688264469, - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "inv_eff" : 96, - "shading_string_option" : 0, - "array_type" : 2, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_capacity" : [ 15 ], - "land_area" : 433.51782985136145, - "shading_azal" : [ [ 0 ] ], - "bifaciality" : 0, - "shading_en_timestep" : 0, - "shading_en_diff" : 0, - "shading_en_azal" : 0, - "shading_diff" : 0, - "shading_en_string_option" : 0, - "degradation" : [ 0.5 ], + "weibull_reference_height" : 50, + "om_production_escal" : 0, + "system_capacity" : 200000, + "env_degrad_loss" : 1.8, + "wind_resource_shear" : 0.14000000000000001, + "adjust_timeindex" : [ 0 ], + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_resource_model_choice" : 0, + "elec_eff_loss" : 1.9099999999999999, + "wind_resource_distribution" : [ [ 0 ] ], "om_fixed" : [ 0 ], - "number table entries" : 44 + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wake_ext_loss" : 1.1000000000000001, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_load_loss" : 0.98999999999999999, + "adjust_en_periods" : 0, + "om_capacity" : [ 40 ], + "wind_turbine_hub_ht" : 80, + "number table entries" : 50 }, "fuelcell" : { "add_om_num_types" : 2, @@ -242,60 +248,54 @@ "fuelcell_lhv" : 983, "number table entries" : 43 }, - "windpower" : { - "ops_env_loss" : 1, - "avail_grid_loss" : 1.5, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "wind_turbine_rotor_diameter" : 100, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, + "pvwattsv8" : { + "shading_mxh" : [ [ 0 ] ], + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "gcr" : 0.29999999999999999, + "om_land_lease_escal" : 0, "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "elec_parasitic_loss" : 0.10000000000000001, - "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "total_installed_cost" : 116394500, "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 292032100, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, - "om_production_escal" : 0, - "system_capacity" : 200000, - "env_degrad_loss" : 1.8, - "wind_resource_shear" : 0.14000000000000001, - "adjust_timeindex" : [ 0 ], - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_resource_model_choice" : 0, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource_distribution" : [ [ 0 ] ], - "om_fixed" : [ 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wake_ext_loss" : 1.1000000000000001, - "ops_strategies_loss" : 0, + "dc_ac_ratio" : 1.3, "adjust_en_timeindex" : 0, - "ops_load_loss" : 0.98999999999999999, "adjust_en_periods" : 0, - "om_capacity" : [ 40 ], - "wind_turbine_hub_ht" : 80, - "number table entries" : 50 + "om_production" : [ 0 ], + "om_land_lease" : [ 0 ], + "tilt" : 0, + "om_production_escal" : 0, + "om_fixed_escal" : 0, + "batt_simple_enable" : 0, + "analysis_period" : 25, + "module_type" : 0, + "azimuth" : 180, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "use_wf_albedo" : 1, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "shading_en_mxh" : 0, + "system_capacity" : 100000, + "shading_timestep" : [ [ 0 ] ], + "system_use_lifetime_output" : 0, + "en_snowloss" : 0, + "losses" : 14.075660688264469, + "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "inv_eff" : 96, + "shading_string_option" : 0, + "array_type" : 2, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_capacity" : [ 15 ], + "land_area" : 433.51782985136145, + "shading_azal" : [ [ 0 ] ], + "bifaciality" : 0, + "shading_en_timestep" : 0, + "shading_en_diff" : 0, + "shading_en_azal" : 0, + "shading_diff" : 0, + "shading_en_string_option" : 0, + "degradation" : [ 0.5 ], + "om_fixed" : [ 0 ], + "number table entries" : 44 }, - "grid" : { + "hybrid" : { "pbi_oth_escal" : 0, "depr_itc_fed_custom" : 0, "ibi_sta_amount_deprbas_sta" : 0, @@ -438,7 +438,7 @@ "depr_itc_fed_sl_20" : 0, "depr_alloc_sl_39_percent" : 0, "cbi_oth_tax_fed" : 1, - "om_fixed" : 0, + "om_fixed" : [ 0 ], "depr_alloc_custom_percent" : 0, "depr_stabas_method" : 1, "term_int_rate" : 7, @@ -497,7 +497,7 @@ "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "cbi_uti_maxvalue" : 0, "cbi_uti_tax_fed" : 1, - "om_production" : 0, + "om_production" : [ 0 ], "equip_reserve_depr_fed" : 0, "equip2_reserve_freq" : 0, "depr_itc_sta_macrs_5" : 0, @@ -528,7 +528,7 @@ "cost_debt_closing" : 0, "cp_capacity_payment_esc" : 0, "term_tenor" : 18, - "om_capacity" : 0, + "om_capacity" : [ 0 ], "total_installed_cost" : 1638428611.5915861, "equip2_reserve_cost" : 0, "depr_bonus_fed_custom" : 0, diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json index fff9536f0..3ef6c035d 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json @@ -1,6 +1,6 @@ { "input" : { - "compute_modules" : ["pvwattsv8","windpower","fuelcell","battery","grid","utilityrate5","host_developer"], + "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","host_developer"], "pvwattsv8" : { "shading_mxh" : [ [ 0 ] ], "om_production_escal" : 0, @@ -45,290 +45,78 @@ "om_fixed" : [ 0 ], "number table entries" : 41 }, - "windpower" : { - "ops_env_loss" : 1, - "avail_grid_loss" : 1.5, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "wind_turbine_rotor_diameter" : 21, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, - "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], - "elec_parasitic_loss" : 0.10000000000000001, - "wind_farm_yCoordinates" : [ 0 ], - "wind_farm_xCoordinates" : [ 0 ], - "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 464400, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, - "om_production_escal" : 0, - "system_capacity" : 100, - "env_degrad_loss" : 1.8, - "wind_resource_shear" : 0.14000000000000001, - "adjust_timeindex" : [ 0 ], - "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], - "wind_resource_model_choice" : 0, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource_distribution" : [ [ 0 ] ], - "om_fixed" : [ 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wake_ext_loss" : 1.1000000000000001, - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "ops_load_loss" : 0.98999999999999999, - "adjust_en_periods" : 0, - "om_capacity" : [ 35 ], - "wind_turbine_hub_ht" : 80, - "number table entries" : 50 - }, - "fuelcell" : { - "add_om_num_types" : 2, - "fuelcell_availability_schedule" : [ [ 0, 0 ] ], - "om_fuelcell_variable_cost" : [ 0 ], - "om_fuel_cost" : [ 10.369999999999999 ], - "fuelcell_replacement_option" : 0, - "dispatch_manual_units_fc_discharge" : [ 0 ], - "fuelcell_operation_options" : 1, - "fuelcell_unit_min_power" : 60, - "dispatch_manual_fuelcell_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "om_fuelcell_replacement_cost" : [ 0 ], - "fuelcell_number_of_units" : 1, - "om_fuel_cost_escal" : 0, - "fuelcell_degradation_restart" : 1, - "om_fuelcell_fixed_cost" : [ 0 ], - "fuelcell_type" : 2, - "fuelcell_replacement_percent" : 50, - "fuelcell_efficiency" : [ [ 0, 3, 50 ], [ 16.100000000000001, 21, 50 ], [ 25.5, 25.199999999999999, 50 ], [ 34.799999999999997, 31.5, 50 ], [ 44.100000000000001, 37.299999999999997, 50 ], [ 53.399999999999999, 42.600000000000001, 50 ], [ 62.700000000000003, 47.399999999999999, 49 ], [ 72, 49.899999999999999, 48 ], [ 81.400000000000006, 52, 47 ], [ 90.700000000000003, 51.799999999999997, 46 ], [ 100, 50.700000000000003, 45 ] ], - "dispatch_manual_fuelcell_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "fuelcell_dispatch_choice" : 0, - "fuelcell_dispatch" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "fuelcell_degradation" : 0.0045662100456621002, - "fuelcell_dynamic_response_down" : 500, - "total_installed_cost" : 2100000, - "om_capacity_escal" : 0, - "fuelcell_dynamic_response_up" : 500, - "om_production_escal" : 0, - "om_fixed_escal" : 0, - "dispatch_manual_fuelcelldischarge" : [ 0, 0, 0, 0, 0, 0 ], - "om_replacement_cost_escal" : 0, - "fuelcell_fuel_type" : 0, - "om_fuelcell_capacity_cost" : [ 27 ], - "fuelcell_is_started" : 0, - "fuelcell_shutdown_time" : 24, - "fuelcell_startup_time" : 24, - "fuelcell_replacement_schedule" : [ 0 ], - "dispatch_manual_percent_fc_discharge" : [ 0 ], - "fuelcell_efficiency_choice" : 0, - "fuelcell_unit_max_power" : 200, - "analysis_period" : 25, - "fuelcell_fixed_pct" : 95, - "fuelcell_fuel_available" : 10000000000, - "dispatch_manual_fuelcellcharge" : [ 0, 0, 0, 0, 0, 0 ], - "fuelcell_lhv" : 983, - "number table entries" : 43 - }, - "battery" : { - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "batt_Qfull_flow" : 2398.5, - "om_batt_fixed_cost" : [ 0 ], - "inflation_rate" : 2.5, - "batt_dispatch_auto_can_charge" : 1, - "batt_load_ac_forecast_escalation" : [ 0 ], - "om_fixed_escal" : 0, - "om_production_escal" : 0, - "batt_calendar_choice" : 1, - "total_installed_cost" : 890575.53609214595, - "batt_dispatch_wf_forecast_choice" : 0, - "ppa_price_input" : [ 0.153 ], - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_auto_can_clipcharge" : 0, - "analysis_period" : 25, - "dispatch_manual_system_charge_first" : 0, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "batt_Vexp" : 4.0499999999999998, - "batt_ac_or_dc" : 1, - "batt_losses" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_custom_dispatch" : [ 0 ], - "batt_target_choice" : 0, - "batt_current_charge_max" : 575.6400000000001, - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_Vnom_default" : 3.6000000000000001, - "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "batt_power_discharge_max_kwdc" : 312.55453125000008, - "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, - "batt_replacement_option" : 1, - "batt_C_rate" : 0.20000000000000001, - "batt_minimum_SOC" : 15, - "batt_calendar_a" : 0.00266, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "en_batt" : 1, - "batt_load_ac_forecast" : [ 0 ], - "batt_replacement_schedule_percent" : [ 0 ], - "batt_dispatch_choice" : 0, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "add_om_num_types" : 1, - "LeadAcid_qn_computed" : 1439.0999999999999, - "batt_surface_area" : 90.015705000000011, - "batt_calendar_q0" : 1.02, - "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], - "batt_replacement_capacity" : 50, - "batt_Cp" : 1500, - "batt_computed_bank_capacity" : 1200.2094000000002, - "om_batt_nameplate" : 1200.2094000000002, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_power_charge_max_kwdc" : 288.05025600000005, - "batt_calendar_b" : -7280, - "batt_room_temperature_celsius" : [ 25 ], - "batt_power_discharge_max_kwac" : 300.05235000000005, - "batt_Qnom" : 2.0000002500000003, - "batt_current_choice" : 1, - "batt_loss_choice" : 0, - "batt_Vcut" : 2.706, - "om_replacement_cost_escal" : 0, - "batt_ac_dc_efficiency" : 96, - "batt_minimum_modetime" : 10, - "om_batt_variable_cost" : [ 0 ], - "batt_Qfull" : 2.25, - "batt_target_power" : [ 0 ], - "om_batt_replacement_cost" : [ 0 ], - "batt_power_charge_max_kwac" : 300.05235000000005, - "ppa_escalation" : 1, - "batt_Vfull" : 4.0999999999999996, - "LeadAcid_q10_computed" : 2230.605, - "batt_current_discharge_max" : 624.60937500000011, - "batt_dc_dc_efficiency" : 99, - "om_capacity_escal" : 0, - "batt_life_model" : 0, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_pv_clipping_forecast" : [ 0 ], - "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], - "ppa_multiplier_model" : 0, - "batt_chem" : 1, - "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_inverter_efficiency_cutoff" : 90, - "batt_pv_ac_forecast" : [ 0 ], - "LeadAcid_tn" : 1, - "batt_dispatch_charge_only_system_exceeds_load" : 1, - "LeadAcid_q20_computed" : 2398.5, - "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_h_to_ambient" : 7.5, - "dispatch_manual_percent_gridcharge" : [ 100, 0 ], - "batt_voltage_choice" : 0, - "batt_target_power_monthly" : [ 0 ], - "batt_cycle_cost_choice" : 0, - "batt_computed_strings" : 1066, - "batt_calendar_c" : 939, - "batt_Qexp" : 0.040000050000000002, - "batt_meter_position" : 0, - "en_wave_batt" : 0, - "batt_dispatch_discharge_only_load_exceeds_system" : 1, - "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], - "batt_cycle_cost" : [ 0 ], - "batt_dispatch_load_forecast_choice" : 0, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_initial_SOC" : 50, - "load_escalation" : [ 0 ], - "batt_mass" : 17145.848571428574, - "batt_maximum_SOC" : 95, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], - "batt_computed_series" : 139, - "batt_resistance" : 0.002, - "batt_dc_ac_efficiency" : 96, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "om_batt_capacity_cost" : [ 6.2800000000000002 ], - "batt_Vnom" : 3.3999999999999999, - "en_standalone_batt" : 0, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "number table entries" : 113 - }, - "grid" : { + "hybrid" : { + "pbi_fed_amount" : [ 0 ], "cbi_oth_deprbas_sta" : 0, + "loan_moratorium" : 0, + "ibi_sta_amount_deprbas_fed" : 0, + "ur_ec_sched_weekend" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ] ], + "cost_debt_fee" : 2.75, + "itc_sta_percent_deprbas_fed" : 0, + "ibi_uti_amount_deprbas_sta" : 0, + "ibi_sta_percent_maxvalue" : 0, + "ibi_fed_percent_deprbas_fed" : 0, + "depr_alloc_sl_20_percent" : 0, + "depr_bonus_fed_sl_15" : 0, "ppa_multiplier_model" : 0, "ur_enable_billing_demand" : 0, "depr_itc_fed_custom" : 0, "pbi_oth_escal" : 0, - "depr_alloc_sl_20_percent" : 0, - "depr_bonus_fed_sl_15" : 0, - "ibi_fed_percent_deprbas_fed" : 0, - "om_capacity" : 0, - "cbi_sta_tax_fed" : 1, + "cbi_fed_tax_fed" : 1, + "itc_fed_amount_deprbas_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "debt_option" : 1, + "inflation_rate" : 2.5, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_ts_sell_rate" : [ 0 ], + "ibi_uti_amount" : 0, "ur_billing_demand_minimum" : 0, "depr_alloc_macrs_15_percent" : 0, - "cost_debt_fee" : 2.75, - "itc_sta_percent_deprbas_fed" : 0, - "system_capacity" : 769.56500000000005, - "ibi_sta_percent_tax_fed" : 1, - "cbi_fed_amount" : 0, - "depr_bonus_sta" : 0, - "ibi_oth_amount_deprbas_fed" : 0, - "itc_fed_amount_deprbas_fed" : 1, - "cbi_fed_tax_fed" : 1, - "depr_bonus_sta_macrs_15" : 0, - "ibi_oth_amount_tax_fed" : 1, - "depr_itc_fed_macrs_5" : 0, "ibi_uti_percent_tax_fed" : 1, + "ibi_fed_amount_deprbas_sta" : 0, + "depr_fedbas_method" : 1, "equip3_reserve_freq" : 0, + "depr_bonus_sta_macrs_15" : 0, "equip3_reserve_cost" : 0, - "ibi_uti_amount_deprbas_sta" : 0, - "ur_billing_demand_lookback_period" : 0, + "om_capacity" : [ 0 ], + "cbi_sta_tax_fed" : 1, "ibi_oth_percent_tax_fed" : 1, - "ibi_sta_percent_maxvalue" : 0, + "ur_billing_demand_lookback_period" : 0, + "system_capacity" : 569.56500000000005, + "ibi_sta_percent_tax_fed" : 1, + "cbi_fed_amount" : 0, + "depr_bonus_sta" : 0, "ibi_uti_percent_maxvalue" : 0, "depr_itc_sta_sl_39" : 0, - "ur_ts_buy_rate" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "ibi_fed_percent_deprbas_sta" : 0, - "total_installed_cost" : 5293747.8721842924, - "equip2_reserve_cost" : 0, - "loan_moratorium" : 0, - "debt_option" : 1, - "inflation_rate" : 2.5, - "ppa_soln_mode" : 0, + "ur_ts_buy_rate" : [ 0 ], "depr_bonus_sta_sl_20" : 0, + "ppa_soln_mode" : 1, "prop_tax_assessed_decline" : 0, "itc_fed_percent_deprbas_sta" : 1, "flip_target_percent" : 11, + "ur_ec_sched_weekday" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ] ], + "cbi_oth_amount" : 0, "cbi_uti_amount" : 0, "depr_itc_sta_sl_15" : 0, + "ptc_fed_escal" : 0, + "ur_metering_option" : 0, "crit_load" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "ibi_sta_amount" : 0, "ibi_oth_percent_deprbas_fed" : 0, "load_escalation" : [ 0 ], "depr_itc_fed_sl_39" : 0, "cbi_uti_tax_fed" : 1, - "om_production" : 0, + "om_production" : [ 0 ], "depr_itc_fed_macrs_15" : 0, "depr_bonus_fed_sl_39" : 0, "ptc_fed_amount" : [ 0 ], - "dispatch_factors_ts" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "ptc_fed_escal" : 0, - "ur_metering_option" : 0, + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], "equip_reserve_depr_fed" : 0, "equip2_reserve_freq" : 0, "depr_bonus_fed_sl_5" : 0, "pbi_uti_tax_sta" : 1, "ibi_oth_amount_tax_sta" : 1, - "cbi_oth_deprbas_fed" : 0, - "salvage_percentage" : 0, - "ptc_sta_amount" : [ 0 ], "depr_bonus_sta_sl_5" : 0, "ibi_fed_percent_tax_sta" : 1, "itc_sta_amount_deprbas_sta" : 0, @@ -341,21 +129,22 @@ "enable_interconnection_limit" : 0, "cbi_fed_deprbas_fed" : 0, "rate_escalation" : [ 0 ], + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ibi_oth_percent_tax_sta" : 1, "itc_sta_amount" : [ 0 ], "cbi_oth_tax_sta" : 1, "ibi_fed_percent_maxvalue" : 0, "pbi_sta_term" : 0, "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "ur_dc_sched_weekday" : [ [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ] ], - "ur_ts_sell_rate" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "ibi_uti_amount" : 0, "ibi_fed_amount_deprbas_fed" : 0, "depr_alloc_sl_5_percent" : 0, - "ibi_uti_percent_tax_sta" : 1, "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ], [ 2, 1, 9.9999999999999998e+37, 0, 0.074999999999999997, 0 ], [ 3, 1, 9.9999999999999998e+37, 0, 0.059999999999999998, 0 ], [ 4, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ] ], "cbi_sta_deprbas_sta" : 0, + "ibi_uti_percent_tax_sta" : 1, "ibi_oth_amount_deprbas_sta" : 0, "ibi_fed_amount" : 0, + "ibi_oth_amount_tax_fed" : 1, + "depr_itc_fed_macrs_5" : 0, "cbi_uti_tax_sta" : 1, "depr_bonus_sta_macrs_5" : 0, "pbi_oth_tax_sta" : 1, @@ -378,24 +167,22 @@ "flip_target_year" : 20, "pbi_oth_term" : 0, "pbi_uti_tax_fed" : 1, - "dscr" : 1.3, "pbi_fed_tax_fed" : 1, "depr_bonus_sta_custom" : 0, "ur_annual_min_charge" : 0, - "ptc_fed_term" : 0, + "ptc_fed_term" : 10, "prop_tax_cost_assessed_percent" : 100, - "pbi_fed_escal" : 0, - "depr_itc_sta_sl_20" : 0, - "property_tax_rate" : 1, "depr_bonus_fed_macrs_5" : 1, "itc_fed_percent_deprbas_fed" : 1, - "ur_dc_tou_mat" : [ [ 1, 1, 100, 20 ], [ 1, 2, 9.9999999999999998e+37, 15 ], [ 2, 1, 100, 10 ], [ 2, 2, 9.9999999999999998e+37, 5 ] ], + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "cbi_sta_deprbas_fed" : 0, + "ur_yearzero_usage_peaks" : [ 0 ], "itc_sta_percent_deprbas_sta" : 0, "cbi_fed_tax_sta" : 1, "payment_option" : 0, "pbi_fed_tax_sta" : 1, "ur_monthly_min_charge" : 0, - "ur_dc_sched_weekend" : [ [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ] ], + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "depr_alloc_macrs_5_percent" : 100, "depr_itc_sta_macrs_5" : 0, "depr_bonus_sta_sl_15" : 0, @@ -411,21 +198,12 @@ "pbi_fed_term" : 0, "itc_sta_amount_deprbas_fed" : 0, "ur_nm_credit_rollover" : 0, - "reserves_interest" : 1.25, - "construction_financing_cost" : 138960.88164483767, + "reserves_interest" : 1.75, + "construction_financing_cost" : 47365.635414037504, "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], - "pbi_fed_amount" : [ 0 ], "depr_alloc_sl_39_percent" : 0, "cbi_oth_tax_fed" : 1, - "om_fixed" : 0, - "ibi_oth_percent_tax_sta" : 1, - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "cbi_sta_deprbas_fed" : 0, - "ur_yearzero_usage_peaks" : [ 0 ], - "ibi_sta_amount_deprbas_fed" : 0, - "ur_ec_sched_weekend" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ] ], - "ibi_fed_amount_deprbas_sta" : 0, - "depr_fedbas_method" : 1, + "om_fixed" : [ 0 ], "host_real_discount_rate" : 6.4000000000000004, "dscr_limit_debt_fraction" : 0, "equip_reserve_depr_sta" : 0, @@ -437,71 +215,248 @@ "itc_fed_amount_deprbas_sta" : 1, "ibi_uti_percent" : 0, "ibi_uti_percent_deprbas_fed" : 0, + "salvage_percentage" : 0, + "cbi_oth_deprbas_fed" : 0, "cbi_sta_tax_sta" : 1, "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "real_discount_rate" : 6.4000000000000004, "ibi_uti_amount_tax_sta" : 1, - "ibi_uti_amount_deprbas_fed" : 0, - "analysis_period" : 25, - "pbi_sta_escal" : 0, - "itc_sta_percent" : [ 0 ], - "ur_monthly_fixed_charge" : 0, "ppa_escalation" : 1, + "ur_monthly_fixed_charge" : 0, "pbi_sta_tax_fed" : 1, - "debt_percent" : 60, - "ur_dc_enable" : 1, - "dscr_maximum_debt_fraction" : 100, + "ibi_oth_percent" : 0, "months_working_reserve" : 6, "cbi_fed_deprbas_sta" : 0, "pbi_sta_for_ds" : 0, + "dscr_maximum_debt_fraction" : 100, + "debt_percent" : 60, + "ur_dc_enable" : 0, "ibi_fed_amount_tax_fed" : 1, + "property_tax_rate" : 0, + "pbi_fed_escal" : 0, + "depr_itc_sta_sl_20" : 0, "depr_itc_fed_sl_5" : 0, "cbi_sta_maxvalue" : 0, "pbi_fed_for_ds" : 0, "months_receivables_reserve" : 0, "pbi_sta_amount" : [ 0 ], "pbi_sta_tax_sta" : 1, + "analysis_period" : 25, + "ibi_uti_amount_deprbas_fed" : 0, "term_tenor" : 18, + "federal_tax_rate" : [ 21 ], + "insurance_rate" : 0, "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], "pbi_uti_for_ds" : 0, - "depr_alloc_custom_percent" : 0, "depr_stabas_method" : 1, "term_int_rate" : 7, - "depr_itc_fed_sl_15" : 0, + "depr_alloc_custom_percent" : 0, + "dscr" : 1.3, "ptc_sta_term" : 10, "cost_other_financing" : 0, - "insurance_rate" : 1, - "federal_tax_rate" : [ 21 ], + "depr_itc_fed_sl_15" : 0, + "ibi_fed_amount_tax_sta" : 1, + "pbi_oth_amount" : [ 0 ], + "depr_itc_fed_sl_20" : 0, + "grid_outage" : [ 0 ], + "ibi_fed_percent_tax_fed" : 1, + "ibi_sta_percent_deprbas_fed" : 0, + "equip1_reserve_freq" : 15, "ibi_sta_percent" : 0, - "ibi_oth_percent" : 0, + "ibi_uti_percent_deprbas_sta" : 0, "ibi_oth_amount" : 0, "depr_bonus_sta_sl_39" : 0, - "pbi_oth_amount" : [ 0 ], - "ibi_fed_amount_tax_sta" : 1, "ibi_fed_percent" : 0, "state_tax_rate" : [ 7 ], "depr_bonus_fed_custom" : 0, "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], "ur_en_ts_sell_rate" : 0, - "ibi_uti_percent_deprbas_sta" : 0, - "cbi_oth_amount" : 0, - "ur_ec_sched_weekday" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ] ], "cbi_oth_maxvalue" : 0, - "ibi_sta_percent_deprbas_fed" : 0, - "equip1_reserve_freq" : 15, "ur_nm_credit_month" : 11, "depr_itc_sta_custom" : 0, "ibi_sta_amount_tax_fed" : 1, "cbi_uti_maxvalue" : 0, "depr_custom_schedule" : [ 0 ], - "grid_outage" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "depr_itc_fed_sl_20" : 0, + "ptc_sta_amount" : [ 0 ], + "pbi_sta_escal" : 0, + "itc_sta_percent" : [ 0 ], "ur_en_ts_buy_rate" : 0, "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "ibi_fed_percent_tax_fed" : 1, + "total_installed_cost" : 1804405.15863, + "equip2_reserve_cost" : 0, "number table entries" : 238 }, - "number table entries" : 6 + "windpower" : { + "ops_env_loss" : 1, + "avail_grid_loss" : 1.5, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "wind_turbine_rotor_diameter" : 21, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, + "adjust_constant" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_production" : [ 0 ], + "turb_hysteresis_loss" : 0.40000000000000002, + "env_env_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], + "elec_parasitic_loss" : 0.10000000000000001, + "wind_farm_yCoordinates" : [ 0 ], + "wind_farm_xCoordinates" : [ 0 ], + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 464400, + "env_exposure_loss" : 0, + "om_fixed_escal" : 0, + "weibull_reference_height" : 50, + "om_production_escal" : 0, + "system_capacity" : 100, + "env_degrad_loss" : 1.8, + "wind_resource_shear" : 0.14000000000000001, + "adjust_timeindex" : [ 0 ], + "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "wind_resource_model_choice" : 0, + "elec_eff_loss" : 1.9099999999999999, + "wind_resource_distribution" : [ [ 0 ] ], + "om_fixed" : [ 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wake_ext_loss" : 1.1000000000000001, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_load_loss" : 0.98999999999999999, + "adjust_en_periods" : 0, + "om_capacity" : [ 35 ], + "wind_turbine_hub_ht" : 80, + "number table entries" : 50 + }, + "battery" : { + "om_batt_fixed_cost" : [ 0 ], + "inflation_rate" : 2.5, + "om_production_escal" : 0, + "batt_calendar_choice" : 1, + "batt_dispatch_auto_can_charge" : 1, + "batt_load_ac_forecast_escalation" : [ 0 ], + "batt_Qfull_flow" : 2398.5, + "batt_custom_dispatch" : [ 0 ], + "batt_target_choice" : 0, + "batt_current_charge_max" : 599.625, + "batt_dispatch_choice" : 0, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_Vexp" : 4.0499999999999998, + "batt_ac_or_dc" : 1, + "batt_losses" : [ 0 ], + "batt_replacement_option" : 1, + "dispatch_manual_system_charge_first" : 1, + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "en_batt" : 1, + "batt_load_ac_forecast" : [ 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "add_om_num_types" : 1, + "grid_interconnection_limit_kwac" : 20000, + "LeadAcid_qn_computed" : 1439.0999999999999, + "batt_calendar_b" : -7280, + "batt_power_discharge_max_kwdc" : 300.05235000000005, + "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, + "batt_minimum_SOC" : 30, + "batt_calendar_a" : 0.00266, + "batt_C_rate" : 0.20000000000000001, + "analysis_period" : 25, + "batt_surface_area" : 90.015705000000011, + "batt_calendar_q0" : 1.02, + "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], + "batt_replacement_capacity" : 0, + "batt_Cp" : 1500, + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_Vnom_default" : 3.6000000000000001, + "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "batt_computed_bank_capacity" : 1200.2094000000002, + "om_batt_nameplate" : 1200.2094000000002, + "batt_dispatch_wf_forecast_choice" : 0, + "total_installed_cost" : 391808.35863000003, + "om_fixed_escal" : 0, + "batt_room_temperature_celsius" : [ 25 ], + "batt_power_discharge_max_kwac" : 288.05025600000005, + "batt_Qnom" : 2.0000002500000003, + "batt_current_choice" : 1, + "batt_loss_choice" : 0, + "batt_Vcut" : 2.706, + "om_replacement_cost_escal" : 0, + "batt_ac_dc_efficiency" : 96, + "batt_minimum_modetime" : 10, + "om_batt_variable_cost" : [ 0 ], + "batt_Qfull" : 2.25, + "batt_target_power" : [ 0 ], + "om_batt_replacement_cost" : [ 225.06 ], + "batt_power_charge_max_kwac" : 312.55453125000008, + "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_pv_ac_forecast" : [ 0 ], + "LeadAcid_tn" : 1, + "batt_inverter_efficiency_cutoff" : 90, + "batt_dc_dc_efficiency" : 99, + "batt_current_discharge_max" : 599.625, + "ppa_escalation" : 1, + "batt_Vfull" : 4.0999999999999996, + "LeadAcid_q10_computed" : 2230.605, + "batt_life_model" : 0, + "om_capacity_escal" : 0, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_pv_clipping_forecast" : [ 0 ], + "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], + "ppa_multiplier_model" : 0, + "batt_chem" : 1, + "batt_dispatch_charge_only_system_exceeds_load" : 1, + "LeadAcid_q20_computed" : 2398.5, + "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_h_to_ambient" : 7.5, + "dispatch_manual_percent_gridcharge" : [ 100, 0 ], + "batt_voltage_choice" : 0, + "batt_Qexp" : 0.040000050000000002, + "batt_meter_position" : 0, + "ppa_price_input" : [ 0.153 ], + "batt_calendar_c" : 939, + "batt_computed_strings" : 1066, + "en_wave_batt" : 0, + "batt_dispatch_discharge_only_load_exceeds_system" : 1, + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "batt_cycle_cost" : [ 0 ], + "batt_dispatch_load_forecast_choice" : 0, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_initial_SOC" : 50, + "load_escalation" : [ 0 ], + "batt_mass" : 17145.848571428574, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_power_charge_max_kwdc" : 300.05235000000005, + "batt_maximum_SOC" : 95, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], + "batt_computed_series" : 139, + "batt_resistance" : 0.002, + "batt_dc_ac_efficiency" : 96, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_target_power_monthly" : [ 0 ], + "batt_cycle_cost_choice" : 0, + "om_batt_capacity_cost" : [ 6.2800000000000002 ], + "batt_Vnom" : 3.3999999999999999, + "en_standalone_batt" : 0, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "number table entries" : 114 + }, + "number table entries" : 5 }, "compute_module_0" : "hybrid", "number_compute_modules" : 1, diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json index 00a080c93..1a9059de2 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json @@ -48,60 +48,7 @@ "om_fixed" : [ 0 ], "number table entries" : 44 }, - "windpower" : { - "ops_env_loss" : 1, - "avail_grid_loss" : 1.5, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "wind_turbine_rotor_diameter" : 100, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, - "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "elec_parasitic_loss" : 0.10000000000000001, - "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 292032000, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, - "om_production_escal" : 0, - "system_capacity" : 200000, - "env_degrad_loss" : 1.8, - "wind_resource_shear" : 0.14000000000000001, - "adjust_timeindex" : [ 0 ], - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_resource_model_choice" : 0, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource_distribution" : [ [ 0 ] ], - "om_fixed" : [ 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wake_ext_loss" : 1.1000000000000001, - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "ops_load_loss" : 0.98999999999999999, - "adjust_en_periods" : 0, - "om_capacity" : [ 40 ], - "wind_turbine_hub_ht" : 80, - "number table entries" : 50 - }, - "grid" : { + "hybrid" : { "ur_ts_sell_rate" : [ 0 ], "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "ibi_sta_amount_deprbas_sta" : 0, @@ -245,7 +192,7 @@ "depr_itc_fed_sl_20" : 0, "depr_alloc_sl_39_percent" : 0, "cbi_oth_tax_fed" : 1, - "om_fixed" : 0, + "om_fixed" : [ 0 ], "depr_alloc_custom_percent" : 0, "depr_stabas_method" : 1, "term_int_rate" : 4, @@ -300,7 +247,7 @@ "real_discount_rate" : 6.4000000000000004, "cbi_uti_maxvalue" : 0, "cbi_uti_tax_fed" : 1, - "om_production" : 0, + "om_production" : [ 0 ], "equip_reserve_depr_fed" : 0, "equip2_reserve_freq" : 0, "cp_capacity_payment_amount" : [ 0 ], @@ -334,7 +281,7 @@ "cost_debt_closing" : 0, "cp_capacity_payment_esc" : 0, "term_tenor" : 18, - "om_capacity" : 0, + "om_capacity" : [ 0 ], "total_installed_cost" : 520326627.36764717, "equip2_reserve_cost" : 0, "depr_bonus_fed_custom" : 0, @@ -345,6 +292,59 @@ "pbi_sta_tax_sta" : 1, "depr_bonus_sta_sl_39" : 0, "number table entries" : 242 + }, + "windpower" : { + "ops_env_loss" : 1, + "avail_grid_loss" : 1.5, + "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", + "degradation" : [ 0 ], + "turb_specific_loss" : 0.81000000000000005, + "wind_turbine_rotor_diameter" : 100, + "weibull_k_factor" : 2, + "env_icing_loss" : 0.20999999999999999, + "adjust_constant" : 0, + "wind_farm_wake_model" : 0, + "avail_bop_loss" : 0.5, + "turb_generic_loss" : 1.7, + "turb_perf_loss" : 1.1000000000000001, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "om_production" : [ 0 ], + "turb_hysteresis_loss" : 0.40000000000000002, + "env_env_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "weibull_wind_speed" : 7.25, + "ops_grid_loss" : 0.83999999999999997, + "wind_turbine_max_cp" : 0.45000000000000001, + "avail_turb_loss" : 3.5800000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "elec_parasitic_loss" : 0.10000000000000001, + "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "om_capacity_escal" : 0, + "wake_int_loss" : 0, + "total_installed_cost" : 292032000, + "env_exposure_loss" : 0, + "om_fixed_escal" : 0, + "weibull_reference_height" : 50, + "om_production_escal" : 0, + "system_capacity" : 200000, + "env_degrad_loss" : 1.8, + "wind_resource_shear" : 0.14000000000000001, + "adjust_timeindex" : [ 0 ], + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_resource_model_choice" : 0, + "elec_eff_loss" : 1.9099999999999999, + "wind_resource_distribution" : [ [ 0 ] ], + "om_fixed" : [ 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wake_ext_loss" : 1.1000000000000001, + "ops_strategies_loss" : 0, + "adjust_en_timeindex" : 0, + "ops_load_loss" : 0.98999999999999999, + "adjust_en_periods" : 0, + "om_capacity" : [ 40 ], + "wind_turbine_hub_ht" : 80, + "number table entries" : 50 }, "battery" : { "batt_cycle_cost_choice" : 0, From e7ec71e08e8e19f35930bd9fabdd92c104c322be Mon Sep 17 00:00:00 2001 From: Darice Date: Tue, 5 Mar 2024 14:28:02 -0700 Subject: [PATCH 71/79] fix battery capacity in hybrids --- ssc/cmod_hybrid.cpp | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/ssc/cmod_hybrid.cpp b/ssc/cmod_hybrid.cpp index da543dbe3..3054c84dc 100644 --- a/ssc/cmod_hybrid.cpp +++ b/ssc/cmod_hybrid.cpp @@ -428,7 +428,8 @@ class cm_hybrid : public compute_module std::string& compute_module = batteries[0]; var_data* compute_module_inputs = input_table->table.lookup(compute_module); - hybridSystemCapacity += compute_module_inputs->table.lookup("batt_computed_bank_capacity")->num; // TODO: check capacity definitions for batteries and hybrid systems + ssc_number_t system_capacity = compute_module_inputs->table.lookup("batt_power_discharge_max_kwac")->num; + hybridSystemCapacity += system_capacity; // TODO: check capacity definitions for batteries and hybrid systems hybridTotalInstalledCost += compute_module_inputs->table.lookup("total_installed_cost")->num; // copy over required dispatch variables from hybrid From c55680399611dd1dbe396c1421128a77e9aad13d Mon Sep 17 00:00:00 2001 From: Steven Janzou Date: Wed, 6 Mar 2024 02:01:40 -0700 Subject: [PATCH 72/79] Fix failing CmodHybridTest and align with patch branch of SAM default configurations --- ... FuelCell Battery Hybrid_Single Owner.json | 926 +++++++++--------- ...ts Wind Battery Hybrid_Host Developer.json | 818 ++++++++-------- ...atts Wind Battery Hybrid_Single Owner.json | 844 ++++++++-------- test/ssc_test/cmod_hybrid_test.cpp | 36 +- 4 files changed, 1312 insertions(+), 1312 deletions(-) diff --git a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json index 96f35752c..c955cec5b 100644 --- a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json @@ -1,542 +1,542 @@ { "input" : { "compute_modules" : ["generic_system","pvwattsv8","windpower","fuelcell","battery","grid","utilityrate5","singleowner"], - "generic_system" : { - "conv_eff" : 34.118048447628794, + "windpower" : { + "om_fixed_escal" : 0, + "turb_specific_loss" : 0.81000000000000005, + "wind_resource_distribution" : [ [ 0 ] ], + "avail_bop_loss" : 0.5, + "weibull_wind_speed" : 7.25, + "env_degrad_loss" : 1.8, "adjust_en_timeindex" : 0, - "energy_output_array" : [ 0 ], - "system_use_lifetime_output" : 0, - "heat_rate" : 10, - "adjust_timeindex" : [ 0 ], - "spec_mode" : 0, - "system_capacity" : 100000, - "om_fuel_cost" : [ 10 ], + "weibull_reference_height" : 50, + "degradation" : [ 0 ], + "avail_grid_loss" : 1.5, + "wind_turbine_rotor_diameter" : 100, + "om_production_escal" : 0, + "turb_perf_loss" : 1.1000000000000001, + "wind_turbine_max_cp" : 0.45000000000000001, + "wind_resource_model_choice" : 0, + "wind_turbine_hub_ht" : 80, + "avail_turb_loss" : 3.5800000000000001, + "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "adjust_constant" : 0, + "weibull_k_factor" : 2, + "wind_resource_shear" : 0.14000000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_farm_wake_model" : 0, + "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "env_icing_loss" : 0.20999999999999999, + "adjust_en_periods" : 0, "adjust_periods" : [ [ 0, 0, 0 ] ], + "adjust_timeindex" : [ 0 ], + "om_capacity" : [ 40 ], + "system_capacity" : 200000, + "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "ops_load_loss" : 0.98999999999999999, + "turb_hysteresis_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "elec_parasitic_loss" : 0.10000000000000001, + "ops_strategies_loss" : 0, + "elec_eff_loss" : 1.9099999999999999, + "turb_generic_loss" : 1.7, + "env_env_loss" : 0.40000000000000002, + "env_exposure_loss" : 0, + "ops_grid_loss" : 0.83999999999999997, + "ops_env_loss" : 1, + "wake_ext_loss" : 1.1000000000000001, + "wake_int_loss" : 0, + "total_installed_cost" : 292032100, "om_capacity_escal" : 0, - "total_installed_cost" : 1128750000, - "om_fuel_cost_escal" : 0, - "derate" : 4, + "om_fixed" : [ 0 ], + "om_production" : [ 0 ], + "number table entries" : 50 + }, + "generic_system" : { + "adjust_en_timeindex" : 0, "om_capacity" : [ 40 ], + "om_fuel_cost" : [ 10 ], + "adjust_timeindex" : [ 0 ], + "system_use_lifetime_output" : 0, "om_production_escal" : 0, - "om_fixed_escal" : 0, - "user_capacity_factor" : 90, + "adjust_constant" : 0, "adjust_en_periods" : 0, - "om_production" : [ 0 ], + "adjust_periods" : [ [ 0, 0, 0 ] ], + "energy_output_array" : [ 0 ], + "conv_eff" : 34.118048447628794, + "derate" : 4, + "system_capacity" : 100000, + "heat_rate" : 10, + "om_capacity_escal" : 0, + "om_fuel_cost_escal" : 0, + "spec_mode" : 0, "degradation" : [ 0 ], - "adjust_constant" : 0, + "om_production" : [ 0 ], "analysis_period" : 25, + "user_capacity_factor" : 90, + "om_fixed_escal" : 0, "om_fixed" : [ 0 ], + "total_installed_cost" : 1128750000, "number table entries" : 24 }, "battery" : { - "batt_dispatch_pvs_timestep_multiplier" : 3, - "om_production_escal" : 0, - "batt_calendar_choice" : 1, - "batt_dispatch_pvs_soc_rest" : 50, + "batt_loss_choice" : 0, + "batt_meter_position" : 1, + "batt_voltage_choice" : 0, + "batt_maximum_SOC" : 95, + "batt_losses" : [ 0 ], "LeadAcid_q20_computed" : 479616.75, - "om_fixed_escal" : 0, + "batt_minimum_SOC" : 15, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_Qexp" : 0.040000050000000002, + "LeadAcid_q10_computed" : 446043.57750000001, "batt_cycle_cost_choice" : 0, - "batt_current_choice" : 1, - "batt_dispatch_update_frequency_hours" : 1, - "batt_look_ahead_hours" : 18, - "batt_initial_SOC" : 50, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_Vnom_default" : 3.6000000000000001, - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_dispatch_auto_can_charge" : 1, - "om_batt_fixed_cost" : [ 0 ], - "inflation_rate" : 2.5, - "analysis_period" : 25, - "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "batt_Vfull" : 4.0999999999999996, + "batt_resistance" : 0.002, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "LeadAcid_tn" : 1, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "batt_calendar_q0" : 1.02, - "batt_Qfull_flow" : 479616.75, - "batt_replacement_capacity" : 0, - "batt_Cp" : 1500, - "batt_mass" : 3428574.595714286, - "batt_calendar_b" : -7280, - "batt_dispatch_pvs_ki" : 1.8, - "dispatch_manual_system_charge_first" : 0, - "batt_replacement_schedule_percent" : [ 0 ], - "batt_dispatch_pvs_short_forecast_enable" : 0, + "LeadAcid_qn_computed" : 287770.04999999999, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_kp" : 1.2, + "batt_Qnom" : 2.0000002500000003, "batt_computed_bank_capacity" : 240000.22170000002, + "batt_power_discharge_max_kwdc" : 60000.055425000006, + "inflation_rate" : 2.5, + "add_om_num_types" : 1, + "batt_chem" : 1, + "batt_dispatch_pvs_ac_ub" : 1.05, + "om_replacement_cost_escal" : 0, + "batt_life_model" : 0, + "om_batt_variable_cost" : [ 0 ], + "batt_surface_area" : 18000.016627500001, "om_batt_nameplate" : 240000.22170000002, - "batt_replacement_option" : 1, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_initial_SOC" : 50, + "en_standalone_batt" : 0, + "batt_current_discharge_max" : 119904.1875, + "batt_dc_dc_efficiency" : 99, + "batt_computed_strings" : 213163, "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_dispatch_auto_can_clipcharge" : 0, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_pvs_forecast_shift_periods" : 3, - "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_wf_forecast_choice" : 0, - "total_installed_cost" : 99152011.591586128, + "batt_Qfull_flow" : 479616.75, + "dispatch_manual_system_charge_first" : 0, + "batt_Vnom_default" : 3.6000000000000001, + "batt_Vcut" : 2.706, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_inverter_efficiency_cutoff" : 90, + "batt_current_charge_max" : 119904.1875, + "batt_Qfull" : 2.25, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_computed_series" : 139, + "batt_current_choice" : 1, + "om_capacity_escal" : 0, + "batt_ac_or_dc" : 1, "batt_power_charge_max_kwac" : 62500.057734375012, - "batt_dc_ac_efficiency" : 96, - "batt_resistance" : 0.002, - "grid_interconnection_limit_kwac" : 20000, - "LeadAcid_qn_computed" : 287770.04999999999, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "add_om_num_types" : 1, - "om_replacement_cost_escal" : 0, - "batt_minimum_modetime" : 10, "batt_ac_dc_efficiency" : 96, + "batt_power_charge_max_kwdc" : 60000.055425000006, "batt_power_discharge_max_kwac" : 57600.053208000005, - "batt_room_temperature_celsius" : [ 25 ], - "batt_Vcut" : 2.706, - "batt_loss_choice" : 0, - "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_C_rate" : 0.20000000000000001, + "batt_Vexp" : 4.0499999999999998, + "batt_Vnom" : 3.3999999999999999, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "batt_Cp" : 1500, + "batt_mass" : 3428574.595714286, + "batt_h_to_ambient" : 100, "batt_dispatch_pvs_ac_lb_enable" : 0, - "batt_computed_strings" : 213163, + "batt_room_temperature_celsius" : [ 25 ], + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_calendar_a" : 0.00266, + "batt_calendar_b" : -7280, + "batt_calendar_q0" : 1.02, "batt_calendar_c" : 939, - "batt_surface_area" : 18000.016627500001, - "om_batt_variable_cost" : [ 0 ], - "batt_Qfull" : 2.25, - "batt_voltage_choice" : 0, - "batt_dispatch_pvs_kf" : 0.29999999999999999, + "om_fixed_escal" : 0, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_calendar_choice" : 1, + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "ppa_escalation" : 1, + "batt_replacement_capacity" : 0, + "batt_replacement_option" : 1, + "batt_cycle_cost" : [ 0 ], "batt_pv_ac_forecast" : [ 0 ], - "LeadAcid_tn" : 1, - "batt_meter_position" : 1, - "batt_Qexp" : 0.040000050000000002, - "batt_dispatch_choice" : 3, - "batt_inverter_efficiency_cutoff" : 90, - "batt_dc_dc_efficiency" : 99, - "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_current_discharge_max" : 119904.1875, - "batt_pv_clipping_forecast" : [ 0 ], + "batt_dispatch_update_frequency_hours" : 1, + "batt_look_ahead_hours" : 18, + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, "batt_dispatch_pvs_ac_ub_enable" : 0, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_dispatch_pvs_max_ramp" : 10, - "om_capacity_escal" : 0, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_life_model" : 0, - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "ppa_multiplier_model" : 0, - "om_batt_replacement_cost" : [ 323 ], - "batt_chem" : 1, - "batt_calendar_a" : 0.00266, - "batt_minimum_SOC" : 15, - "batt_C_rate" : 0.20000000000000001, - "batt_Vfull" : 4.0999999999999996, - "ppa_escalation" : 1, - "LeadAcid_q10_computed" : 446043.57750000001, - "batt_Vexp" : 4.0499999999999998, - "batt_ac_or_dc" : 1, - "batt_losses" : [ 0 ], - "batt_Qnom" : 2.0000002500000003, + "om_batt_fixed_cost" : [ 0 ], + "batt_dispatch_pvs_curtail_as_control" : 0, "batt_dispatch_pvs_curtail_if_violation" : 0, - "batt_dispatch_pvs_nameplate_ac" : 20000, - "batt_maximum_SOC" : 95, - "batt_Vnom" : 3.3999999999999999, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "batt_dispatch_pvs_ki" : 1.8, + "batt_dispatch_pvs_max_ramp" : 10, "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "batt_power_discharge_max_kwdc" : 60000.055425000006, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_h_to_ambient" : 100, - "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_dispatch_pvs_kp" : 1.2, - "ppa_price_input" : [ 0.050000000000000003 ], - "batt_computed_series" : 139, - "batt_cycle_cost" : [ 0 ], - "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], - "batt_dispatch_pvs_ac_ub" : 1.05, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_power_charge_max_kwdc" : 60000.055425000006, - "en_standalone_batt" : 0, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_current_charge_max" : 119904.1875, + "batt_dispatch_pvs_nameplate_ac" : 20000, + "batt_dispatch_pvs_short_forecast_enable" : 0, + "total_installed_cost" : 99152011.591586128, + "batt_dispatch_pvs_soc_rest" : 50, + "batt_dispatch_pvs_timestep_multiplier" : 3, + "ppa_price_input" : [ 0.050000000000000003 ], + "batt_pv_clipping_forecast" : [ 0 ], "batt_custom_dispatch" : [ 0 ], + "dispatch_manual_percent_gridcharge" : [ 0 ], + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "analysis_period" : 25, + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_minimum_modetime" : 10, + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_charge" : 1, + "om_production_escal" : 0, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "batt_dispatch_choice" : 3, + "om_batt_replacement_cost" : [ 323 ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ppa_multiplier_model" : 0, "number table entries" : 118 }, - "windpower" : { - "ops_env_loss" : 1, - "avail_grid_loss" : 1.5, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "wind_turbine_rotor_diameter" : 100, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, + "pvwattsv8" : { + "array_type" : 2, + "dc_ac_ratio" : 1.3, + "shading_timestep" : [ [ 0 ] ], + "shading_en_diff" : 0, + "om_land_lease" : [ 0 ], + "losses" : 14.075660688264469, + "shading_azal" : [ [ 0 ] ], + "shading_string_option" : 0, + "om_land_lease_escal" : 0, + "adjust_en_periods" : 0, + "inv_eff" : 96, + "analysis_period" : 25, + "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "om_production_escal" : 0, + "system_use_lifetime_output" : 0, + "batt_simple_enable" : 0, + "degradation" : [ 0.5 ], + "azimuth" : 180, + "gcr" : 0.29999999999999999, + "shading_mxh" : [ [ 0 ] ], + "tilt" : 0, + "module_type" : 0, + "system_capacity" : 100000, + "shading_diff" : 0, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], + "om_capacity" : [ 15 ], + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "shading_en_timestep" : 0, + "total_installed_cost" : 116394500, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "adjust_en_timeindex" : 0, "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "turb_perf_loss" : 1.1000000000000001, + "en_snowloss" : 0, "adjust_periods" : [ [ 0, 0, 0 ] ], + "shading_en_azal" : 0, + "bifaciality" : 0, + "shading_en_mxh" : 0, "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "elec_parasitic_loss" : 0.10000000000000001, - "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 292032100, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, - "om_production_escal" : 0, - "system_capacity" : 200000, - "env_degrad_loss" : 1.8, - "wind_resource_shear" : 0.14000000000000001, - "adjust_timeindex" : [ 0 ], - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_resource_model_choice" : 0, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource_distribution" : [ [ 0 ] ], + "shading_en_string_option" : 0, + "use_wf_albedo" : 1, "om_fixed" : [ 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wake_ext_loss" : 1.1000000000000001, - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "ops_load_loss" : 0.98999999999999999, - "adjust_en_periods" : 0, - "om_capacity" : [ 40 ], - "wind_turbine_hub_ht" : 80, - "number table entries" : 50 + "om_fixed_escal" : 0, + "om_capacity_escal" : 0, + "land_area" : 433.51782985136145, + "number table entries" : 44 }, "fuelcell" : { - "add_om_num_types" : 2, - "fuelcell_availability_schedule" : [ [ 0, 0 ] ], - "om_fuelcell_variable_cost" : [ 0 ], - "om_fuel_cost" : [ 10.369999999999999 ], - "fuelcell_replacement_option" : 0, - "dispatch_manual_units_fc_discharge" : [ 0 ], - "fuelcell_operation_options" : 1, - "fuelcell_unit_min_power" : 60, - "dispatch_manual_fuelcell_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "om_fuelcell_replacement_cost" : [ 0 ], - "fuelcell_number_of_units" : 1, - "om_fuel_cost_escal" : 0, - "fuelcell_degradation_restart" : 1, - "om_fuelcell_fixed_cost" : [ 0 ], "fuelcell_type" : 2, - "fuelcell_replacement_percent" : 50, - "fuelcell_efficiency" : [ [ 0, 3, 50 ], [ 16.100000000000001, 21, 50 ], [ 25.5, 25.199999999999999, 50 ], [ 34.799999999999997, 31.5, 50 ], [ 44.100000000000001, 37.299999999999997, 50 ], [ 53.399999999999999, 42.600000000000001, 50 ], [ 62.700000000000003, 47.399999999999999, 49 ], [ 72, 49.899999999999999, 48 ], [ 81.400000000000006, 52, 47 ], [ 90.700000000000003, 51.799999999999997, 46 ], [ 100, 50.700000000000003, 45 ] ], - "dispatch_manual_fuelcell_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "fuelcell_dispatch_choice" : 0, - "fuelcell_dispatch" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "fuelcell_degradation" : 0.0045662100456621002, + "fuelcell_availability_schedule" : [ [ 0, 0 ] ], + "fuelcell_efficiency_choice" : 0, + "fuelcell_degradation_restart" : 1, + "fuelcell_fuel_available" : 10000000000, "fuelcell_dynamic_response_down" : 500, - "total_installed_cost" : 2100000, - "om_capacity_escal" : 0, + "dispatch_manual_fuelcell_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "fuelcell_unit_max_power" : 200, "fuelcell_dynamic_response_up" : 500, - "om_production_escal" : 0, - "om_fixed_escal" : 0, - "dispatch_manual_fuelcelldischarge" : [ 0, 0, 0, 0, 0, 0 ], - "om_replacement_cost_escal" : 0, - "fuelcell_fuel_type" : 0, - "om_fuelcell_capacity_cost" : [ 27 ], - "fuelcell_is_started" : 0, + "dispatch_manual_units_fc_discharge" : [ 0 ], + "fuelcell_replacement_percent" : 50, + "fuelcell_lhv" : 983, "fuelcell_shutdown_time" : 24, + "dispatch_manual_fuelcell_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "fuelcell_efficiency" : [ [ 0, 3, 50 ], [ 16.100000000000001, 21, 50 ], [ 25.5, 25.199999999999999, 50 ], [ 34.799999999999997, 31.5, 50 ], [ 44.100000000000001, 37.299999999999997, 50 ], [ 53.399999999999999, 42.600000000000001, 50 ], [ 62.700000000000003, 47.399999999999999, 49 ], [ 72, 49.899999999999999, 48 ], [ 81.400000000000006, 52, 47 ], [ 90.700000000000003, 51.799999999999997, 46 ], [ 100, 50.700000000000003, 45 ] ], + "om_fuelcell_variable_cost" : [ 0 ], + "fuelcell_number_of_units" : 1, + "fuelcell_operation_options" : 1, + "fuelcell_is_started" : 0, + "dispatch_manual_fuelcellcharge" : [ 0, 0, 0, 0, 0, 0 ], "fuelcell_startup_time" : 24, + "om_fuel_cost_escal" : 0, + "om_capacity_escal" : 0, + "fuelcell_fuel_type" : 0, "fuelcell_replacement_schedule" : [ 0 ], - "dispatch_manual_percent_fc_discharge" : [ 0 ], - "fuelcell_efficiency_choice" : 0, - "fuelcell_unit_max_power" : 200, + "om_production_escal" : 0, + "fuelcell_replacement_option" : 0, + "fuelcell_unit_min_power" : 60, + "om_fuelcell_fixed_cost" : [ 0 ], + "dispatch_manual_fuelcelldischarge" : [ 0, 0, 0, 0, 0, 0 ], "analysis_period" : 25, + "dispatch_manual_percent_fc_discharge" : [ 0 ], + "fuelcell_dispatch" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "fuelcell_fixed_pct" : 95, - "fuelcell_fuel_available" : 10000000000, - "dispatch_manual_fuelcellcharge" : [ 0, 0, 0, 0, 0, 0 ], - "fuelcell_lhv" : 983, - "number table entries" : 43 - }, - "pvwattsv8" : { - "shading_mxh" : [ [ 0 ] ], - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "gcr" : 0.29999999999999999, - "om_land_lease_escal" : 0, - "adjust_constant" : 0, - "total_installed_cost" : 116394500, - "om_capacity_escal" : 0, - "dc_ac_ratio" : 1.3, - "adjust_en_timeindex" : 0, - "adjust_en_periods" : 0, - "om_production" : [ 0 ], - "om_land_lease" : [ 0 ], - "tilt" : 0, - "om_production_escal" : 0, + "fuelcell_dispatch_choice" : 0, + "om_fuel_cost" : [ 10.369999999999999 ], + "total_installed_cost" : 2100000, + "add_om_num_types" : 2, + "om_fuelcell_capacity_cost" : [ 27 ], "om_fixed_escal" : 0, - "batt_simple_enable" : 0, - "analysis_period" : 25, - "module_type" : 0, - "azimuth" : 180, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "use_wf_albedo" : 1, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "shading_en_mxh" : 0, - "system_capacity" : 100000, - "shading_timestep" : [ [ 0 ] ], - "system_use_lifetime_output" : 0, - "en_snowloss" : 0, - "losses" : 14.075660688264469, - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "inv_eff" : 96, - "shading_string_option" : 0, - "array_type" : 2, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_capacity" : [ 15 ], - "land_area" : 433.51782985136145, - "shading_azal" : [ [ 0 ] ], - "bifaciality" : 0, - "shading_en_timestep" : 0, - "shading_en_diff" : 0, - "shading_en_azal" : 0, - "shading_diff" : 0, - "shading_en_string_option" : 0, - "degradation" : [ 0.5 ], - "om_fixed" : [ 0 ], - "number table entries" : 44 + "om_fuelcell_replacement_cost" : [ 0 ], + "om_replacement_cost_escal" : 0, + "number table entries" : 43 }, "hybrid" : { - "pbi_oth_escal" : 0, - "depr_itc_fed_custom" : 0, - "ibi_sta_amount_deprbas_sta" : 0, - "ur_ts_sell_rate" : [ 0 ], - "depr_itc_fed_sl_39" : 0, - "depr_bonus_fed_sl_15" : 0, - "depr_alloc_sl_20_percent" : 0, - "ibi_sta_amount_tax_fed" : 1, + "depr_bonus_fed_custom" : 0, + "flip_target_year" : 10, + "om_capacity" : [ 0 ], + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_oth_amount_tax_sta" : 1, + "cost_other_financing" : 0, "cp_capacity_payment_type" : 0, - "pbi_oth_for_ds" : 0, - "ibi_sta_percent_maxvalue" : 0, - "ibi_uti_amount_deprbas_sta" : 0, - "ibi_oth_percent_deprbas_fed" : 0, - "ibi_sta_amount" : 0, - "ibi_fed_percent_deprbas_fed" : 0, - "ur_billing_demand_minimum" : 0, - "ibi_uti_percent_maxvalue" : 0, - "depr_itc_fed_macrs_15" : 0, - "ibi_fed_percent" : 0, - "depr_bonus_sta_sl_5" : 0, + "inflation_rate" : 2.5, "depr_bonus_fed" : 0, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_sta_percent_tax_fed" : 1, - "pbi_uti_term" : 0, - "cbi_oth_deprbas_sta" : 0, - "depr_bonus_sta" : 0, - "cbi_fed_amount" : 0, - "depr_itc_sta_sl_15" : 0, - "cbi_uti_amount" : 0, - "pbi_fed_amount" : [ 0 ], - "ibi_oth_percent" : 0, - "ibi_uti_amount_tax_fed" : 1, - "cbi_oth_maxvalue" : 0, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_fed_amount_deprbas_fed" : 0, - "depr_alloc_sl_5_percent" : 0, - "itc_fed_percent" : [ 30 ], - "cbi_sta_amount" : 0, - "depr_alloc_macrs_5_percent" : 100, - "pbi_fed_tax_sta" : 1, - "cbi_sta_maxvalue" : 0, - "depr_itc_fed_sl_5" : 0, - "ur_enable_billing_demand" : 0, - "ppa_multiplier_model" : 0, - "itc_sta_percent_deprbas_fed" : 0, + "flip_target_percent" : 11, + "cp_capacity_payment_esc" : 0, + "term_int_rate" : 7, + "property_tax_rate" : 0, + "ptc_fed_escal" : 0, + "months_working_reserve" : 6, + "system_capacity" : 377123.09999999998, + "ibi_fed_percent_maxvalue" : 0, + "pbi_oth_tax_sta" : 1, + "grid_interconnection_limit_kwac" : 20000, "cost_debt_fee" : 2.75, - "depr_bonus_fed_sl_39" : 0, - "itc_fed_amount" : [ 0 ], - "ur_nm_yearend_sell_rate" : 0, - "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "cbi_uti_deprbas_fed" : 0, - "ibi_sta_percent_deprbas_sta" : 0, - "depr_itc_sta_sl_5" : 0, - "equip1_reserve_cost" : 0.10000000000000001, - "cbi_uti_deprbas_sta" : 0, - "ibi_oth_percent_tax_sta" : 1, - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "itc_fed_amount_deprbas_fed" : 1, - "ibi_oth_amount_deprbas_fed" : 0, - "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "depr_bonus_fed_macrs_5" : 1, + "ibi_sta_amount_deprbas_fed" : 0, + "equip3_reserve_freq" : 0, + "enable_interconnection_limit" : 0, "itc_fed_percent_deprbas_fed" : 1, - "ibi_oth_amount_deprbas_sta" : 0, - "ibi_fed_amount" : 0, - "ibi_uti_percent_tax_sta" : 1, - "cbi_sta_deprbas_sta" : 0, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.044999999999999998, 0 ] ], - "equip1_reserve_freq" : 15, - "rate_escalation" : [ 0 ], - "pbi_uti_tax_sta" : 1, - "ibi_oth_amount_tax_sta" : 1, - "depr_bonus_fed_sl_5" : 0, - "prop_tax_cost_assessed_percent" : 100, - "ptc_fed_term" : 10, - "ur_annual_min_charge" : 0, - "equip3_reserve_cost" : 0, - "inflation_rate" : 2.5, - "debt_option" : 1, - "ibi_oth_percent_deprbas_sta" : 0, - "depr_bonus_fed_sl_20" : 0, - "dscr_limit_debt_fraction" : 0, - "cp_battery_nameplate" : 0, - "months_working_reserve" : 6, - "ppa_escalation" : 1, - "pbi_sta_tax_fed" : 1, - "ur_monthly_fixed_charge" : 0, - "pbi_fed_term" : 0, - "itc_sta_amount_deprbas_fed" : 0, - "cp_system_nameplate" : 377.12309999999997, - "cbi_uti_tax_sta" : 1, - "ibi_sta_percent_deprbas_fed" : 0, - "depr_itc_fed_sl_15" : 0, - "ptc_sta_term" : 10, - "cost_other_financing" : 0, "cbi_fed_deprbas_fed" : 0, - "enable_interconnection_limit" : 0, - "property_tax_rate" : 0, - "depr_itc_sta_sl_20" : 0, - "pbi_fed_escal" : 0, - "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_sta_amount_deprbas_fed" : 0, - "loan_moratorium" : 0, - "cbi_sta_deprbas_fed" : 0, - "ur_yearzero_usage_peaks" : [ 0 ], - "pbi_oth_tax_fed" : 1, - "equip_reserve_depr_sta" : 0, - "ibi_sta_percent_tax_sta" : 1, + "ptc_sta_amount" : [ 0 ], "ibi_oth_percent_tax_fed" : 1, - "ur_billing_demand_lookback_period" : 0, - "pbi_uti_tax_fed" : 1, - "pbi_oth_term" : 0, - "dscr" : 1.3, + "dscr_maximum_debt_fraction" : 100, + "debt_option" : 1, + "real_discount_rate" : 6.4000000000000004, "depr_itc_sta_macrs_15" : 0, - "dscr_reserve_months" : 6, - "ibi_fed_amount_tax_fed" : 1, - "ibi_oth_amount" : 0, - "pbi_oth_tax_sta" : 1, - "depr_bonus_sta_macrs_5" : 0, - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "cbi_oth_amount" : 0, + "pbi_oth_for_ds" : 0, + "cbi_fed_deprbas_sta" : 0, + "itc_fed_percent_deprbas_sta" : 1, + "itc_sta_amount" : [ 0 ], + "depr_alloc_sl_15_percent" : 0, + "rate_escalation" : [ 0 ], + "prop_tax_assessed_decline" : 0, + "ur_enable_billing_demand" : 0, + "debt_percent" : 60, + "batt_salvage_percentage" : 0, "ibi_fed_amount_deprbas_sta" : 0, - "depr_fedbas_method" : 1, - "equip3_reserve_freq" : 0, - "depr_alloc_macrs_15_percent" : 0, - "ibi_uti_percent" : 0, - "depr_itc_fed_macrs_5" : 0, - "ibi_oth_amount_tax_fed" : 1, - "ibi_fed_percent_deprbas_sta" : 0, - "ur_ts_buy_rate" : [ 0 ], - "ibi_uti_amount" : 0, - "grid_curtailment_price_esc" : 0, - "ibi_fed_amount_tax_sta" : 1, - "pbi_oth_amount" : [ 0 ], - "ibi_fed_percent_tax_fed" : 1, - "ptc_sta_amount" : [ 0 ], - "cbi_oth_deprbas_fed" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], "salvage_percentage" : 0, - "pbi_uti_for_ds" : 0, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "depr_itc_fed_sl_20" : 0, - "depr_alloc_sl_39_percent" : 0, - "cbi_oth_tax_fed" : 1, + "ibi_oth_amount_deprbas_sta" : 0, + "depr_alloc_macrs_5_percent" : 100, + "total_installed_cost" : 1638428611.5915861, + "pbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_sta" : 0, + "cbi_uti_deprbas_fed" : 0, + "depr_bonus_fed_macrs_15" : 0, "om_fixed" : [ 0 ], - "depr_alloc_custom_percent" : 0, - "depr_stabas_method" : 1, - "term_int_rate" : 7, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "ur_en_ts_buy_rate" : 0, - "cbi_fed_tax_sta" : 1, - "payment_option" : 0, - "itc_sta_percent_deprbas_sta" : 0, - "cbi_sta_tax_fed" : 1, - "insurance_rate" : 0, + "om_production" : [ 0 ], + "term_tenor" : 18, + "ur_billing_demand_lookback_period" : 0, + "state_tax_rate" : [ 7 ], + "analysis_period" : 25, + "ibi_uti_amount_deprbas_fed" : 0, + "equip_reserve_depr_sta" : 0, + "equip3_reserve_cost" : 0, "federal_tax_rate" : [ 21 ], - "ibi_uti_percent_tax_fed" : 1, - "construction_financing_cost" : 43008751.054279134, - "ur_nm_credit_rollover" : 0, - "reserves_interest" : 1.7250000000000001, - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "dscr" : 1.3, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_fed_maxvalue" : 0, + "ur_monthly_fixed_charge" : 0, + "insurance_rate" : 0, "ibi_sta_percent" : 0, - "pbi_sta_for_ds" : 0, - "cbi_fed_deprbas_sta" : 0, + "pbi_sta_term" : 0, + "ibi_uti_percent_tax_fed" : 1, + "prop_tax_cost_assessed_percent" : 100, + "ibi_fed_amount" : 0, + "depr_itc_sta_sl_15" : 0, + "cbi_fed_tax_sta" : 1, + "ptc_fed_amount" : [ 0 ], + "ibi_uti_percent_deprbas_sta" : 0, "pbi_fed_tax_fed" : 1, - "cp_capacity_credit_percent" : [ 0 ], - "depr_bonus_sta_custom" : 0, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_sta_amount" : 0, + "depr_alloc_sl_5_percent" : 0, + "payment_option" : 0, + "grid_curtailment_price_esc" : 0, + "pbi_sta_for_ds" : 0, + "pbi_fed_escal" : 0, + "dscr_limit_debt_fraction" : 0, + "depr_custom_schedule" : [ 0 ], + "cost_debt_closing" : 0, + "ibi_fed_amount_deprbas_fed" : 0, + "ibi_oth_percent_tax_sta" : 1, + "cp_capacity_payment_amount" : [ 0 ], + "loan_moratorium" : 0, + "cbi_oth_tax_fed" : 1, + "itc_fed_amount_deprbas_fed" : 1, + "ibi_sta_percent_deprbas_fed" : 0, + "pbi_fed_amount" : [ 0 ], + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_sta_sl_39" : 0, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ur_nm_credit_rollover" : 0, + "ibi_oth_amount_tax_fed" : 1, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ibi_uti_percent_maxvalue" : 0, "ibi_uti_percent_deprbas_fed" : 0, - "ur_metering_option" : 4, - "ptc_fed_escal" : 0, + "construction_financing_cost" : 43008751.054279134, + "ibi_uti_amount_tax_sta" : 1, + "ptc_sta_escal" : 0, + "cbi_uti_maxvalue" : 0, + "pbi_uti_escal" : 0, + "depr_fedbas_method" : 1, + "itc_sta_percent_deprbas_fed" : 0, + "depr_bonus_sta_macrs_5" : 0, + "pbi_oth_escal" : 0, + "ibi_sta_amount" : 0, + "months_receivables_reserve" : 0, "cbi_sta_tax_sta" : 1, - "itc_fed_amount_deprbas_sta" : 1, - "batt_salvage_percentage" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "ibi_sta_percent_tax_fed" : 1, + "dscr_reserve_months" : 6, + "ibi_oth_amount" : 0, + "equip1_reserve_freq" : 15, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "equip2_reserve_cost" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "reserves_interest" : 1.7250000000000001, + "depr_bonus_fed_sl_39" : 0, + "ur_billing_demand_minimum" : 0, + "depr_itc_sta_custom" : 0, + "ppa_escalation" : 1, "ppa_price_input" : [ 0.050000000000000003 ], - "ptc_sta_escal" : 0, - "system_capacity" : 377123.09999999998, - "real_discount_rate" : 6.4000000000000004, - "ibi_uti_amount_tax_sta" : 1, + "ibi_oth_percent_maxvalue" : 0, "ppa_soln_mode" : 1, - "depr_bonus_sta_sl_20" : 0, - "itc_fed_percent_deprbas_sta" : 1, - "prop_tax_assessed_decline" : 0, - "flip_target_percent" : 11, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "depr_alloc_sl_20_percent" : 0, "en_electricity_rates" : 0, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_sta_amount_deprbas_sta" : 0, + "itc_fed_amount" : [ 0 ], + "depr_bonus_sta_custom" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_fed_percent_tax_fed" : 1, + "pbi_sta_tax_fed" : 1, + "depr_bonus_fed_sl_15" : 0, "pbi_sta_amount" : [ 0 ], - "ur_dc_enable" : 0, - "dscr_maximum_debt_fraction" : 100, - "debt_percent" : 60, - "cbi_fed_tax_fed" : 1, + "ur_nm_yearend_sell_rate" : 0, + "depr_itc_sta_macrs_5" : 0, + "ppa_multiplier_model" : 0, + "cp_battery_nameplate" : 0, + "cp_capacity_credit_percent" : [ 0 ], + "ptc_fed_term" : 10, + "pbi_oth_amount" : [ 0 ], + "cp_system_nameplate" : 377.12309999999997, + "ibi_sta_percent_deprbas_sta" : 0, + "grid_curtailment_price" : [ 0 ], + "depr_bonus_fed_sl_5" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "itc_fed_percent" : [ 30 ], + "ptc_sta_term" : 10, + "pbi_uti_tax_fed" : 1, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_for_ds" : 0, "itc_sta_percent" : [ 0 ], - "pbi_sta_escal" : 0, - "ibi_uti_amount_deprbas_fed" : 0, - "analysis_period" : 25, - "ur_nm_credit_month" : 0, - "pbi_uti_amount" : [ 0 ], - "depr_bonus_fed_macrs_15" : 0, - "ibi_oth_percent_maxvalue" : 0, + "itc_sta_percent_deprbas_sta" : 0, "ibi_sta_amount_tax_sta" : 1, - "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_monthly_min_charge" : 0, - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "cbi_uti_maxvalue" : 0, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "depr_alloc_macrs_15_percent" : 0, "cbi_uti_tax_fed" : 1, - "om_production" : [ 0 ], - "equip_reserve_depr_fed" : 0, - "equip2_reserve_freq" : 0, - "depr_itc_sta_macrs_5" : 0, - "depr_bonus_sta_sl_15" : 0, - "cp_capacity_payment_amount" : [ 0 ], - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "ur_en_ts_sell_rate" : 0, - "flip_target_year" : 10, - "pbi_uti_escal" : 0, - "depr_itc_sta_custom" : 0, - "cbi_fed_maxvalue" : 0, - "itc_sta_amount_deprbas_sta" : 0, - "ptc_fed_amount" : [ 0 ], - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], - "ibi_uti_percent_deprbas_sta" : 0, - "depr_itc_sta_sl_39" : 0, - "ibi_fed_percent_tax_sta" : 1, - "grid_interconnection_limit_kwac" : 20000, - "depr_alloc_sl_15_percent" : 0, + "cbi_fed_tax_fed" : 1, + "ibi_uti_amount_deprbas_sta" : 0, + "cbi_fed_amount" : 0, + "cbi_sta_tax_fed" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.044999999999999998, 0 ] ], + "ibi_fed_percent_deprbas_fed" : 0, + "depr_itc_fed_sl_39" : 0, + "cbi_oth_amount" : 0, + "cbi_oth_deprbas_fed" : 0, + "depr_bonus_fed_sl_20" : 0, + "cbi_oth_deprbas_sta" : 0, + "pbi_fed_tax_sta" : 1, + "cbi_oth_maxvalue" : 0, "cbi_oth_tax_sta" : 1, - "itc_sta_amount" : [ 0 ], - "depr_custom_schedule" : [ 0 ], - "pbi_sta_term" : 0, - "pbi_fed_for_ds" : 0, - "months_receivables_reserve" : 0, - "ibi_fed_percent_maxvalue" : 0, - "grid_curtailment_price" : [ 0 ], - "cost_debt_closing" : 0, - "cp_capacity_payment_esc" : 0, - "term_tenor" : 18, - "om_capacity" : [ 0 ], - "total_installed_cost" : 1638428611.5915861, - "equip2_reserve_cost" : 0, - "depr_bonus_fed_custom" : 0, - "state_tax_rate" : [ 7 ], + "cbi_sta_deprbas_fed" : 0, + "cbi_sta_deprbas_sta" : 0, + "cbi_sta_maxvalue" : 0, + "ibi_fed_amount_tax_fed" : 1, + "cbi_uti_amount" : 0, + "ibi_uti_percent" : 0, + "cbi_uti_deprbas_sta" : 0, "depr_bonus_sta_macrs_15" : 0, + "cbi_uti_tax_sta" : 1, + "ibi_fed_percent_deprbas_sta" : 0, + "ibi_fed_amount_tax_sta" : 1, + "ibi_fed_percent" : 0, + "ibi_fed_percent_tax_sta" : 1, + "ibi_sta_amount_tax_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ibi_oth_percent" : 0, + "ibi_uti_amount_tax_fed" : 1, + "ibi_oth_percent_deprbas_fed" : 0, + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_amount" : 0, + "ur_nm_credit_month" : 0, + "ibi_uti_percent_tax_sta" : 1, + "depr_itc_sta_sl_5" : 0, + "pbi_fed_term" : 0, + "pbi_oth_tax_fed" : 1, + "pbi_oth_term" : 0, + "depr_itc_fed_sl_15" : 0, + "pbi_sta_escal" : 0, + "depr_itc_sta_sl_20" : 0, "pbi_sta_tax_sta" : 1, - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "depr_bonus_sta_sl_39" : 0, + "pbi_uti_amount" : [ 0 ], + "pbi_uti_for_ds" : 0, + "pbi_uti_term" : 0, + "depr_alloc_custom_percent" : 0, + "depr_bonus_sta_sl_20" : 0, + "depr_itc_sta_sl_39" : 0, + "depr_alloc_sl_39_percent" : 0, + "depr_bonus_fed_macrs_5" : 1, + "depr_bonus_sta" : 0, + "depr_bonus_sta_sl_15" : 0, + "depr_itc_fed_sl_5" : 0, + "depr_itc_fed_macrs_15" : 0, + "depr_bonus_sta_sl_5" : 0, + "depr_itc_fed_macrs_5" : 0, + "depr_itc_fed_custom" : 0, + "depr_itc_fed_sl_20" : 0, + "depr_stabas_method" : 1, + "ur_ts_sell_rate" : [ 0 ], + "ur_en_ts_sell_rate" : 0, + "ur_metering_option" : 4, + "ur_en_ts_buy_rate" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ur_annual_min_charge" : 0, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_yearzero_usage_peaks" : [ 0 ], + "ur_dc_enable" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "number table entries" : 241 }, "number table entries" : 7 diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json index 3ef6c035d..f34fba891 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json @@ -1,460 +1,460 @@ { "input" : { "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","host_developer"], - "pvwattsv8" : { - "shading_mxh" : [ [ 0 ] ], - "om_production_escal" : 0, + "windpower" : { "om_fixed_escal" : 0, - "batt_simple_enable" : 0, - "analysis_period" : 25, - "module_type" : 0, - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "gcr" : 0.29999999999999999, - "total_installed_cost" : 948196.80000000005, - "om_capacity_escal" : 0, - "dc_ac_ratio" : 1.1499999999999999, + "turb_specific_loss" : 0.81000000000000005, + "wind_resource_distribution" : [ [ 0 ] ], + "avail_bop_loss" : 0.5, + "weibull_wind_speed" : 7.25, + "env_degrad_loss" : 1.8, "adjust_en_timeindex" : 0, - "en_snowloss" : 0, + "weibull_reference_height" : 50, + "degradation" : [ 0 ], + "avail_grid_loss" : 1.5, + "wind_turbine_rotor_diameter" : 21, + "om_production_escal" : 0, + "turb_perf_loss" : 1.1000000000000001, + "wind_turbine_max_cp" : 0.45000000000000001, + "wind_resource_model_choice" : 0, + "wind_turbine_hub_ht" : 80, + "avail_turb_loss" : 3.5800000000000001, + "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "adjust_constant" : 0, + "weibull_k_factor" : 2, + "wind_resource_shear" : 0.14000000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "wind_farm_wake_model" : 0, + "wind_farm_yCoordinates" : [ 0 ], + "env_icing_loss" : 0.20999999999999999, "adjust_en_periods" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "adjust_timeindex" : [ 0 ], + "om_capacity" : [ 35 ], + "system_capacity" : 100, + "wind_farm_xCoordinates" : [ 0 ], + "ops_load_loss" : 0.98999999999999999, + "turb_hysteresis_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "elec_parasitic_loss" : 0.10000000000000001, + "ops_strategies_loss" : 0, + "elec_eff_loss" : 1.9099999999999999, + "turb_generic_loss" : 1.7, + "env_env_loss" : 0.40000000000000002, + "env_exposure_loss" : 0, + "ops_grid_loss" : 0.83999999999999997, + "ops_env_loss" : 1, + "wake_ext_loss" : 1.1000000000000001, + "wake_int_loss" : 0, + "total_installed_cost" : 464400, + "om_capacity_escal" : 0, + "om_fixed" : [ 0 ], "om_production" : [ 0 ], - "tilt" : 20, - "azimuth" : 180, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "system_capacity" : 540, - "shading_en_mxh" : 0, + "number table entries" : 50 + }, + "pvwattsv8" : { + "array_type" : 1, + "dc_ac_ratio" : 1.1499999999999999, "shading_timestep" : [ [ 0 ] ], - "system_use_lifetime_output" : 0, + "shading_en_diff" : 0, "losses" : 14.075660688264469, - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "inv_eff" : 96, + "shading_azal" : [ [ 0 ] ], + "adjust_en_periods" : 0, "shading_string_option" : 0, - "shading_en_timestep" : 0, - "shading_en_diff" : 0, - "shading_en_azal" : 0, - "shading_en_string_option" : 0, + "inv_eff" : 96, + "analysis_period" : 25, + "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", + "om_production_escal" : 0, + "system_use_lifetime_output" : 0, + "batt_simple_enable" : 0, + "degradation" : [ 0.5 ], + "azimuth" : 180, + "gcr" : 0.29999999999999999, + "shading_mxh" : [ [ 0 ] ], + "tilt" : 20, + "module_type" : 0, + "system_capacity" : 540, "shading_diff" : 0, - "shading_azal" : [ [ 0 ] ], + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], "om_capacity" : [ 22 ], - "bifaciality" : 0, - "adjust_constant" : 0, - "degradation" : [ 0.5 ], "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "use_wf_albedo" : 1, - "array_type" : 1, + "shading_en_timestep" : 0, + "total_installed_cost" : 948196.80000000005, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "adjust_en_timeindex" : 0, + "adjust_constant" : 0, + "en_snowloss" : 0, "adjust_periods" : [ [ 0, 0, 0 ] ], + "shading_en_azal" : 0, + "bifaciality" : 0, + "shading_en_mxh" : 0, + "om_production" : [ 0 ], + "shading_en_string_option" : 0, + "use_wf_albedo" : 1, "om_fixed" : [ 0 ], + "om_fixed_escal" : 0, + "om_capacity_escal" : 0, "number table entries" : 41 }, - "hybrid" : { - "pbi_fed_amount" : [ 0 ], - "cbi_oth_deprbas_sta" : 0, - "loan_moratorium" : 0, - "ibi_sta_amount_deprbas_fed" : 0, - "ur_ec_sched_weekend" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ] ], - "cost_debt_fee" : 2.75, - "itc_sta_percent_deprbas_fed" : 0, - "ibi_uti_amount_deprbas_sta" : 0, - "ibi_sta_percent_maxvalue" : 0, - "ibi_fed_percent_deprbas_fed" : 0, - "depr_alloc_sl_20_percent" : 0, - "depr_bonus_fed_sl_15" : 0, + "battery" : { + "batt_loss_choice" : 0, + "batt_meter_position" : 0, + "batt_voltage_choice" : 0, + "batt_maximum_SOC" : 95, + "batt_losses" : [ 0 ], + "LeadAcid_q20_computed" : 2398.5, + "batt_minimum_SOC" : 30, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_Qexp" : 0.040000050000000002, + "LeadAcid_q10_computed" : 2230.605, + "batt_cycle_cost_choice" : 0, + "batt_Vfull" : 4.0999999999999996, + "batt_resistance" : 0.002, + "LeadAcid_tn" : 1, + "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "LeadAcid_qn_computed" : 1439.0999999999999, + "batt_Qnom" : 2.0000002500000003, + "batt_computed_bank_capacity" : 1200.2094000000002, + "batt_power_discharge_max_kwdc" : 300.05235000000005, + "inflation_rate" : 2.5, + "add_om_num_types" : 1, + "batt_chem" : 1, + "om_replacement_cost_escal" : 0, + "batt_life_model" : 0, + "om_batt_variable_cost" : [ 0 ], + "batt_surface_area" : 90.015705000000011, + "om_batt_nameplate" : 1200.2094000000002, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_initial_SOC" : 50, + "en_standalone_batt" : 0, + "batt_current_discharge_max" : 599.625, + "batt_dc_dc_efficiency" : 99, + "batt_computed_strings" : 1066, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "batt_Qfull_flow" : 2398.5, + "dispatch_manual_system_charge_first" : 1, + "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, + "batt_Vnom_default" : 3.6000000000000001, + "batt_Vcut" : 2.706, + "batt_Qfull" : 2.25, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_inverter_efficiency_cutoff" : 90, + "batt_current_charge_max" : 599.625, + "batt_Cp" : 1500, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_computed_series" : 139, + "batt_current_choice" : 1, + "om_capacity_escal" : 0, + "batt_ac_or_dc" : 1, + "batt_power_charge_max_kwac" : 312.55453125000008, + "batt_ac_dc_efficiency" : 96, + "batt_power_charge_max_kwdc" : 300.05235000000005, + "batt_power_discharge_max_kwac" : 288.05025600000005, + "batt_C_rate" : 0.20000000000000001, + "batt_Vexp" : 4.0499999999999998, + "batt_Vnom" : 3.3999999999999999, + "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], + "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "batt_mass" : 17145.848571428574, + "batt_h_to_ambient" : 7.5, + "batt_room_temperature_celsius" : [ 25 ], + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "batt_calendar_a" : 0.00266, + "batt_calendar_b" : -7280, + "batt_calendar_q0" : 1.02, + "batt_calendar_c" : 939, + "om_fixed_escal" : 0, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_calendar_choice" : 1, + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "ppa_escalation" : 1, + "batt_replacement_capacity" : 0, + "batt_replacement_option" : 1, + "batt_target_power" : [ 0 ], + "batt_dispatch_choice" : 0, + "batt_target_choice" : 0, + "batt_target_power_monthly" : [ 0 ], + "batt_custom_dispatch" : [ 0 ], + "batt_load_ac_forecast_escalation" : [ 0 ], + "dispatch_manual_percent_gridcharge" : [ 100, 0 ], + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], + "analysis_period" : 25, + "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], + "en_wave_batt" : 0, + "batt_cycle_cost" : [ 0 ], + "en_batt" : 1, + "batt_minimum_modetime" : 10, + "batt_pv_clipping_forecast" : [ 0 ], + "batt_pv_ac_forecast" : [ 0 ], + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_charge" : 1, + "om_production_escal" : 0, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "om_batt_fixed_cost" : [ 0 ], + "batt_dispatch_discharge_only_load_exceeds_system" : 1, + "batt_load_ac_forecast" : [ 0 ], + "batt_dispatch_charge_only_system_exceeds_load" : 1, + "batt_dispatch_load_forecast_choice" : 0, + "total_installed_cost" : 391808.35863000003, + "om_batt_capacity_cost" : [ 6.2800000000000002 ], + "om_batt_replacement_cost" : [ 225.06 ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "load_escalation" : [ 0 ], "ppa_multiplier_model" : 0, - "ur_enable_billing_demand" : 0, - "depr_itc_fed_custom" : 0, - "pbi_oth_escal" : 0, - "cbi_fed_tax_fed" : 1, - "itc_fed_amount_deprbas_fed" : 1, - "ibi_oth_amount_deprbas_fed" : 0, - "debt_option" : 1, + "ppa_price_input" : [ 0.153 ], + "number table entries" : 114 + }, + "hybrid" : { + "crit_load" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "flip_target_year" : 20, + "real_discount_rate" : 6.4000000000000004, + "ibi_fed_percent_tax_sta" : 1, "inflation_rate" : 2.5, - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_ts_sell_rate" : [ 0 ], - "ibi_uti_amount" : 0, - "ur_billing_demand_minimum" : 0, - "depr_alloc_macrs_15_percent" : 0, - "ibi_uti_percent_tax_fed" : 1, - "ibi_fed_amount_deprbas_sta" : 0, - "depr_fedbas_method" : 1, - "equip3_reserve_freq" : 0, - "depr_bonus_sta_macrs_15" : 0, - "equip3_reserve_cost" : 0, - "om_capacity" : [ 0 ], - "cbi_sta_tax_fed" : 1, - "ibi_oth_percent_tax_fed" : 1, - "ur_billing_demand_lookback_period" : 0, - "system_capacity" : 569.56500000000005, - "ibi_sta_percent_tax_fed" : 1, - "cbi_fed_amount" : 0, - "depr_bonus_sta" : 0, - "ibi_uti_percent_maxvalue" : 0, - "depr_itc_sta_sl_39" : 0, - "ibi_fed_percent_deprbas_sta" : 0, - "ur_ts_buy_rate" : [ 0 ], - "depr_bonus_sta_sl_20" : 0, - "ppa_soln_mode" : 1, - "prop_tax_assessed_decline" : 0, - "itc_fed_percent_deprbas_sta" : 1, + "cost_debt_closing" : 0, "flip_target_percent" : 11, - "ur_ec_sched_weekday" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ] ], - "cbi_oth_amount" : 0, - "cbi_uti_amount" : 0, - "depr_itc_sta_sl_15" : 0, + "term_int_rate" : 7, "ptc_fed_escal" : 0, - "ur_metering_option" : 0, - "crit_load" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "ibi_sta_amount" : 0, - "ibi_oth_percent_deprbas_fed" : 0, - "load_escalation" : [ 0 ], - "depr_itc_fed_sl_39" : 0, - "cbi_uti_tax_fed" : 1, - "om_production" : [ 0 ], - "depr_itc_fed_macrs_15" : 0, - "depr_bonus_fed_sl_39" : 0, - "ptc_fed_amount" : [ 0 ], - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], - "equip_reserve_depr_fed" : 0, - "equip2_reserve_freq" : 0, - "depr_bonus_fed_sl_5" : 0, - "pbi_uti_tax_sta" : 1, - "ibi_oth_amount_tax_sta" : 1, - "depr_bonus_sta_sl_5" : 0, - "ibi_fed_percent_tax_sta" : 1, - "itc_sta_amount_deprbas_sta" : 0, - "cbi_fed_maxvalue" : 0, - "ibi_oth_percent_deprbas_sta" : 0, - "depr_bonus_fed_sl_20" : 0, - "depr_bonus_fed" : 0, + "months_working_reserve" : 6, + "system_capacity" : 569.56500000000005, + "cbi_uti_amount" : 0, + "pbi_oth_tax_sta" : 1, "grid_interconnection_limit_kwac" : 20000, - "pbi_oth_for_ds" : 0, + "cost_debt_fee" : 2.75, + "federal_tax_rate" : [ 21 ], + "ibi_sta_amount_deprbas_fed" : 0, + "ur_ec_sched_weekday" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 1, 1, 1, 1, 1, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 3, 3, 3, 3, 3, 4, 4, 4, 4 ] ], + "om_capacity" : [ 0 ], + "equip3_reserve_freq" : 0, "enable_interconnection_limit" : 0, + "pbi_sta_for_ds" : 0, + "pbi_fed_escal" : 0, + "grid_outage" : [ 0 ], + "itc_fed_percent_deprbas_fed" : 1, "cbi_fed_deprbas_fed" : 0, - "rate_escalation" : [ 0 ], - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "ibi_oth_percent_tax_sta" : 1, + "ptc_sta_amount" : [ 0 ], + "ibi_oth_percent_tax_fed" : 1, + "dscr_maximum_debt_fraction" : 100, + "depr_itc_sta_macrs_15" : 0, + "ppa_escalation" : 1, + "cbi_fed_deprbas_sta" : 0, + "itc_fed_percent_deprbas_sta" : 1, + "prop_tax_assessed_decline" : 0, + "debt_percent" : 60, + "batt_salvage_percentage" : 0, + "cbi_oth_maxvalue" : 0, + "pbi_fed_tax_sta" : 1, + "ibi_fed_amount_deprbas_sta" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], + "loan_moratorium" : 0, + "ur_billing_demand_minimum" : 0, + "ptc_fed_amount" : [ 0 ], + "ibi_uti_percent_deprbas_sta" : 0, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_fed_maxvalue" : 0, + "ur_billing_demand_lookback_period" : 0, + "state_tax_rate" : [ 7 ], + "depr_itc_sta_sl_15" : 0, + "ibi_fed_amount" : 0, + "ppa_price_input" : [ 0.153 ], + "ibi_oth_percent_maxvalue" : 0, + "ppa_soln_mode" : 1, + "cbi_fed_tax_sta" : 1, + "analysis_period" : 25, + "ibi_uti_amount_deprbas_fed" : 0, + "salvage_percentage" : 0, + "ibi_oth_amount_deprbas_sta" : 0, + "ibi_oth_amount_tax_sta" : 1, + "cost_other_financing" : 0, + "host_real_discount_rate" : 6.4000000000000004, + "pbi_oth_amount" : [ 0 ], + "itc_fed_amount_deprbas_fed" : 1, + "ibi_sta_percent_deprbas_fed" : 0, + "dscr" : 1.3, "itc_sta_amount" : [ 0 ], - "cbi_oth_tax_sta" : 1, - "ibi_fed_percent_maxvalue" : 0, + "depr_alloc_sl_15_percent" : 0, + "rate_escalation" : [ 0 ], + "equip_reserve_depr_sta" : 0, + "equip3_reserve_cost" : 0, + "ur_monthly_fixed_charge" : 0, + "insurance_rate" : 0, + "ibi_sta_percent" : 0, "pbi_sta_term" : 0, - "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "ibi_fed_amount_deprbas_fed" : 0, + "ibi_uti_percent_tax_fed" : 1, + "prop_tax_cost_assessed_percent" : 100, + "cbi_uti_deprbas_fed" : 0, + "debt_option" : 1, + "term_tenor" : 18, + "property_tax_rate" : 0, + "om_production" : [ 0 ], + "pbi_fed_tax_fed" : 1, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_sta_amount" : 0, "depr_alloc_sl_5_percent" : 0, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ], [ 2, 1, 9.9999999999999998e+37, 0, 0.074999999999999997, 0 ], [ 3, 1, 9.9999999999999998e+37, 0, 0.059999999999999998, 0 ], [ 4, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ] ], - "cbi_sta_deprbas_sta" : 0, - "ibi_uti_percent_tax_sta" : 1, - "ibi_oth_amount_deprbas_sta" : 0, - "ibi_fed_amount" : 0, + "payment_option" : 0, + "dscr_limit_debt_fraction" : 0, + "depr_custom_schedule" : [ 0 ], + "ibi_fed_amount_deprbas_fed" : 0, + "ibi_oth_percent_tax_sta" : 1, + "cbi_oth_tax_fed" : 1, + "batt_replacement_option" : 1, + "pbi_fed_amount" : [ 0 ], + "depr_alloc_macrs_5_percent" : 100, + "ur_enable_billing_demand" : 0, + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_sta_sl_39" : 0, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ibi_fed_percent_maxvalue" : 0, + "ur_nm_credit_rollover" : 0, "ibi_oth_amount_tax_fed" : 1, - "depr_itc_fed_macrs_5" : 0, - "cbi_uti_tax_sta" : 1, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ibi_uti_percent_maxvalue" : 0, + "ibi_uti_percent_deprbas_fed" : 0, + "construction_financing_cost" : 47365.635414037504, + "ibi_uti_amount_tax_sta" : 1, + "ptc_sta_escal" : 0, + "cbi_uti_maxvalue" : 0, + "pbi_uti_escal" : 0, + "depr_fedbas_method" : 1, + "itc_sta_percent_deprbas_fed" : 0, "depr_bonus_sta_macrs_5" : 0, - "pbi_oth_tax_sta" : 1, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "depr_alloc_sl_15_percent" : 0, - "ibi_sta_percent_deprbas_sta" : 0, - "depr_itc_sta_sl_5" : 0, + "pbi_oth_escal" : 0, + "ibi_sta_amount" : 0, + "months_receivables_reserve" : 0, + "cbi_sta_tax_sta" : 1, "equip1_reserve_cost" : 0.10000000000000001, - "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "cbi_uti_deprbas_fed" : 0, + "pbi_oth_for_ds" : 0, + "ibi_sta_percent_tax_fed" : 1, + "dscr_reserve_months" : 0, + "ibi_oth_amount" : 0, + "equip1_reserve_freq" : 15, + "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "equip2_reserve_cost" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "reserves_interest" : 1.75, + "depr_bonus_fed_sl_39" : 0, + "depr_itc_sta_custom" : 0, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "depr_alloc_sl_20_percent" : 0, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_sta_amount_deprbas_sta" : 0, "itc_fed_amount" : [ 0 ], + "depr_bonus_sta_custom" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_fed_percent_tax_fed" : 1, + "pbi_sta_tax_fed" : 1, + "depr_bonus_fed_sl_15" : 0, + "pbi_sta_amount" : [ 0 ], "ur_nm_yearend_sell_rate" : 0, - "cbi_uti_deprbas_sta" : 0, - "pbi_uti_term" : 0, - "ptc_sta_escal" : 0, - "ppa_price_input" : [ 0.153 ], - "ibi_uti_amount_tax_fed" : 1, - "cost_debt_closing" : 0, - "pbi_uti_escal" : 0, - "flip_target_year" : 20, - "pbi_oth_term" : 0, + "depr_itc_sta_macrs_5" : 0, + "ppa_multiplier_model" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "itc_fed_percent" : [ 30 ], + "ptc_sta_term" : 10, "pbi_uti_tax_fed" : 1, - "pbi_fed_tax_fed" : 1, - "depr_bonus_sta_custom" : 0, - "ur_annual_min_charge" : 0, + "itc_sta_amount_deprbas_fed" : 0, "ptc_fed_term" : 10, - "prop_tax_cost_assessed_percent" : 100, - "depr_bonus_fed_macrs_5" : 1, - "itc_fed_percent_deprbas_fed" : 1, - "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "cbi_sta_deprbas_fed" : 0, - "ur_yearzero_usage_peaks" : [ 0 ], + "pbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_sta" : 0, + "om_fixed" : [ 0 ], + "pbi_fed_for_ds" : 0, + "itc_sta_percent" : [ 0 ], "itc_sta_percent_deprbas_sta" : 0, - "cbi_fed_tax_sta" : 1, - "payment_option" : 0, - "pbi_fed_tax_sta" : 1, - "ur_monthly_min_charge" : 0, - "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "depr_alloc_macrs_5_percent" : 100, - "depr_itc_sta_macrs_5" : 0, - "depr_bonus_sta_sl_15" : 0, - "depr_bonus_fed_macrs_15" : 0, - "pbi_uti_amount" : [ 0 ], - "ibi_oth_percent_maxvalue" : 0, + "load_escalation" : [ 0 ], "ibi_sta_amount_tax_sta" : 1, - "batt_replacement_option" : 1, - "ibi_sta_amount_deprbas_sta" : 0, - "cbi_sta_amount" : 0, - "itc_fed_percent" : [ 30 ], - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "pbi_fed_term" : 0, - "itc_sta_amount_deprbas_fed" : 0, - "ur_nm_credit_rollover" : 0, - "reserves_interest" : 1.75, - "construction_financing_cost" : 47365.635414037504, "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], - "depr_alloc_sl_39_percent" : 0, - "cbi_oth_tax_fed" : 1, - "om_fixed" : [ 0 ], - "host_real_discount_rate" : 6.4000000000000004, - "dscr_limit_debt_fraction" : 0, - "equip_reserve_depr_sta" : 0, - "pbi_oth_tax_fed" : 1, - "ibi_sta_percent_tax_sta" : 1, - "depr_itc_sta_macrs_15" : 0, - "dscr_reserve_months" : 0, - "batt_salvage_percentage" : 0, - "itc_fed_amount_deprbas_sta" : 1, - "ibi_uti_percent" : 0, - "ibi_uti_percent_deprbas_fed" : 0, - "salvage_percentage" : 0, + "depr_alloc_macrs_15_percent" : 0, + "cbi_uti_tax_fed" : 1, + "cbi_fed_tax_fed" : 1, + "ibi_uti_amount_deprbas_sta" : 0, + "cbi_fed_amount" : 0, + "cbi_sta_tax_fed" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ], [ 2, 1, 9.9999999999999998e+37, 0, 0.074999999999999997, 0 ], [ 3, 1, 9.9999999999999998e+37, 0, 0.059999999999999998, 0 ], [ 4, 1, 9.9999999999999998e+37, 0, 0.050000000000000003, 0 ] ], + "ibi_fed_percent_deprbas_fed" : 0, + "depr_itc_fed_sl_39" : 0, + "cbi_oth_amount" : 0, "cbi_oth_deprbas_fed" : 0, - "cbi_sta_tax_sta" : 1, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "real_discount_rate" : 6.4000000000000004, - "ibi_uti_amount_tax_sta" : 1, - "ppa_escalation" : 1, - "ur_monthly_fixed_charge" : 0, - "pbi_sta_tax_fed" : 1, - "ibi_oth_percent" : 0, - "months_working_reserve" : 6, - "cbi_fed_deprbas_sta" : 0, - "pbi_sta_for_ds" : 0, - "dscr_maximum_debt_fraction" : 100, - "debt_percent" : 60, - "ur_dc_enable" : 0, + "depr_bonus_fed_sl_20" : 0, + "cbi_oth_deprbas_sta" : 0, + "cbi_oth_tax_sta" : 1, + "cbi_sta_deprbas_fed" : 0, + "cbi_sta_deprbas_sta" : 0, + "cbi_sta_maxvalue" : 0, "ibi_fed_amount_tax_fed" : 1, - "property_tax_rate" : 0, - "pbi_fed_escal" : 0, + "ibi_uti_percent" : 0, + "cbi_uti_deprbas_sta" : 0, + "depr_bonus_sta_macrs_15" : 0, + "cbi_uti_tax_sta" : 1, + "ibi_fed_percent_deprbas_sta" : 0, + "ibi_fed_amount_tax_sta" : 1, + "ibi_fed_percent" : 0, + "ibi_sta_amount_tax_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ibi_oth_percent" : 0, + "ibi_uti_amount_tax_fed" : 1, + "ibi_oth_percent_deprbas_fed" : 0, + "ibi_sta_percent_deprbas_sta" : 0, + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_amount" : 0, + "ur_nm_credit_month" : 11, + "ibi_uti_percent_tax_sta" : 1, + "depr_itc_sta_sl_5" : 0, + "pbi_fed_term" : 0, + "pbi_oth_tax_fed" : 1, + "pbi_oth_term" : 0, + "depr_itc_fed_sl_15" : 0, + "pbi_sta_escal" : 0, "depr_itc_sta_sl_20" : 0, - "depr_itc_fed_sl_5" : 0, - "cbi_sta_maxvalue" : 0, - "pbi_fed_for_ds" : 0, - "months_receivables_reserve" : 0, - "pbi_sta_amount" : [ 0 ], "pbi_sta_tax_sta" : 1, - "analysis_period" : 25, - "ibi_uti_amount_deprbas_fed" : 0, - "term_tenor" : 18, - "federal_tax_rate" : [ 21 ], - "insurance_rate" : 0, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], + "pbi_uti_amount" : [ 0 ], "pbi_uti_for_ds" : 0, - "depr_stabas_method" : 1, - "term_int_rate" : 7, + "pbi_uti_term" : 0, "depr_alloc_custom_percent" : 0, - "dscr" : 1.3, - "ptc_sta_term" : 10, - "cost_other_financing" : 0, - "depr_itc_fed_sl_15" : 0, - "ibi_fed_amount_tax_sta" : 1, - "pbi_oth_amount" : [ 0 ], - "depr_itc_fed_sl_20" : 0, - "grid_outage" : [ 0 ], - "ibi_fed_percent_tax_fed" : 1, - "ibi_sta_percent_deprbas_fed" : 0, - "equip1_reserve_freq" : 15, - "ibi_sta_percent" : 0, - "ibi_uti_percent_deprbas_sta" : 0, - "ibi_oth_amount" : 0, - "depr_bonus_sta_sl_39" : 0, - "ibi_fed_percent" : 0, - "state_tax_rate" : [ 7 ], + "depr_bonus_sta_sl_20" : 0, + "depr_itc_sta_sl_39" : 0, + "depr_alloc_sl_39_percent" : 0, "depr_bonus_fed_custom" : 0, - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "depr_bonus_fed" : 0, + "depr_bonus_fed_macrs_15" : 0, + "depr_bonus_fed_macrs_5" : 1, + "depr_bonus_fed_sl_5" : 0, + "depr_bonus_sta" : 0, + "depr_bonus_sta_sl_15" : 0, + "depr_itc_fed_sl_5" : 0, + "depr_itc_fed_macrs_15" : 0, + "depr_bonus_sta_sl_5" : 0, + "depr_itc_fed_macrs_5" : 0, + "depr_itc_fed_custom" : 0, + "depr_itc_fed_sl_20" : 0, + "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], + "depr_stabas_method" : 1, + "ur_ts_sell_rate" : [ 0 ], "ur_en_ts_sell_rate" : 0, - "cbi_oth_maxvalue" : 0, - "ur_nm_credit_month" : 11, - "depr_itc_sta_custom" : 0, - "ibi_sta_amount_tax_fed" : 1, - "cbi_uti_maxvalue" : 0, - "depr_custom_schedule" : [ 0 ], - "ptc_sta_amount" : [ 0 ], - "pbi_sta_escal" : 0, - "itc_sta_percent" : [ 0 ], + "ur_metering_option" : 0, "ur_en_ts_buy_rate" : 0, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ur_ts_buy_rate" : [ 0 ], + "ur_annual_min_charge" : 0, + "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_ec_sched_weekend" : [ [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ], [ 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4 ] ], + "ur_yearzero_usage_peaks" : [ 0 ], + "ur_dc_enable" : 0, + "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], "total_installed_cost" : 1804405.15863, - "equip2_reserve_cost" : 0, "number table entries" : 238 - }, - "windpower" : { - "ops_env_loss" : 1, - "avail_grid_loss" : 1.5, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "wind_turbine_rotor_diameter" : 21, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, - "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0.5, 4.0999999999999996, 10.5, 19, 29.399999999999999, 41, 54.299999999999997, 66.799999999999997, 77.700000000000003, 86.400000000000006, 92.799999999999997, 97.799999999999997, 100, 99.900000000000006, 99.200000000000003, 98.400000000000006, 97.5, 96.799999999999997, 96.400000000000006, 96.299999999999997, 96.799999999999997, 98, 99.200000000000003 ], - "elec_parasitic_loss" : 0.10000000000000001, - "wind_farm_yCoordinates" : [ 0 ], - "wind_farm_xCoordinates" : [ 0 ], - "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 464400, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, - "om_production_escal" : 0, - "system_capacity" : 100, - "env_degrad_loss" : 1.8, - "wind_resource_shear" : 0.14000000000000001, - "adjust_timeindex" : [ 0 ], - "wind_turbine_powercurve_windspeeds" : [ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], - "wind_resource_model_choice" : 0, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource_distribution" : [ [ 0 ] ], - "om_fixed" : [ 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wake_ext_loss" : 1.1000000000000001, - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "ops_load_loss" : 0.98999999999999999, - "adjust_en_periods" : 0, - "om_capacity" : [ 35 ], - "wind_turbine_hub_ht" : 80, - "number table entries" : 50 - }, - "battery" : { - "om_batt_fixed_cost" : [ 0 ], - "inflation_rate" : 2.5, - "om_production_escal" : 0, - "batt_calendar_choice" : 1, - "batt_dispatch_auto_can_charge" : 1, - "batt_load_ac_forecast_escalation" : [ 0 ], - "batt_Qfull_flow" : 2398.5, - "batt_custom_dispatch" : [ 0 ], - "batt_target_choice" : 0, - "batt_current_charge_max" : 599.625, - "batt_dispatch_choice" : 0, - "dispatch_tod_factors" : [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ], - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_Vexp" : 4.0499999999999998, - "batt_ac_or_dc" : 1, - "batt_losses" : [ 0 ], - "batt_replacement_option" : 1, - "dispatch_manual_system_charge_first" : 1, - "batt_dispatch_auto_can_clipcharge" : 0, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "en_batt" : 1, - "batt_load_ac_forecast" : [ 0 ], - "batt_replacement_schedule_percent" : [ 0 ], - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "add_om_num_types" : 1, - "grid_interconnection_limit_kwac" : 20000, - "LeadAcid_qn_computed" : 1439.0999999999999, - "batt_calendar_b" : -7280, - "batt_power_discharge_max_kwdc" : 300.05235000000005, - "batt_dispatch_auto_btm_can_discharge_to_grid" : 0, - "batt_minimum_SOC" : 30, - "batt_calendar_a" : 0.00266, - "batt_C_rate" : 0.20000000000000001, - "analysis_period" : 25, - "batt_surface_area" : 90.015705000000011, - "batt_calendar_q0" : 1.02, - "dispatch_manual_charge" : [ 1, 1, 0, 0, 0, 0 ], - "batt_replacement_capacity" : 0, - "batt_Cp" : 1500, - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_Vnom_default" : 3.6000000000000001, - "load" : [ 65.6374, 62.5244, 75.159300000000002, 66.769599999999997, 78.419399999999996, 69.738100000000003, 79.130399999999995, 50.474800000000002, 50.396900000000002, 37.748899999999999, 37.133499999999998, 28.3751, 27.445799999999998, 23.783899999999999, 21.382100000000001, 20.960999999999999, 26.337800000000001, 42.498800000000003, 44.231900000000003, 50.858199999999997, 50.575200000000002, 57.217399999999998, 54.770000000000003, 62.5655, 62.546599999999998, 69.027299999999997, 64.461699999999993, 71.898099999999999, 68.317300000000003, 74.500799999999998, 234.67599999999999, 217.89599999999999, 230.172, 191.79400000000001, 172.779, 165.04599999999999, 163.96700000000001, 149.935, 141.27199999999999, 139.715, 147.91900000000001, 155.91200000000001, 130.833, 132.27199999999999, 128.76400000000001, 130.137, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 163.928, 142.125, 168.95599999999999, 147.91399999999999, 139.88999999999999, 136.93799999999999, 140.04599999999999, 132.46100000000001, 127.63200000000001, 127.446, 136.44200000000001, 141.77099999999999, 114.75, 114.797, 108.864, 112.226, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.07599999999999, 124.44199999999999, 158.465, 139.53399999999999, 130.405, 127.095, 126.792, 121.982, 117.19499999999999, 117.09, 126.77500000000001, 131.46100000000001, 102.11, 102.849, 94.759500000000003, 97.478099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.517, 110.21299999999999, 150.03700000000001, 131.90000000000001, 122.468, 122.902, 124.274, 124.874, 120.462, 119.828, 127.824, 134.51300000000001, 105.67700000000001, 104.267, 94.631900000000002, 95.954700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 126.096, 107.02, 140.04900000000001, 124.387, 120.53700000000001, 122.295, 122.559, 119.69499999999999, 115.20699999999999, 114.45, 121.73, 125.166, 95.473699999999994, 98.085599999999999, 89.498500000000007, 91.235500000000002, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.974, 100.036, 99.831999999999994, 90.010499999999993, 85.697800000000001, 85.382900000000006, 82.611599999999996, 75.291200000000003, 50.191899999999997, 50.711399999999998, 57.557899999999997, 74.0381, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 157.67500000000001, 130.22300000000001, 152.852, 132.786, 126.285, 125.56399999999999, 129.21899999999999, 125.691, 121.07299999999999, 120.048, 124.65600000000001, 126.2, 96.456599999999995, 98.345600000000005, 91.510900000000007, 95.959999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.52000000000001, 115.363, 149.15199999999999, 129.20500000000001, 121.56999999999999, 121.95999999999999, 125.404, 124.593, 120.611, 119.44799999999999, 124.295, 123.94499999999999, 92.634299999999996, 94.959699999999998, 87.208399999999997, 89.963700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.73699999999999, 94.745900000000006, 132.714, 123.34399999999999, 123.67400000000001, 126.724, 127.93899999999999, 127.712, 121.745, 118.511, 122.133, 122.241, 90.891199999999998, 92.178299999999993, 84.163899999999998, 86.747600000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 118.92700000000001, 105.46599999999999, 139.501, 122.95399999999999, 118.42400000000001, 119.17400000000001, 119.86799999999999, 118.334, 114.48699999999999, 113.276, 118.782, 124.63800000000001, 94.637100000000004, 97.234700000000004, 88.933099999999996, 91.846299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 128.102, 112.693, 148.291, 127.72799999999999, 121.797, 122.218, 122.967, 121.95, 118.389, 118.56100000000001, 121.84099999999999, 123.79000000000001, 93.490200000000002, 96.593100000000007, 89.485500000000002, 92.956800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 134.50800000000001, 98.574600000000004, 96.209999999999994, 89.250900000000001, 85.544600000000003, 85.889799999999994, 84.167199999999994, 78.445400000000006, 52.9495, 51.678400000000003, 55.887700000000002, 71.313900000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 147.559, 131.93000000000001, 163.137, 146.10900000000001, 138.83199999999999, 137.18100000000001, 141.72800000000001, 134.655, 127.19199999999999, 126.152, 132.17699999999999, 141.75200000000001, 114.36199999999999, 113.58499999999999, 106.758, 109.238, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.179, 112.44799999999999, 143.62, 128.36799999999999, 124.36799999999999, 125.72499999999999, 127.36799999999999, 122.678, 116.18300000000001, 115.887, 122.14700000000001, 129.77500000000001, 101.286, 103.536, 96.574299999999994, 99.830299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 134.58000000000001, 111.15000000000001, 143.304, 127.538, 122.19, 123.15600000000001, 124.548, 120.996, 115.086, 114.839, 120.735, 126.89, 97.389399999999995, 99.745400000000004, 92.501900000000006, 96.116399999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 144.44999999999999, 129.51599999999999, 151.28399999999999, 131.08500000000001, 126.27800000000001, 125.197, 125.161, 120.774, 114.79000000000001, 114.411, 120.586, 127.896, 99.4435, 102.658, 95.887, 99.524199999999993, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 145.87, 117.274, 109.54900000000001, 98.505700000000004, 91.924899999999994, 91.046199999999999, 85.477900000000005, 79.458399999999997, 56.5764, 55.824300000000001, 60.256900000000002, 79.618700000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.5655, 20.908799999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 41.792099999999998, 43.477699999999999, 45.228700000000003, 47.500100000000003, 172.999, 141.92699999999999, 162.33600000000001, 139.99199999999999, 131.96700000000001, 130.29300000000001, 132.97800000000001, 128.17400000000001, 122.601, 122.07299999999999, 122.983, 127.575, 98.183499999999995, 101.31100000000001, 94.981099999999998, 98.503799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 135.61000000000001, 111.334, 140.77699999999999, 125.304, 119.919, 122.72199999999999, 126.224, 126.482, 121.98399999999999, 120.77200000000001, 120.758, 122.099, 90.814499999999995, 93.228200000000001, 85.575599999999994, 87.925899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 130.18899999999999, 116.199, 146.624, 127.765, 119.84699999999999, 120.58799999999999, 123.60899999999999, 123.747, 120.589, 119.739, 119.92100000000001, 122.218, 91.146900000000002, 93.501999999999995, 86.483099999999993, 89.450100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 122.16800000000001, 105.55800000000001, 139.07400000000001, 122.52800000000001, 118.05200000000001, 119.79900000000001, 122.72499999999999, 124.003, 120.995, 121.575, 120.36499999999999, 121.67700000000001, 89.101900000000001, 90.398799999999994, 82.4726, 85.608699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 106.553, 99.482799999999997, 142.845, 126.185, 120.437, 119.81699999999999, 120.242, 121.363, 117.417, 118.02, 118.961, 123.149, 90.298599999999993, 92.199600000000004, 83.898399999999995, 86.446700000000007, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 109.401, 84.471800000000002, 94.075999999999993, 88.073800000000006, 80.752799999999993, 83.212699999999998, 82.307199999999995, 77.449399999999997, 52.473199999999999, 52.681800000000003, 51.462899999999998, 66.957899999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.1874, 18.7714, 18.7714, 18.7714, 18.7714, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 103.70099999999999, 89.666399999999996, 131.35900000000001, 120.056, 118.578, 121.705, 123.17700000000001, 124.276, 120.59099999999999, 120.166, 120.76900000000001, 121.95399999999999, 86.707599999999999, 88.599800000000002, 79.362799999999993, 82.089299999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.430400000000006, 94.066299999999998, 140.36500000000001, 121.417, 116.782, 118.71899999999999, 120.955, 122.154, 119.54000000000001, 118.523, 118.45, 121.761, 88.233999999999995, 89.043000000000006, 81.398300000000006, 83.947199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 112.60599999999999, 96.976600000000005, 135.261, 121.816, 117.521, 119.45399999999999, 122.226, 122.842, 119.27200000000001, 117.702, 119.45699999999999, 119.407, 88.739999999999995, 90.196700000000007, 81.925600000000003, 84.2286, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.974, 99.5702, 136.22399999999999, 122.069, 117.45, 121.217, 123.712, 123.718, 119.188, 118.688, 120.167, 119.499, 89.252300000000005, 90.241900000000001, 81.179100000000005, 85.0077, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.85299999999999, 92.126099999999994, 133.285, 120.232, 117.33199999999999, 123.502, 128.50999999999999, 132.09, 128.274, 126.301, 126.843, 124.682, 88.513199999999998, 86.986900000000006, 77.743899999999996, 81.084800000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 91.562899999999999, 78.622, 92.927800000000005, 91.589699999999993, 87.678399999999996, 87.836200000000005, 85.348299999999995, 82.296000000000006, 64.172300000000007, 66.613200000000006, 68.2239, 80.777199999999993, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 149.261, 123.809, 147.56399999999999, 128.82300000000001, 122.57899999999999, 125.586, 128.886, 124.836, 120.22799999999999, 119.962, 121.28, 121.551, 90.239099999999993, 90.853999999999999, 82.031400000000005, 85.2273, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.751, 92.188900000000004, 131.30099999999999, 121.325, 124.155, 131.137, 134.179, 137.99600000000001, 134.56700000000001, 133.44200000000001, 133.53299999999999, 128.93700000000001, 90.182599999999994, 88.579599999999999, 76.376999999999995, 76.546099999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.105800000000002, 68.996799999999993, 130.89699999999999, 125.398, 125.85299999999999, 129.80799999999999, 132.809, 137.547, 131.54499999999999, 129.309, 129.21799999999999, 127.428, 89.081699999999998, 85.006200000000007, 70.622500000000002, 70.793300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.207400000000007, 69.731499999999997, 126.489, 119.797, 123.214, 129.63200000000001, 130.02699999999999, 128.089, 121.849, 121.21899999999999, 122.95, 117.617, 84.562899999999999, 86.619200000000006, 78.609700000000004, 81.926400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 98.774100000000004, 89.930999999999997, 134.02699999999999, 121.379, 117.417, 118.57299999999999, 118.658, 116.505, 112.105, 112.11, 115.22, 118.809, 91.306700000000006, 93.633499999999998, 85.924400000000006, 88.787800000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 120.459, 99.685900000000004, 104.526, 94.995199999999997, 85.698599999999999, 85.528599999999997, 82.014099999999999, 75.615499999999997, 49.681399999999996, 49.085000000000001, 50.838099999999997, 69.418300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.437100000000001, 41.040100000000002, 40.948300000000003, 38.1295, 23.750800000000002, 20.299900000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 44.270299999999999, 43.794199999999996, 46.177599999999998, 47.963200000000001, 173.422, 145.755, 164.054, 142.81200000000001, 131.482, 129.958, 134.41399999999999, 129.863, 124.955, 125.08499999999999, 124.736, 122.557, 93.790499999999994, 96.991399999999999, 90.142899999999997, 94.050299999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.515, 99.294399999999996, 134.339, 121.258, 122.881, 131.25399999999999, 135.374, 137.977, 135.06299999999999, 134.64699999999999, 131.529, 124.041, 87.728399999999993, 85.050899999999999, 72.697800000000001, 74.869600000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 81.207400000000007, 82.448800000000006, 130.369, 117.39700000000001, 114.22799999999999, 118.59699999999999, 122.657, 127.271, 125.73399999999999, 126.648, 127.61199999999999, 121.029, 84.843000000000004, 82.321799999999996, 70.757000000000005, 72.6708, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.283199999999994, 77.828900000000004, 131.03399999999999, 119.11499999999999, 120.381, 123.19799999999999, 122.685, 126.631, 125.8, 125.527, 126.34699999999999, 122.17100000000001, 87.738399999999999, 85.747299999999996, 72.2303, 73.031199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.181100000000001, 69.357100000000003, 129.61000000000001, 123.291, 125.708, 135.655, 141.792, 145.267, 139.92099999999999, 140.845, 142.16499999999999, 133.42699999999999, 94.279399999999995, 87.843500000000006, 70.163899999999998, 69.640000000000001, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 68.789000000000001, 62.839100000000002, 76.509200000000007, 70.842100000000002, 72.522599999999997, 80.693899999999999, 89.368399999999994, 89.959500000000006, 58.670299999999997, 56.307899999999997, 54.234099999999998, 53.970599999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.582899999999999, 29.476900000000001, 29.431100000000001, 25.239000000000001, 28.127099999999999, 29.535499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 21.340599999999998, 21.093299999999999, 18.7714, 18.7714, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 85.007400000000004, 81.872399999999999, 128.434, 119.46899999999999, 117.72, 120.887, 122.664, 124.63, 119.242, 118.264, 120.06399999999999, 114.24299999999999, 84.6477, 85.979100000000003, 76.781899999999993, 79.581900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.574, 91.180000000000007, 133.428, 120.105, 116.95999999999999, 120.703, 122.511, 124.75700000000001, 121.607, 121.502, 125.73699999999999, 117.521, 83.519499999999994, 83.692099999999996, 74.930499999999995, 78.331400000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 88.325500000000005, 83.8613, 130.36699999999999, 118.41200000000001, 118.386, 124.31399999999999, 128.83199999999999, 134.71899999999999, 131.07300000000001, 131.51300000000001, 134.67599999999999, 124.996, 88.148300000000006, 82.967299999999994, 69.6922, 72.430999999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.686499999999995, 78.797200000000004, 128.89699999999999, 119.916, 123.694, 130.91999999999999, 132.22300000000001, 138.328, 134.88, 134.71700000000001, 139.55799999999999, 129.29900000000001, 91.850999999999999, 89.270600000000002, 74.670100000000005, 73.644199999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.592300000000002, 50.813600000000001, 70.463899999999995, 75.6751, 77.855900000000005, 83.533299999999997, 83.345500000000001, 79.895700000000005, 50.415999999999997, 47.680399999999999, 45.312100000000001, 44.589199999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.895399999999999, 20.492599999999999, 21.116099999999999, 20.7317, 22.571200000000001, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.966099999999997, 78.420900000000003, 128.06800000000001, 120.22799999999999, 122.232, 128.351, 133.36099999999999, 137.96600000000001, 135.023, 136.04300000000001, 137.50999999999999, 127.13500000000001, 89.707999999999998, 85.696799999999996, 69.935199999999995, 69.268900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.8279, 68.543000000000006, 128.27600000000001, 123.124, 127.45, 137.00999999999999, 143.12, 149.93799999999999, 148.81100000000001, 153.625, 155.72300000000001, 142.30699999999999, 101.58, 94.363200000000006, 77.483000000000004, 74.463700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.9313, 72.851900000000001, 125.761, 116.131, 115.616, 118.396, 121.175, 124.148, 120.239, 119.952, 120.90900000000001, 113.931, 83.5578, 83.293800000000005, 71.968900000000005, 74.350499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 80.305000000000007, 78.678700000000006, 128.767, 117.48699999999999, 119.011, 124.25, 125.161, 128.011, 122.36, 120.84, 123.71299999999999, 117.172, 82.913200000000003, 82.535499999999999, 72.246300000000005, 75.340900000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.056700000000006, 86.869, 135.76900000000001, 121.407, 118.063, 120.16800000000001, 120.839, 121.408, 116.83, 116.419, 119.312, 117.31399999999999, 89.349599999999995, 88.8048, 78.584500000000006, 80.379900000000006, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 80.900400000000005, 68.196100000000001, 77.020300000000006, 78.022499999999994, 79.1845, 81.9923, 80.757199999999997, 77.993899999999996, 55.052, 57.561999999999998, 60.5822, 64.718199999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.183800000000005, 84.903300000000002, 130.38499999999999, 119.919, 119.306, 123.45399999999999, 124.22, 124.452, 121.401, 121.577, 123.318, 114.867, 86.130600000000001, 84.017799999999994, 73.462199999999996, 76.872, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.391499999999994, 82.323999999999998, 128.16499999999999, 119.55, 120.943, 126.51600000000001, 129.96100000000001, 135.91800000000001, 133.583, 133.78800000000001, 132.953, 120.288, 88.324299999999994, 84.177700000000002, 69.665499999999994, 70.773399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.850700000000003, 73.082499999999996, 127.65000000000001, 121.858, 126.34, 135.44399999999999, 139.881, 146.08000000000001, 141.76300000000001, 140.44999999999999, 142.05199999999999, 131.52000000000001, 95.680000000000007, 88.797799999999995, 71.628399999999999, 70.003799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.033799999999999, 66.737700000000004, 129.09700000000001, 127.28700000000001, 130.94999999999999, 142.69300000000001, 144.60499999999999, 149.31, 146.19900000000001, 145.083, 146.327, 134.154, 100.622, 93.409099999999995, 73.763499999999993, 74.493600000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.593899999999998, 61.398000000000003, 129.761, 125.369, 132.447, 142.26499999999999, 143.38800000000001, 148.73400000000001, 146.38, 146.5, 145.57499999999999, 130.29499999999999, 94.435900000000004, 89.422200000000004, 73.182199999999995, 71.643799999999999, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 42.263599999999997, 47.685699999999997, 73.337100000000007, 76.981300000000005, 78.842100000000002, 85.494299999999996, 87.396500000000003, 84.744200000000006, 53.898600000000002, 49.860999999999997, 47.6768, 43.623899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.928299999999993, 79.855099999999993, 134.102, 121.489, 117.108, 117.637, 118.244, 116.48, 112.39, 114.38200000000001, 118.608, 107.881, 74.617999999999995, 85.983999999999995, 77.768199999999993, 80.587500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 89.826599999999999, 86.847999999999999, 132.77600000000001, 118.44199999999999, 116.30200000000001, 119.762, 121.633, 123.643, 122.477, 123.919, 125.068, 112.251, 78.221199999999996, 85.693399999999997, 71.461699999999993, 71.635300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 87.767700000000005, 82.336200000000005, 131.65100000000001, 118.206, 118.501, 123.843, 127.32599999999999, 132.751, 131.767, 132.71299999999999, 136.447, 124.041, 87.194400000000002, 91.228200000000001, 73.028700000000001, 71.596199999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.491699999999994, 70.891199999999998, 126.346, 121.111, 123.364, 129.27099999999999, 135.667, 143.393, 144.88, 149.774, 156.483, 141.85900000000001, 93.519199999999998, 94.332599999999999, 73.132300000000001, 68.822900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.712200000000003, 66.785499999999999, 125.881, 121.267, 125.944, 135.40000000000001, 141.94499999999999, 149.745, 148.69, 152.95699999999999, 158.845, 144.61099999999999, 96.554199999999994, 99.355800000000002, 78.229799999999997, 73.5792, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 54.316200000000002, 45.031300000000002, 69.980000000000004, 77.857900000000001, 84.466499999999996, 92.089699999999993, 94.2012, 94.501300000000001, 66.098399999999998, 65.012500000000003, 64.738699999999994, 55.307899999999997, 26.732299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 22.2332, 22.816500000000001, 32.729500000000002, 37.6599, 42.070099999999996, 38.140700000000002, 44.411499999999997, 39.742600000000003, 34.316800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.429099999999998, 62.952399999999997, 130.125, 128.084, 132.488, 140.636, 147.66499999999999, 159.95699999999999, 160.64500000000001, 165.92099999999999, 172.00700000000001, 156.374, 105.246, 106.15300000000001, 85.124399999999994, 80.128799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.744599999999998, 60.889400000000002, 131.822, 130.161, 138.52699999999999, 149.876, 153.78, 164.87200000000001, 164.495, 167.643, 171.376, 152.25700000000001, 102.968, 104.10599999999999, 82.452399999999997, 78.233199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.116599999999998, 63.819000000000003, 135.209, 128.197, 128.41300000000001, 134.494, 134.60300000000001, 144.11199999999999, 144.12, 146.048, 146.05500000000001, 126.03700000000001, 80.128399999999999, 86.105999999999995, 68.904399999999995, 67.413399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 64.556700000000006, 68.310299999999998, 126.16500000000001, 115.742, 112.88800000000001, 115.208, 117.619, 122.45999999999999, 119.81999999999999, 121.40900000000001, 126.16800000000001, 113.241, 74.124200000000002, 81.724800000000002, 65.069900000000004, 67.158500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 79.893699999999995, 77.574399999999997, 130.24000000000001, 116.98, 114.93899999999999, 119.61499999999999, 122.48999999999999, 128.465, 127.97, 129.46799999999999, 134.053, 122.069, 81.164299999999997, 87.5077, 70.785300000000007, 70.078999999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.883899999999997, 54.359699999999997, 70.912300000000002, 72.644199999999998, 74.528899999999993, 78.955799999999996, 79.704099999999997, 80.250200000000007, 52.360999999999997, 52.0242, 51.073999999999998, 41.916499999999999, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 19.722300000000001, 19.298400000000001, 18.7714, 18.7714, 20.834599999999998, 22.390599999999999, 23.276499999999999, 30.670500000000001, 32.223199999999999, 23.4251, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 74.337900000000005, 76.769599999999997, 128.834, 122.65600000000001, 124.81399999999999, 131.31200000000001, 135.25, 141.93899999999999, 140.47900000000001, 141.374, 143.87799999999999, 129.22200000000001, 86.564099999999996, 91.830200000000005, 73.820300000000003, 72.207899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.624600000000001, 65.847700000000003, 131.37200000000001, 124.827, 125.691, 130.75899999999999, 132.75200000000001, 135.72499999999999, 130.679, 130.02500000000001, 131.03899999999999, 117.887, 76.7346, 86.124399999999994, 68.468800000000002, 66.314999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 73.440700000000007, 75.499700000000004, 128.95699999999999, 116.648, 113.645, 117.508, 120.712, 126.149, 125.324, 127.242, 132.42500000000001, 118.756, 75.932199999999995, 85.9619, 68.411900000000003, 68.475099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.634500000000003, 74.551000000000002, 126.80500000000001, 119.035, 120.842, 127.82299999999999, 132.001, 140.423, 139.36000000000001, 144.286, 151.053, 135.85499999999999, 89.5411, 94.890500000000003, 74.470799999999997, 71.4572, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 57.523899999999998, 64.206500000000005, 126.383, 121.758, 125.553, 134.499, 139.971, 149.351, 150.71799999999999, 155.601, 162.36799999999999, 148.94800000000001, 99.181899999999999, 102.062, 77.883700000000005, 74.635099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.4773, 46.403799999999997, 73.858699999999999, 81.944900000000004, 86.709100000000007, 93.995099999999994, 97.826700000000002, 99.695899999999995, 71.279700000000005, 70.894800000000004, 73.226399999999998, 62.7134, 28.1005, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 21.421399999999998, 27.109100000000002, 29.073799999999999, 28.741, 34.676600000000001, 42.2791, 40.219999999999999, 45.0991, 44.128399999999999, 45.590899999999998, 37.869999999999997, 38.250700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.853200000000001, 61.386400000000002, 133.685, 132.233, 138.316, 147.48500000000001, 150.226, 161.46199999999999, 162.99700000000001, 168.239, 174.33199999999999, 159.00899999999999, 104.438, 110.97199999999999, 87.241799999999998, 79.706000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.040700000000001, 59.052199999999999, 131.24299999999999, 128.37, 133.60400000000001, 146.386, 149.751, 160.785, 162.46600000000001, 167.56, 173.898, 157.86199999999999, 102.947, 107.68300000000001, 83.258499999999998, 77.247, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.011299999999999, 58.628399999999999, 128.191, 121.964, 123.16500000000001, 129.59999999999999, 132.30199999999999, 142.05199999999999, 145.55000000000001, 153.42500000000001, 157.602, 138.44999999999999, 88.281000000000006, 92.960800000000006, 71.743700000000004, 68.740700000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.5548, 60.780500000000004, 125.684, 118.949, 120.68000000000001, 126.622, 129.827, 137.53100000000001, 136.577, 138.09899999999999, 141.57400000000001, 125.91800000000001, 78.671899999999994, 86.058300000000003, 68.418300000000002, 67.742000000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.152000000000001, 61.7136, 128.35599999999999, 124.008, 125.953, 134.08000000000001, 139.93100000000001, 149.13900000000001, 149.11699999999999, 154.46199999999999, 161.71100000000001, 147.40700000000001, 96.131, 102.45399999999999, 80.332800000000006, 75.868499999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 43.668300000000002, 43.7258, 71.737700000000004, 77.179100000000005, 78.296000000000006, 82.872900000000001, 86.226900000000001, 88.066199999999995, 60.9816, 61.698700000000002, 64.599800000000002, 56.7667, 23.940100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 25.039999999999999, 30.503799999999998, 28.4587, 25.872599999999998, 26.095700000000001, 33.045000000000002, 31.874700000000001, 41.191600000000001, 41.774799999999999, 31.4146, 20.8047, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.182899999999997, 63.271299999999997, 134.21100000000001, 130.15600000000001, 136.589, 146.542, 149.22200000000001, 159.608, 160.34, 164.756, 169.71100000000001, 153.23400000000001, 99.254000000000005, 102.56699999999999, 82.472899999999996, 77.422700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.152799999999999, 61.638399999999997, 136.06, 132.857, 134.56899999999999, 141.39500000000001, 145.35300000000001, 156.327, 157.61099999999999, 163.197, 171.06200000000001, 158.18899999999999, 104.83, 108.849, 89.531999999999996, 84.861500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.038899999999998, 64.836600000000004, 141.346, 139.321, 144.29900000000001, 151.952, 152.56200000000001, 162.376, 163.23599999999999, 168.38800000000001, 175.31399999999999, 160.928, 106.651, 110.023, 91.616600000000005, 86.536100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.996299999999998, 64.093000000000004, 140.857, 139.34999999999999, 146.126, 157.494, 162.55600000000001, 173.804, 175.24000000000001, 179.983, 188.07900000000001, 172.40899999999999, 114.79900000000001, 115.247, 95.042100000000005, 90.557100000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.369500000000002, 65.490300000000005, 144.74799999999999, 149.10900000000001, 156.554, 168.38399999999999, 174.39500000000001, 187.69900000000001, 188.245, 189.78899999999999, 191.434, 170.93199999999999, 114.593, 116.762, 94.997799999999998, 90.3065, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.959499999999998, 44.4482, 69.3626, 72.362399999999994, 75.5321, 80.053600000000003, 78.729200000000006, 77.216700000000003, 48.656700000000001, 46.940399999999997, 45.868000000000002, 36.265500000000003, 22.2226, 31.108000000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.540199999999999, 37.443300000000001, 32.916899999999998, 30.493099999999998, 31.969100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.708300000000001, 66.104799999999997, 124.628, 115.509, 115.295, 119.871, 122.14700000000001, 126.515, 123.744, 124.697, 127.929, 113.91500000000001, 71.349500000000006, 78.919799999999995, 65.729200000000006, 67.915400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.369, 70.150999999999996, 127.021, 116.399, 116.048, 119.76000000000001, 121.55500000000001, 126.90000000000001, 125.81100000000001, 125.623, 126.89700000000001, 112.548, 70.758799999999994, 77.766599999999997, 66.619200000000006, 69.453199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.800600000000003, 75.278800000000004, 128.81, 116.877, 116.2, 120.524, 122.047, 125.011, 121.61799999999999, 120.914, 123.746, 113.029, 73.983400000000003, 81.908199999999994, 67.370400000000004, 67.723500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 61.363799999999998, 70.260400000000004, 126.562, 118.57599999999999, 118.586, 123.154, 125.61199999999999, 131.68199999999999, 131.13399999999999, 134.26599999999999, 140.869, 128.786, 84.772900000000007, 89.890000000000001, 73.765100000000004, 71.064599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.724299999999999, 64.322400000000002, 126.366, 120.706, 122.544, 130.042, 134.49199999999999, 142.155, 142.36799999999999, 147.78700000000001, 155.38200000000001, 141.624, 91.461399999999998, 88.460099999999997, 70.4178, 69.3797, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 46.084200000000003, 46.087899999999998, 74.048699999999997, 82.755799999999994, 84.313900000000004, 91.813500000000005, 95.159099999999995, 94.153899999999993, 66.030799999999999, 66.663200000000003, 68.819199999999995, 60.324599999999997, 38.8048, 39.0184, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 19.076499999999999, 27.158300000000001, 32.991700000000002, 34.303199999999997, 28.7563, 20.489599999999999, 30.749099999999999, 34.685099999999998, 41.958500000000001, 46.153500000000001, 44.058399999999999, 38.650799999999997, 37.494700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.039099999999998, 62.807099999999998, 134.31399999999999, 128.465, 128.327, 131.67500000000001, 131.761, 136.86000000000001, 132.215, 130.11699999999999, 132.756, 122.496, 83.395099999999999, 89.185100000000006, 76.196700000000007, 75.572500000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.254300000000001, 62.723599999999998, 132.48400000000001, 127.78700000000001, 127.896, 132.541, 134.898, 143.959, 144.49199999999999, 149.31899999999999, 154.887, 140.30799999999999, 90.183499999999995, 90.234399999999994, 73.542199999999994, 69.937799999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 39.213700000000003, 60.504899999999999, 128.76900000000001, 122.621, 123.30500000000001, 126.911, 128.55000000000001, 134.286, 130.59299999999999, 130.71600000000001, 132.876, 117.57599999999999, 74.465900000000005, 78.680800000000005, 66.881200000000007, 65.934399999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.168799999999997, 62.674799999999998, 125.124, 119.28100000000001, 120.392, 124.94199999999999, 127.83, 132.31, 129.66300000000001, 131.35400000000001, 136.47800000000001, 122.485, 77.710099999999997, 81.027600000000007, 67.482399999999998, 66.054699999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.342399999999998, 64.475300000000004, 124.595, 117.90600000000001, 118.40600000000001, 123.321, 127.374, 134.869, 135.00200000000001, 139.33099999999999, 148.02600000000001, 138.44999999999999, 93.984200000000001, 96.609300000000005, 81.848799999999997, 78.034199999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 37.573599999999999, 43.893900000000002, 71.650599999999997, 76.010999999999996, 79.239099999999993, 85.990399999999994, 88.1892, 89.512299999999996, 60.998699999999999, 57.9527, 57.368499999999997, 47.350700000000003, 24.851700000000001, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 20.0854, 20.6267, 18.7714, 18.7714, 18.7714, 18.7714, 22.735499999999998, 26.606999999999999, 27.0776, 22.1449, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.568100000000001, 66.378399999999999, 126.361, 120.779, 120.521, 126.185, 127.953, 131.208, 129.65799999999999, 134.934, 143.56899999999999, 133.209, 89.063699999999997, 92.785499999999999, 79.108199999999997, 75.777600000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.768999999999998, 64.910300000000007, 137.22399999999999, 130.99199999999999, 131.82300000000001, 139.61099999999999, 142.61799999999999, 151.56100000000001, 149.946, 152.68199999999999, 152.739, 133.28800000000001, 82.212599999999995, 83.780299999999997, 70.744600000000005, 68.483699999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.0623, 64.037999999999997, 127.931, 121.92400000000001, 121.913, 126.77, 128.22900000000001, 132.89599999999999, 130.55000000000001, 131.374, 136.072, 122.14100000000001, 78.034899999999993, 82.853499999999997, 70.659300000000002, 68.623199999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.533999999999999, 60.008899999999997, 126.871, 119.83799999999999, 120.58, 126.795, 131.08600000000001, 138.56800000000001, 140.45699999999999, 145.869, 152.21600000000001, 136.24100000000001, 86.606099999999998, 87.590800000000002, 72.2149, 68.907600000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.618000000000002, 60.232799999999997, 128.61600000000001, 125.855, 130.20500000000001, 137.279, 140.81999999999999, 149.72300000000001, 149.82300000000001, 156.07300000000001, 163.947, 150.005, 100.953, 97.175200000000004, 82.374899999999997, 78.473500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 37.688200000000002, 46.979300000000002, 77.070099999999996, 86.471299999999999, 90.744399999999999, 95.654700000000005, 97.336500000000001, 97.943299999999994, 71.221999999999994, 73.9435, 75.770200000000003, 66.958299999999994, 43.0092, 44.450200000000002, 38.503799999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 19.273099999999999, 31.205400000000001, 36.228700000000003, 38.889000000000003, 38.171999999999997, 35.370100000000001, 32.731099999999998, 34.304699999999997, 39.4602, 44.742600000000003, 46.791600000000003, 45.380299999999998, 44.936799999999998, 46.322800000000001, 38.194800000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 41.858899999999998, 65.568399999999997, 144.535, 141.98400000000001, 145.65899999999999, 152.24100000000001, 154.30799999999999, 165.571, 165.34899999999999, 164.595, 168.62799999999999, 150.286, 98.019599999999997, 93.566500000000005, 79.386600000000001, 74.912400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 39.402200000000001, 62.638300000000001, 135.17500000000001, 131.61799999999999, 133.625, 140.946, 146.244, 157.40899999999999, 157.625, 161.69300000000001, 166.17400000000001, 150.20099999999999, 96.086500000000001, 91.108999999999995, 76.3352, 72.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 34.530200000000001, 58.1158, 131.084, 128.30000000000001, 131.648, 140.33699999999999, 145.85599999999999, 157.56399999999999, 156.87100000000001, 160.65199999999999, 169.102, 154.733, 103.85299999999999, 102.152, 86.488299999999995, 81.043000000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 37.648699999999998, 62.003, 139.446, 137.90600000000001, 143.738, 152.44900000000001, 154.172, 164.23500000000001, 165.52600000000001, 170.75899999999999, 179.88, 167.905, 115.776, 109.426, 91.009699999999995, 87.649299999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 39.149299999999997, 42.885100000000001, 68.844300000000004, 150.72800000000001, 150.571, 154.553, 162.81, 166.84100000000001, 179.97300000000001, 182.98699999999999, 189.059, 193.68000000000001, 175.524, 120.18600000000001, 112.16, 91.387799999999999, 87.032700000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 47.217199999999998, 55.420499999999997, 88.153099999999995, 94.347300000000004, 96.629400000000004, 103.09, 105.696, 104.77500000000001, 74.932000000000002, 76.290999999999997, 74.847700000000003, 61.510599999999997, 38.212699999999998, 33.3459, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.803100000000001, 29.556699999999999, 30.029699999999998, 33.116900000000001, 26.2197, 22.010400000000001, 29.899100000000001, 29.805, 43.473199999999999, 43.262, 44.425400000000003, 40.473199999999999, 35.062199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.747199999999999, 58.224299999999999, 127.95399999999999, 122.102, 123.479, 128.87100000000001, 131.774, 140.554, 140.774, 149.72300000000001, 159.505, 146.714, 97.325800000000001, 92.128900000000002, 75.185299999999998, 70.433599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.220500000000001, 58.676299999999998, 131.154, 127.893, 132.55000000000001, 140.255, 143.89500000000001, 154.066, 153.286, 158.36000000000001, 166.178, 152.00800000000001, 104.901, 100.167, 82.743499999999997, 78.681200000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 35.022300000000001, 60.299500000000002, 137.96700000000001, 137.60400000000001, 142.959, 152.61699999999999, 155.874, 166.59299999999999, 168.19399999999999, 174.00700000000001, 180.822, 167.851, 117.818, 112.151, 92.279499999999999, 87.590299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.835000000000001, 65.669899999999998, 146.917, 143.13499999999999, 146.87700000000001, 157.38999999999999, 163.59899999999999, 176.08000000000001, 177.32400000000001, 180.874, 188.51499999999999, 174.68600000000001, 118.414, 107.539, 90.920500000000004, 86.126400000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 47.412399999999998, 69.924499999999995, 149.821, 147.249, 150.16200000000001, 156.32300000000001, 157.52600000000001, 168.02699999999999, 167.91300000000001, 173.60300000000001, 182.131, 164.107, 111.13200000000001, 105.02800000000001, 88.013599999999997, 81.831000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 38.011299999999999, 47.845999999999997, 77.663799999999995, 82.810100000000006, 85.4024, 90.629199999999997, 92.601299999999995, 94.070800000000006, 67.945400000000006, 71.132300000000001, 73.141199999999998, 62.817700000000002, 39.792299999999997, 40.468299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 22.481999999999999, 28.505099999999999, 32.017000000000003, 31.577500000000001, 26.977599999999999, 24.338799999999999, 27.725999999999999, 33.136200000000002, 41.692, 44.982399999999998, 43.354999999999997, 45.278799999999997, 43.555300000000003, 40.487200000000001, 35.037199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 37.747100000000003, 62.486400000000003, 136.434, 132.21199999999999, 133.91, 141.81700000000001, 145.86699999999999, 154.75700000000001, 155.024, 162.01599999999999, 174.37799999999999, 161.13900000000001, 108.2, 101.26300000000001, 88.659999999999997, 83.253900000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 46.442100000000003, 71.100700000000003, 148.30099999999999, 145.52099999999999, 148.25800000000001, 152.93100000000001, 152.667, 160.065, 159.495, 162.482, 167.864, 153.517, 104.212, 100.28, 86.087999999999994, 82.413499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.936799999999998, 67.672200000000004, 147.52099999999999, 144.57400000000001, 145.27199999999999, 151.63900000000001, 150.25399999999999, 158.38499999999999, 156.28800000000001, 156.62799999999999, 155.792, 139.08600000000001, 95.245099999999994, 94.772000000000006, 84.714500000000001, 81.302300000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 43.462400000000002, 70.287700000000001, 150.636, 149.334, 152.46600000000001, 158.87200000000001, 160.12299999999999, 172.59800000000001, 175.78299999999999, 180.29400000000001, 188.87200000000001, 175.125, 122.873, 113.839, 95.980199999999996, 91.344200000000001, 41.616599999999998, 43.891199999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 51.394199999999998, 75.840100000000007, 160.92400000000001, 159.327, 164.61000000000001, 174.91, 174.524, 187.08799999999999, 191.37100000000001, 198.29499999999999, 197.203, 174.797, 120.10899999999999, 113.761, 98.632400000000004, 94.016099999999994, 41.616599999999998, 44.328000000000003, 40.665100000000002, 36.988300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 55.065300000000001, 61.547499999999999, 92.271199999999993, 101.527, 108.619, 114.72499999999999, 116.985, 115.622, 83.123400000000004, 77.478399999999993, 73.861699999999999, 62.045699999999997, 32.017899999999997, 39.819200000000002, 39.614100000000001, 33.575400000000002, 38.998899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 27.9937, 40.551900000000003, 40.189799999999998, 43.1526, 42.575800000000001, 44.281199999999998, 40.634700000000002, 46.699599999999997, 45.949100000000001, 48.887700000000002, 42.877899999999997, 48.719200000000001, 42.504199999999997, 46.727600000000002, 46.611499999999999, 44.262, 39.282800000000002, 38.958399999999997, 33.575400000000002, 38.273000000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.312200000000001, 37.418199999999999, 38.562399999999997, 41.961599999999997, 40.753700000000002, 41.375999999999998, 34.673000000000002, 38.344799999999999, 39.328499999999998, 46.325400000000002, 44.223100000000002, 46.008600000000001, 41.747599999999998, 45.371600000000001, 40.164999999999999, 37.470799999999997, 35.976199999999999, 34.737900000000003, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 39.447499999999998, 63.230899999999998, 136.57599999999999, 131.774, 133.58199999999999, 139.79300000000001, 144.55199999999999, 154.58799999999999, 156.85300000000001, 165.411, 172.34700000000001, 158.304, 108.565, 103.181, 85.172399999999996, 78.542599999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 34.460799999999999, 60.619100000000003, 135.035, 131.59299999999999, 135.80699999999999, 144.96700000000001, 147.98599999999999, 159.68799999999999, 163.708, 172.084, 180.38300000000001, 164.11099999999999, 112.446, 107.492, 92.410799999999995, 87.642899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.029000000000003, 67.740499999999997, 147.36199999999999, 147.15100000000001, 150.95599999999999, 160.05099999999999, 165.41200000000001, 178.97999999999999, 182.61099999999999, 190.27600000000001, 197.94200000000001, 184.529, 131.691, 122.398, 101.919, 95.612899999999996, 41.616599999999998, 44.3309, 40.507100000000001, 42.012700000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.846899999999998, 76.131200000000007, 164.44200000000001, 167.05500000000001, 174.09100000000001, 183.095, 184.46100000000001, 197.06899999999999, 203.315, 214.15199999999999, 218.81899999999999, 200.012, 142.55500000000001, 126.95699999999999, 104.333, 97.921700000000001, 47.352200000000003, 40.672600000000003, 42.298299999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 54.454500000000003, 62.238500000000002, 97.189700000000002, 107.672, 112.136, 118.59, 122.749, 127.63500000000001, 96.672899999999998, 97.501400000000004, 100.48999999999999, 91.963800000000006, 49.725299999999997, 47.090699999999998, 53.813200000000002, 48.154000000000003, 41.006, 39.345599999999997, 37.241, 38.251199999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 27.7897, 37.429400000000001, 39.397300000000001, 43.990200000000002, 44.732599999999998, 49.070599999999999, 46.917999999999999, 50.241300000000003, 46.758299999999998, 54.228400000000001, 50.168599999999998, 50.671700000000001, 47.588500000000003, 51.393000000000001, 50.310000000000002, 50.065800000000003, 45.772300000000001, 47.841500000000003, 38.014499999999998, 45.342700000000001, 45.145099999999999, 42.380699999999997, 42.312800000000003, 42.351500000000001, 61.445399999999999, 87.9024, 178.22200000000001, 175.99000000000001, 180.20699999999999, 189.25399999999999, 188.357, 194.16399999999999, 184.55500000000001, 187.238, 186.72399999999999, 167.208, 114.255, 107.468, 96.843199999999996, 93.137699999999995, 41.616599999999998, 44.036200000000001, 40.590499999999999, 42.201000000000001, 40.441600000000001, 41.922400000000003, 38.936199999999999, 38.752299999999998, 56.842500000000001, 77.001499999999993, 162.32599999999999, 159.03100000000001, 163.428, 169.726, 167.37700000000001, 179.46100000000001, 165.43299999999999, 140.453, 144.898, 144.30500000000001, 101.43300000000001, 97.205799999999996, 86.153199999999998, 82.276399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 49.529400000000003, 74.280699999999996, 163.03999999999999, 155.226, 149.50800000000001, 156.33500000000001, 157.13900000000001, 166.37700000000001, 168.00700000000001, 174.00399999999999, 180.99199999999999, 165.50399999999999, 112.133, 103.68000000000001, 93.298400000000001, 88.241100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 50.205199999999998, 75.376800000000003, 158.096, 153.89699999999999, 154.36699999999999, 159.76400000000001, 160.553, 172.79400000000001, 176.017, 181.31, 188.91, 176.21299999999999, 120.43899999999999, 111.134, 96.182299999999998, 91.415499999999994, 41.616599999999998, 44.532299999999999, 38.936199999999999, 38.936199999999999, 43.544899999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 52.1434, 75.831999999999994, 161.881, 158.77799999999999, 159.565, 164.934, 168.334, 181.13300000000001, 183.11600000000001, 191.66399999999999, 199.86000000000001, 185.15600000000001, 133.38900000000001, 123.836, 104.565, 95.450000000000003, 47.194200000000002, 40.530299999999997, 42.1295, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 50.469900000000003, 57.033799999999999, 89.509299999999996, 98.204300000000003, 102.354, 109.235, 113.742, 117.252, 89.346500000000006, 94.174199999999999, 94.669300000000007, 80.863500000000002, 45.539999999999999, 44.528399999999998, 46.600900000000003, 40.463099999999997, 37.338799999999999, 35.392699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 23.893000000000001, 31.596399999999999, 39.891599999999997, 37.9223, 44.8673, 40.8063, 44.472499999999997, 39.6935, 49.063000000000002, 47.776699999999998, 55.510599999999997, 49.227699999999999, 54.587400000000002, 47.7973, 57.391199999999998, 46.3232, 41.294899999999998, 36.647599999999997, 35.066600000000001, 42.860199999999999, 42.393999999999998, 38.936199999999999, 38.936199999999999, 34.214599999999997, 51.017600000000002, 77.211100000000002, 163.97499999999999, 164.13300000000001, 171.458, 182.86000000000001, 185.69, 200.22499999999999, 207.667, 218.61799999999999, 223.03999999999999, 203.12899999999999, 145.857, 132.971, 112.45999999999999, 101.449, 46.326999999999998, 44.343699999999998, 42.232500000000002, 40.5486, 43.307899999999997, 38.936199999999999, 38.936199999999999, 35.4955, 56.009700000000002, 80.966300000000004, 170.51900000000001, 171.55799999999999, 180.33699999999999, 192.46000000000001, 196.779, 221.416, 230.86099999999999, 231.47800000000001, 233.30099999999999, 215.57300000000001, 150.935, 130.76900000000001, 106.22499999999999, 98.320099999999996, 47.3371, 42.531700000000001, 40.703600000000002, 43.8262, 41.735199999999999, 40.295499999999997, 38.936199999999999, 34.214599999999997, 50.256900000000002, 76.275400000000005, 165.89400000000001, 166.405, 171.05000000000001, 179.005, 181.102, 193.768, 194.58199999999999, 198.03200000000001, 202.40100000000001, 183.04900000000001, 126.684, 114.322, 95.727800000000002, 88.038499999999999, 41.616599999999998, 42.427500000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 40.625500000000002, 64.500299999999996, 143.482, 140.56, 146.77600000000001, 156.185, 158.851, 170.161, 173.71000000000001, 180.58799999999999, 188.60499999999999, 172.501, 117.267, 107.136, 92.365499999999997, 86.283699999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 42.286000000000001, 67.9923, 149.315, 149.74600000000001, 153.87200000000001, 163.297, 164.40199999999999, 174.82300000000001, 178.84299999999999, 185.58000000000001, 192.488, 176.751, 123.447, 114.691, 97.8279, 90.862799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 44.6952, 54.066400000000002, 87.959299999999999, 96.150700000000001, 98.966300000000004, 105.26600000000001, 108.893, 111.455, 81.989800000000002, 83.597399999999993, 85.755499999999998, 74.900400000000005, 43.926699999999997, 42.903199999999998, 44.372399999999999, 37.472999999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 22.874700000000001, 34.911200000000001, 38.552900000000001, 41.798099999999998, 41.873399999999997, 43.231299999999997, 38.973300000000002, 43.670099999999998, 43.871200000000002, 47.0199, 50.714300000000001, 47.563800000000001, 48.218800000000002, 44.683199999999999, 48.593000000000004, 40.982700000000001, 33.575400000000002, 37.872100000000003, 33.575400000000002, 42.366, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 46.348700000000001, 71.832800000000006, 157.98099999999999, 157.303, 160.16200000000001, 168.55799999999999, 171.84100000000001, 185.95400000000001, 189.417, 194.65700000000001, 200.16300000000001, 180.55799999999999, 126.13800000000001, 115.40000000000001, 97.283799999999999, 91.373000000000005, 41.616599999999998, 42.095700000000001, 40.490400000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 53.2926, 76.192099999999996, 162.19900000000001, 159.53800000000001, 162.49299999999999, 168.727, 171.107, 184.94399999999999, 187.726, 193.197, 198.91499999999999, 181.31399999999999, 125.73399999999999, 114.518, 96.732900000000001, 90.072800000000001, 41.616599999999998, 41.580500000000001, 40.2179, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 47.006599999999999, 73.548400000000001, 161.11699999999999, 157.988, 160.22499999999999, 165.749, 166.59399999999999, 180.53299999999999, 183.68799999999999, 190.56800000000001, 196.04599999999999, 176.35599999999999, 120.142, 110.104, 93.437299999999993, 86.837299999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 43.405799999999999, 67.476799999999997, 151.34200000000001, 151.18600000000001, 155.036, 163.369, 168.15799999999999, 181.03100000000001, 182.76599999999999, 191.15799999999999, 199.768, 186.92699999999999, 136.072, 127.73, 105.675, 97.222800000000007, 45.365499999999997, 40.756599999999999, 43.620100000000001, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 34.214599999999997, 48.465699999999998, 74.930199999999999, 164.57900000000001, 164.53999999999999, 169.41900000000001, 179.131, 182.12, 195.11500000000001, 194.666, 205.13999999999999, 217.95599999999999, 191.46000000000001, 137.172, 131.869, 115.503, 104.751, 51.149000000000001, 45.9375, 44.302799999999998, 33.575400000000002, 38.153500000000001, 33.575400000000002, 33.575400000000002, 26.173400000000001, 52.838900000000002, 61.119199999999999, 95.466200000000001, 107.00700000000001, 114.54000000000001, 122.83199999999999, 127.042, 128.87700000000001, 99.644000000000005, 102.101, 104.116, 93.610500000000002, 50.124699999999997, 47.014400000000002, 53.098500000000001, 45.080599999999997, 44.668599999999998, 37.436599999999999, 38.923099999999998, 33.575400000000002, 37.7027, 33.575400000000002, 33.575400000000002, 26.173400000000001, 26.9605, 34.602899999999998, 43.302399999999999, 40.564999999999998, 48.1295, 44.783799999999999, 52.017699999999998, 47.350999999999999, 56.072499999999998, 52.216000000000001, 60.416400000000003, 53.273400000000002, 58.5794, 50.4741, 62.283299999999997, 47.644500000000001, 47.747599999999998, 44.917099999999998, 40.509999999999998, 44.860300000000002, 43.790100000000002, 42.228200000000001, 42.091799999999999, 37.953200000000002, 59.860100000000003, 83.822299999999998, 173.58500000000001, 174.43899999999999, 180.255, 191.03399999999999, 198.99600000000001, 223.28800000000001, 224.33199999999999, 228.65299999999999, 236.46899999999999, 222.90299999999999, 170.41300000000001, 156.19200000000001, 121.69, 107.72499999999999, 50.713200000000001, 49.004399999999997, 43.215299999999999, 43.317300000000003, 41.622100000000003, 40.257100000000001, 42.665700000000001, 34.214599999999997, 57.4315, 82.722700000000003, 174.964, 175.31299999999999, 180.917, 191.57499999999999, 196.72499999999999, 220.55600000000001, 228.578, 230.428, 235.47499999999999, 216.21899999999999, 153.33099999999999, 133.90299999999999, 111.42400000000001, 103.002, 47.127299999999998, 48.579999999999998, 43.778399999999998, 44.594999999999999, 44.043500000000002, 38.936199999999999, 43.503799999999998, 36.681899999999999, 57.821899999999999, 80.378100000000003, 169.60900000000001, 168.87299999999999, 174.98699999999999, 184.45099999999999, 188.17599999999999, 202.989, 209.92099999999999, 222.04900000000001, 225.33799999999999, 198.40700000000001, 136.55799999999999, 123.628, 105.676, 100.01000000000001, 47.668599999999998, 48.725200000000001, 38.936199999999999, 43.5535, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 49.589199999999998, 76.295900000000003, 166.44900000000001, 164.98599999999999, 168.56100000000001, 177.76599999999999, 179.416, 194, 205.25800000000001, 220.55799999999999, 225.96000000000001, 206.92400000000001, 140.78100000000001, 127.773, 108.26600000000001, 99.640500000000003, 47.409199999999998, 40.794199999999996, 42.6126, 44.114100000000001, 38.936199999999999, 42.649700000000003, 38.936199999999999, 36.681899999999999, 47.825600000000001, 73.119600000000005, 163.68600000000001, 161.04900000000001, 163.083, 171.578, 175.851, 192.346, 201.453, 218.077, 224.35499999999999, 203.69200000000001, 139.74600000000001, 122.483, 101.139, 95.117900000000006, 43.398099999999999, 42.426000000000002, 43.643500000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 48.455500000000001, 55.640799999999999, 86.933700000000002, 92.244, 95.148499999999999, 102.142, 106.066, 110.26900000000001, 83.596400000000003, 88.216499999999996, 92.157700000000006, 83.665400000000005, 48.435600000000001, 47.030999999999999, 59.429699999999997, 44.686900000000001, 41.539400000000001, 38.3123, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 24.5123, 35.366100000000003, 37.297499999999999, 40.195399999999999, 39.590600000000002, 42.690300000000001, 40.9116, 41.474600000000002, 46.934800000000003, 50.197899999999997, 53.283499999999997, 54.897500000000001, 55.734000000000002, 54.074100000000001, 60.956800000000001, 53.3001, 46.889600000000002, 41.105400000000003, 39.3078, 41.550899999999999, 41.408499999999997, 41.3429, 41.045999999999999, 37.717599999999997, 47.2819, 73.050600000000003, 162.64099999999999, 161.74700000000001, 168.06299999999999, 179.83099999999999, 186.613, 204.56399999999999, 218.92500000000001, 231.06700000000001, 240.167, 224.56800000000001, 168.53100000000001, 150.23699999999999, 122.51600000000001, 109.776, 50.768999999999998, 49.146799999999999, 43.548400000000001, 36.5959, 35.072600000000001, 35.009700000000002, 36.412799999999997, 28.640699999999999, 31.9025, 39.9773, 40.693199999999997, 44.5379, 44.436599999999999, 48.360300000000002, 48.860799999999998, 54.5533, 55.134599999999999, 60.052100000000003, 55.005200000000002, 58.535600000000002, 57.210700000000003, 58.0062, 62.3018, 57.601799999999997, 52.813699999999997, 48.570500000000003, 45.673999999999999, 47.015799999999999, 48.880000000000003, 43.467100000000002, 43.984699999999997, 41.371400000000001, 61.914000000000001, 86.946700000000007, 181.08600000000001, 181.44900000000001, 187.56200000000001, 199.63399999999999, 206.893, 236.446, 243.44200000000001, 249.83099999999999, 252.822, 237.09200000000001, 178.63300000000001, 160.06299999999999, 129.08500000000001, 120.251, 55.381700000000002, 56.594999999999999, 48.127800000000001, 49.989800000000002, 42.403700000000001, 42.1935, 43.377800000000001, 39.454500000000003, 59.372300000000003, 84.690700000000007, 180.423, 180.83600000000001, 186.22499999999999, 197.27500000000001, 205.30199999999999, 231.36199999999999, 240.71199999999999, 249.68100000000001, 263.72199999999998, 249.91, 188.62100000000001, 173.452, 134.803, 121.631, 56.120699999999999, 57.505299999999998, 50.634300000000003, 49.1768, 44.499899999999997, 44.399900000000002, 44.392699999999998, 42.877800000000001, 61.413899999999998, 86.759900000000002, 186.89099999999999, 190.83699999999999, 198.74700000000001, 207.64500000000001, 211.83799999999999, 241.774, 262.16300000000001, 268.74200000000002, 274.23099999999999, 262.21100000000001, 199.74700000000001, 178.86199999999999, 145.017, 122.38200000000001, 55.812800000000003, 58.197699999999998, 51.372399999999999, 48.275100000000002, 42.560400000000001, 39.877499999999998, 38.849299999999999, 38.272799999999997, 62.2958, 66.938500000000005, 99.375900000000001, 111.364, 120.91200000000001, 129.24700000000001, 133.87799999999999, 135.63499999999999, 106.55500000000001, 114.328, 119.437, 104.461, 50.562199999999997, 47.9985, 60.851100000000002, 54.662100000000002, 49.830800000000004, 46.546599999999998, 46.981000000000002, 41.977800000000002, 42.780500000000004, 39.051200000000001, 37.072200000000002, 31.226500000000001, 33.383899999999997, 38.638199999999998, 43.8889, 43.707099999999997, 48.372900000000001, 47.561900000000001, 51.602600000000002, 50.188400000000001, 54.905099999999997, 53.3735, 58.458599999999997, 53.8277, 54.709800000000001, 50.053600000000003, 59.649000000000001, 48.6389, 48.627800000000001, 45.533700000000003, 44.628300000000003, 44.757599999999996, 44.036499999999997, 43.6571, 43.291200000000003, 40.766199999999998, 53.3583, 77.484200000000001, 168.63300000000001, 166.62899999999999, 172.56899999999999, 182.68299999999999, 184.18000000000001, 199.375, 207.33699999999999, 223.62700000000001, 228.75999999999999, 212.11000000000001, 141.785, 128.24299999999999, 108.852, 100.15600000000001, 47.305199999999999, 46.677300000000002, 44.1374, 42.081499999999998, 40.482199999999999, 43.265099999999997, 38.936199999999999, 41.025700000000001, 56.396700000000003, 77.228700000000003, 163.71799999999999, 162.25200000000001, 165.364, 174.90299999999999, 178.22399999999999, 190.42400000000001, 186.102, 192.375, 182.09899999999999, 166.71299999999999, 117.976, 111.19199999999999, 98.873999999999995, 93.726500000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 36.681899999999999, 58.161299999999997, 81.486800000000002, 169.65100000000001, 166.54599999999999, 171.22300000000001, 180.72300000000001, 181.846, 198.18799999999999, 203.565, 211.16200000000001, 224.51900000000001, 209.79599999999999, 145.07400000000001, 133.809, 111.991, 101.711, 46.342399999999998, 47.2849, 43.470599999999997, 43.822499999999998, 40.338099999999997, 41.698099999999997, 38.936199999999999, 37.268000000000001, 52.756900000000002, 76.901399999999995, 167.81899999999999, 166.542, 171.09999999999999, 182.61000000000001, 189.547, 206.08600000000001, 218.41300000000001, 229.232, 234.381, 219.31200000000001, 163.14599999999999, 143.124, 117.89700000000001, 105.46899999999999, 51.935600000000001, 44.706099999999999, 48.4803, 43.102699999999999, 43.776499999999999, 41.7532, 40.341099999999997, 36.681899999999999, 55.673000000000002, 80.138499999999993, 172.714, 172.06800000000001, 177.19999999999999, 187.60400000000001, 191.44300000000001, 211.82400000000001, 228.31399999999999, 235.905, 242.70400000000001, 226.84, 169.50299999999999, 147.46000000000001, 118.477, 106.185, 52.400500000000001, 50.565300000000001, 44.863399999999999, 39.271999999999998, 39.033099999999997, 35.318800000000003, 36.935499999999998, 28.640699999999999, 56.951099999999997, 64.066699999999997, 100.283, 108.994, 113.569, 123.17, 131.91200000000001, 135.38399999999999, 102.51600000000001, 105.33, 107.235, 95.355999999999995, 49.603000000000002, 46.946899999999999, 58.7117, 48.392699999999998, 42.805999999999997, 43.741900000000001, 37.187600000000003, 35.326599999999999, 37.129600000000003, 35.290799999999997, 33.575400000000002, 28.640699999999999, 27.5441, 39.43, 38.267499999999998, 45.279800000000002, 41.517299999999999, 48.849699999999999, 43.616999999999997, 51.4193, 46.196199999999997, 56.640099999999997, 52.113199999999999, 60.446800000000003, 50.5762, 55.8279, 56.137300000000003, 50.161000000000001, 44.248899999999999, 40.4876, 36.8065, 43.572200000000002, 41.896299999999997, 43.058799999999998, 42.857500000000002, 39.149299999999997, 53.822499999999998, 77.231200000000001, 167.76599999999999, 166.53200000000001, 171.62799999999999, 180.52500000000001, 183.95400000000001, 198.70599999999999, 207.21799999999999, 224.85499999999999, 234.923, 221.49199999999999, 166.208, 143.21199999999999, 116.2, 104.98399999999999, 51.8005, 45.154400000000003, 42.5807, 43.659799999999997, 43.308799999999998, 41.569600000000001, 40.224800000000002, 39.149299999999997, 53.128, 77.252799999999993, 169.71899999999999, 169.251, 173.09899999999999, 182.45599999999999, 188.34299999999999, 208.28800000000001, 229.41999999999999, 239.67099999999999, 267.36399999999998, 248.21299999999999, 181.88800000000001, 168.40199999999999, 132.791, 117.956, 55.607199999999999, 54.344200000000001, 50.475200000000001, 50.856400000000001, 43.314, 44.146799999999999, 40.597499999999997, 42.454500000000003, 61.823700000000002, 83.881399999999999, 179.56399999999999, 181.85599999999999, 187.73099999999999, 199.52099999999999, 206.90700000000001, 237.989, 253.827, 266.55500000000001, 270.053, 246.661, 183.84899999999999, 174.16, 143.88200000000001, 124.935, 56.048999999999999, 63.942799999999998, 52.326900000000002, 54.095500000000001, 48.768799999999999, 45.985300000000002, 48.4604, 45.139600000000002, 62.872700000000002, 88.399100000000004, 185.27000000000001, 192.31899999999999, 204.369, 218.96700000000001, 224.971, 240.286, 237.95699999999999, 240.21899999999999, 244.07400000000001, 226.78999999999999, 166.36799999999999, 142.61099999999999, 122.706, 114.208, 55.377499999999998, 55.627600000000001, 53.895499999999998, 55.811399999999999, 50.668199999999999, 48.440300000000001, 44.375999999999998, 40.9011, 65.242099999999994, 91.892499999999998, 189.946, 191.572, 200.39400000000001, 210.66300000000001, 212.89400000000001, 236.44800000000001, 233.73599999999999, 233.453, 236.511, 220.226, 153.03200000000001, 134.71000000000001, 115.017, 107.627, 52.470599999999997, 52.908799999999999, 50.945900000000002, 44.822699999999998, 39.916400000000003, 38.909799999999997, 33.575400000000002, 32.777799999999999, 61.648200000000003, 65.035799999999995, 99.909099999999995, 111.456, 120.101, 130.21600000000001, 135.28, 140.58000000000001, 104.011, 88.509200000000007, 83.248699999999999, 73.5501, 42.034799999999997, 43.465899999999998, 48.809899999999999, 45.493499999999997, 40.366, 46.6843, 40.185200000000002, 39.087499999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 33.738599999999998, 42.337000000000003, 42.641300000000001, 46.088999999999999, 46.148600000000002, 48.568300000000001, 48.784300000000002, 51.972799999999999, 53.841999999999999, 56.9833, 58.969499999999996, 58.624299999999998, 56.008699999999997, 53.986699999999999, 59.7684, 55.493899999999996, 48.264899999999997, 47.0565, 45.929000000000002, 50.834800000000001, 50.739400000000003, 46.112099999999998, 43.417999999999999, 44.603200000000001, 62.498199999999997, 85.644900000000007, 179.67599999999999, 180.858, 186.87, 195.89599999999999, 194.68100000000001, 210.054, 222.614, 231.86000000000001, 241.768, 227.94, 168.58699999999999, 141.16900000000001, 115.999, 105.249, 51.887799999999999, 52.817599999999999, 45.932200000000002, 45.891800000000003, 42.474200000000003, 44.111600000000003, 43.868600000000001, 39.149299999999997, 60.4223, 81.987399999999994, 176.34999999999999, 176.46700000000001, 182.87799999999999, 192.53700000000001, 197.577, 213.88200000000001, 230.351, 242.38, 262.87900000000002, 243.21600000000001, 177.51900000000001, 159.46600000000001, 122.521, 110.824, 53.5261, 54.269300000000001, 48.394799999999996, 48.017200000000003, 42.282899999999998, 42.1038, 43.492699999999999, 42.023000000000003, 58.389400000000002, 81.8399, 176.38399999999999, 177.36000000000001, 183.55600000000001, 198.51900000000001, 205.43600000000001, 227.47399999999999, 234.08799999999999, 243.31800000000001, 265.21199999999999, 239.71299999999999, 173.03200000000001, 158.125, 126.41800000000001, 115.155, 53.145400000000002, 54.322600000000001, 43.603000000000002, 44.558100000000003, 43.922400000000003, 43.618299999999998, 40.3855, 46.078600000000002, 59.973500000000001, 85.783100000000005, 179.56399999999999, 178.07400000000001, 183.77600000000001, 193.53399999999999, 202.685, 224.102, 231.887, 235.16, 238.20500000000001, 223.24700000000001, 167.11199999999999, 143.99700000000001, 118.06100000000001, 106.13, 49.3416, 48.207900000000002, 50.719099999999997, 42.615699999999997, 44.291400000000003, 44.1357, 38.936199999999999, 43.561399999999999, 58.310400000000001, 80.007400000000004, 174.458, 177.339, 184.79599999999999, 195.87100000000001, 197.261, 213.79900000000001, 218.113, 227.56200000000001, 234.05799999999999, 218.81399999999999, 148.89500000000001, 135.53299999999999, 116.041, 107.27200000000001, 51.331499999999998, 44.656999999999996, 42.924100000000003, 39.2029, 33.575400000000002, 38.063299999999998, 33.575400000000002, 31.108000000000001, 57.211399999999998, 63.663899999999998, 100.045, 111.288, 117.718, 126.96299999999999, 131.41900000000001, 134.42400000000001, 107.096, 112.752, 118.337, 107.47199999999999, 54.566299999999998, 53.7819, 63.570399999999999, 51.5017, 49.878500000000003, 40.956800000000001, 44.843699999999998, 39.448099999999997, 33.575400000000002, 38.508200000000002, 33.575400000000002, 31.108000000000001, 26.632400000000001, 38.076700000000002, 41.375900000000001, 46.793399999999998, 45.987699999999997, 50.341000000000001, 49.543399999999998, 53.149500000000003, 53.076599999999999, 60.570399999999999, 59.700000000000003, 65.137, 58.063499999999998, 62.022799999999997, 63.622900000000001, 52.098500000000001, 48.760899999999999, 48.521799999999999, 40.180999999999997, 44.406799999999997, 44.392000000000003, 49.771700000000003, 43.546999999999997, 43.416699999999999, 53.673900000000003, 75.867400000000004, 166.477, 166.34700000000001, 170.96000000000001, 179.65600000000001, 187.298, 207.78399999999999, 213.99700000000001, 226.44900000000001, 235.684, 222.381, 159.52099999999999, 136.71199999999999, 108.779, 98.155100000000004, 46.362400000000001, 47.451700000000002, 44.383499999999998, 42.501399999999997, 40.660299999999999, 44.090499999999999, 42.343200000000003, 43.277099999999997, 64.189300000000003, 87.476500000000001, 180.79400000000001, 178.61199999999999, 182.845, 191.27099999999999, 195.31999999999999, 218.05199999999999, 230.49799999999999, 238.679, 253.01900000000001, 238.489, 170.14599999999999, 147.95599999999999, 120.91500000000001, 111.364, 53.6997, 53.7652, 46.908200000000001, 46.131399999999999, 44.269100000000002, 44.143300000000004, 42.349200000000003, 43.268000000000001, 62.7639, 82.667100000000005, 174.06100000000001, 173.19, 179.42500000000001, 189.626, 193.47999999999999, 212.03899999999999, 219.84999999999999, 232.31999999999999, 242.58099999999999, 229.13, 170.995, 158.03399999999999, 128.315, 116.175, 54.3369, 53.606900000000003, 49.974400000000003, 51.395000000000003, 43.5976, 47.871600000000001, 44.311399999999999, 45.185899999999997, 64.047899999999998, 86.061800000000005, 180.44499999999999, 181.047, 188.547, 201.536, 206.96700000000001, 228.83699999999999, 235.904, 237.077, 236.13499999999999, 215.09999999999999, 148.387, 139.16499999999999, 121.078, 115.944, 53.753999999999998, 53.918399999999998, 48.652099999999997, 49.6297, 51.881, 47.424799999999998, 46.937899999999999, 53.6783, 67.119600000000005, 95.142300000000006, 192.11799999999999, 192.27699999999999, 198.143, 208.74100000000001, 211.68700000000001, 230.798, 237.85599999999999, 241.09100000000001, 247.018, 226.61799999999999, 164.59, 146.77799999999999, 124.682, 116.646, 52.686100000000003, 55.529899999999998, 48.904200000000003, 44.359000000000002, 40.134999999999998, 37.9039, 37.7682, 35.929600000000001, 69.891099999999994, 77.826300000000003, 113.315, 123.15600000000001, 128.29599999999999, 135.74600000000001, 140.24600000000001, 144.86000000000001, 114.824, 112.98399999999999, 112.53100000000001, 101.288, 49.892400000000002, 53.572400000000002, 57.756, 54.043199999999999, 50.572699999999998, 46.704000000000001, 46.131900000000002, 43.673200000000001, 38.606499999999997, 39.515300000000003, 35.610799999999998, 37.450099999999999, 35.742699999999999, 44.472299999999997, 43.706400000000002, 47.995699999999999, 47.916600000000003, 51.402999999999999, 50.586100000000002, 54.815399999999997, 54.424999999999997, 59.484900000000003, 60.564700000000002, 62.653199999999998, 54.531700000000001, 58.414000000000001, 58.518599999999999, 61.568199999999997, 47.979799999999997, 50.1982, 47.706299999999999, 53.901299999999999, 50.401800000000001, 52.832700000000003, 44.723399999999998, 51.764699999999998, 66.318700000000007, 91.784800000000004, 187.27000000000001, 189.45699999999999, 198.423, 211.03200000000001, 213.815, 234.61699999999999, 239.31700000000001, 241.59399999999999, 247.38, 231.822, 172.24299999999999, 158.35599999999999, 132.85400000000001, 123.499, 56.457099999999997, 64.713499999999996, 52.546100000000003, 56.647199999999998, 51.627899999999997, 51.692399999999999, 49.196199999999997, 55.2363, 66.819199999999995, 95.584000000000003, 195.19900000000001, 198.17599999999999, 208.01599999999999, 222.07900000000001, 220.94300000000001, 240.80199999999999, 241.053, 245.11500000000001, 260.33600000000001, 243.38499999999999, 176.09100000000001, 160.173, 131.81899999999999, 122.342, 58.6815, 61.426299999999998, 52.145899999999997, 54.116300000000003, 50.622700000000002, 53.012300000000003, 46.5274, 52.148800000000001, 67.172600000000003, 97.4161, 198.74700000000001, 199.23699999999999, 205.21899999999999, 215.56299999999999, 217.29499999999999, 237.99700000000001, 238.13200000000001, 234.51300000000001, 229.29400000000001, 204.34399999999999, 135.40899999999999, 125.779, 107.001, 101.285, 41.616599999999998, 45.441899999999997, 45.293599999999998, 38.936199999999999, 44.786900000000003, 38.936199999999999, 42.718299999999999, 43.526299999999999, 64.935699999999997, 86.6601, 180.328, 180.285, 185.11699999999999, 194.75299999999999, 197.727, 215.21199999999999, 216.52199999999999, 213.19499999999999, 209.66999999999999, 188.51900000000001, 127.952, 124.773, 108.06699999999999, 103.729, 47.887900000000002, 49.672400000000003, 43.986699999999999, 44.962499999999999, 38.936199999999999, 44.927799999999998, 41.068899999999999, 45.6282, 65.379499999999993, 86.717399999999998, 181.40199999999999, 182.15799999999999, 189.27600000000001, 199.12, 199.54900000000001, 213.58799999999999, 214.04400000000001, 215.864, 229.554, 204.339, 139.52600000000001, 132.364, 111.523, 104.501, 48.5745, 48.551499999999997, 48.487099999999998, 37.432099999999998, 39.210299999999997, 33.575400000000002, 33.575400000000002, 38.619300000000003, 60.9236, 62.594499999999996, 95.6751, 104.134, 108.559, 115.898, 120.47799999999999, 125.006, 94.725200000000001, 95.620500000000007, 97.666499999999999, 83.908100000000005, 45.708199999999998, 49.8033, 48.296500000000002, 43.441699999999997, 41.6877, 40.6511, 37.064100000000003, 35.259700000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.813400000000001, 35.627099999999999, 39.5227, 39.644100000000002, 43.060099999999998, 42.4848, 44.719000000000001, 44.011499999999998, 47.784199999999998, 45.923400000000001, 49.392800000000001, 46.647399999999998, 46.892600000000002, 48.706499999999998, 50.299700000000001, 41.253399999999999, 38.029000000000003, 38.476999999999997, 36.648400000000002, 40.464799999999997, 43.360199999999999, 38.936199999999999, 38.936199999999999, 45.602699999999999, 55.2059, 74.126000000000005, 158.06800000000001, 156.523, 159.791, 165.59999999999999, 165.15299999999999, 175.36500000000001, 177.517, 185.65100000000001, 197.488, 182.34999999999999, 124.248, 118.157, 99.1892, 93.6708, 43.398299999999999, 42.413499999999999, 43.93, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.282899999999998, 76.042900000000003, 162.779, 160.46000000000001, 163.822, 172.00899999999999, 175.94900000000001, 192.34, 195.34899999999999, 200.40700000000001, 208.38800000000001, 190.79300000000001, 132.047, 126.756, 106.82899999999999, 100.01300000000001, 45.590200000000003, 40.851300000000002, 44.688499999999998, 38.936199999999999, 44.580500000000001, 38.936199999999999, 44.384700000000002, 41.616599999999998, 67.231800000000007, 87.140500000000003, 179.953, 176.32300000000001, 179.99000000000001, 186.84399999999999, 186.995, 199.81200000000001, 200.291, 205.48699999999999, 218.351, 193.24299999999999, 131.12299999999999, 123.133, 103.517, 98.316699999999997, 43.622500000000002, 42.817500000000003, 44.639800000000001, 38.936199999999999, 44.391500000000001, 38.936199999999999, 38.936199999999999, 46.377099999999999, 61.652999999999999, 80.177199999999999, 169.78100000000001, 166.73699999999999, 170.71799999999999, 178.62100000000001, 179.82900000000001, 192.999, 191.928, 192.66300000000001, 196.203, 176.09899999999999, 117.31399999999999, 111.532, 93.973500000000001, 88.894000000000005, 41.616599999999998, 38.936199999999999, 41.491900000000001, 40.188200000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.346800000000002, 66.268000000000001, 151.05500000000001, 152.75200000000001, 159.50999999999999, 168.482, 170.22800000000001, 182.46000000000001, 184.32499999999999, 190.33799999999999, 199.69200000000001, 183.803, 125.989, 122.51300000000001, 101.24299999999999, 95.688900000000004, 41.616599999999998, 44.198799999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.680399999999999, 53.574399999999997, 84.900599999999997, 93.6935, 98.711100000000002, 106.331, 109.715, 112.617, 84.147599999999997, 87.781599999999997, 92.687600000000003, 81.194100000000006, 45.728000000000002, 51.917099999999998, 48.440600000000003, 40.570399999999999, 37.308500000000002, 35.370100000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 24.214099999999998, 34.569000000000003, 39.121600000000001, 39.923200000000001, 43.393300000000004, 42.762, 45.626800000000003, 45.728900000000003, 49.975000000000001, 48.790100000000002, 54.003900000000002, 50.7864, 50.820700000000002, 53.793300000000002, 49.299399999999999, 46.467799999999997, 46.681100000000001, 40.055700000000002, 38.8491, 42.261400000000002, 40.576700000000002, 43.541200000000003, 38.936199999999999, 45.798000000000002, 58.418599999999998, 77.628299999999996, 165.98400000000001, 163.59100000000001, 168.404, 177.21799999999999, 180.179, 195.66, 196.958, 198.702, 201.357, 180.98699999999999, 121.235, 118.733, 98.415800000000004, 93.208399999999997, 41.616599999999998, 44.045000000000002, 38.936199999999999, 43.012099999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.895600000000002, 72.015799999999999, 155.04300000000001, 153.28, 157.458, 164.74199999999999, 168.06200000000001, 183.15299999999999, 186.55199999999999, 192.22300000000001, 200.00899999999999, 179.88, 118.92100000000001, 117.47499999999999, 97.227400000000003, 90.396000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.191000000000003, 65.218000000000004, 144.69300000000001, 142.63900000000001, 148.684, 157.61500000000001, 161.36000000000001, 176.14099999999999, 179.804, 186.846, 196.41800000000001, 179.34399999999999, 120.526, 117.33199999999999, 96.596299999999999, 89.857200000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 43.185899999999997, 62.798699999999997, 144.703, 145.54400000000001, 153.79300000000001, 162.91200000000001, 166.476, 181.202, 184.30199999999999, 190.36099999999999, 198.96199999999999, 181.77500000000001, 123.003, 119.871, 98.609300000000005, 91.797600000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 46.590600000000002, 66.052700000000002, 146.916, 147.268, 154.108, 162.22, 163.90700000000001, 175.631, 177.43899999999999, 184.15600000000001, 194.11600000000001, 177.50999999999999, 119.07599999999999, 115.47799999999999, 94.822800000000001, 88.588899999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.416400000000003, 54.322000000000003, 84.872100000000003, 90.693700000000007, 92.7119, 98.647099999999995, 101.68899999999999, 104.714, 76.168899999999994, 78.559100000000001, 82.778499999999994, 71.874799999999993, 43.347999999999999, 47.667999999999999, 38.005699999999997, 38.860500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 28.605399999999999, 35.641599999999997, 37.624099999999999, 40.392299999999999, 38.489800000000002, 40.794199999999996, 42.630600000000001, 46.493499999999997, 46.035800000000002, 50.719799999999999, 48.962200000000003, 49.270200000000003, 54.880099999999999, 54.036099999999998, 40.398800000000001, 38.561599999999999, 38.980200000000004, 33.575400000000002, 43.1845, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 54.795999999999999, 71.581100000000006, 154.84999999999999, 156.31299999999999, 163.55500000000001, 174.364, 179.59999999999999, 197.619, 202.892, 214.60499999999999, 227.54900000000001, 200.935, 135.27000000000001, 130.488, 104.782, 98.033199999999994, 43.543399999999998, 42.662500000000001, 42.228000000000002, 40.551499999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.883699999999997, 75.120500000000007, 163.11600000000001, 165.03299999999999, 173.55000000000001, 185.172, 190.16200000000001, 208.68600000000001, 218.262, 229.60400000000001, 236.88800000000001, 219.93000000000001, 149.054, 143.26300000000001, 117.099, 109.592, 53.135800000000003, 47.151000000000003, 47.5321, 44.434399999999997, 42.279699999999998, 40.617199999999997, 42.072000000000003, 43.187899999999999, 65.648499999999999, 80.825699999999998, 172.55000000000001, 172.19200000000001, 179.637, 190.87899999999999, 194.41, 211.10400000000001, 215.09200000000001, 223.637, 226.84800000000001, 198.02500000000001, 132.78100000000001, 129.17699999999999, 104.43899999999999, 98.310500000000005, 45.421399999999998, 40.7682, 44.1997, 40.623899999999999, 42.259, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.9208, 75.272900000000007, 163.059, 162.45599999999999, 167.89500000000001, 176.41900000000001, 178.285, 193.297, 197.67699999999999, 206.61199999999999, 223.93000000000001, 199.654, 136.619, 133.75399999999999, 108.15300000000001, 100.86799999999999, 45.636200000000002, 40.866999999999997, 44.554600000000001, 42.217500000000001, 40.5334, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.698099999999997, 72.009500000000003, 160.36500000000001, 161.404, 169.072, 180.346, 184.65700000000001, 201.26599999999999, 204.642, 214.67099999999999, 226.751, 199.90799999999999, 134.31, 131.547, 106.28, 99.002700000000004, 47.167099999999998, 42.522100000000002, 40.658499999999997, 38.552300000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 61.187199999999997, 59.829599999999999, 93.730400000000003, 103.044, 110.072, 118.312, 121.851, 124.789, 94.902100000000004, 93.870000000000005, 97.831900000000005, 86.196399999999997, 46.829599999999999, 54.956400000000002, 50.154000000000003, 45.221400000000003, 44.106900000000003, 40.335999999999999, 35.441499999999998, 39.030500000000004, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.637799999999999, 37.482599999999998, 43.752499999999998, 40.136800000000001, 47.530900000000003, 42.4114, 49.219799999999999, 43.236499999999999, 49.213999999999999, 43.537300000000002, 51.750599999999999, 45.218699999999998, 50.542900000000003, 55.545499999999997, 50.628900000000002, 46.636400000000002, 45.7194, 45.766800000000003, 38.480600000000003, 39.246499999999997, 35.552599999999998, 37.513399999999997, 33.575400000000002, 39.400599999999997, 24.662700000000001, 40.622300000000003, 33.067799999999998, 40.9803, 41.290199999999999, 48.213700000000003, 43.728099999999998, 51.678800000000003, 45.942999999999998, 52.771099999999997, 46.232399999999998, 51.769599999999997, 41.390099999999997, 58.478000000000002, 47.386899999999997, 47.3977, 44.4679, 39.991, 37.286000000000001, 49.923000000000002, 44.828000000000003, 44.6282, 38.936199999999999, 47.082700000000003, 68.3536, 81.022800000000004, 170.196, 170.126, 175.03, 182.358, 181.25800000000001, 190.34200000000001, 182.74799999999999, 172.86199999999999, 174.714, 155.024, 104.185, 114.004, 95.174700000000001, 93.378699999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.969800000000006, 82.725200000000001, 170.24700000000001, 169.86199999999999, 166.29400000000001, 170.96100000000001, 170.50999999999999, 179.71100000000001, 179.08600000000001, 181.74700000000001, 186.517, 166.36500000000001, 108.461, 114.837, 94.292699999999996, 90.846500000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.125900000000001, 66.294799999999995, 142.839, 137.13999999999999, 137.99799999999999, 144.77199999999999, 147.42400000000001, 158.352, 157.649, 161.553, 168.648, 153.012, 98.1798, 101.503, 80.446100000000001, 77.108900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.457099999999997, 59.286999999999999, 135.191, 133.02099999999999, 136.84100000000001, 146.066, 150.06100000000001, 161.37299999999999, 162.71000000000001, 168.874, 177.571, 161.94900000000001, 106.73399999999999, 111.191, 89.1601, 84.260599999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.490099999999998, 52.167200000000001, 81.718000000000004, 87.139899999999997, 88.532700000000006, 93.817300000000003, 97.294399999999996, 100.286, 72.962299999999999, 74.814300000000003, 78.235299999999995, 66.702699999999993, 38.557499999999997, 44.391399999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 26.625, 38.381900000000002, 35.012099999999997, 37.323900000000002, 39.6494, 42.362200000000001, 40.472200000000001, 41.970799999999997, 40.438000000000002, 42.187100000000001, 40.241900000000001, 37.769799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.664999999999999, 63.0871, 139.16399999999999, 136.00800000000001, 139.76499999999999, 148.78899999999999, 151.506, 162.108, 162.81, 167.86600000000001, 175.352, 159.845, 107.68600000000001, 110.15600000000001, 88.840000000000003, 84.748500000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 47.57, 62.190300000000001, 139.66499999999999, 137.43899999999999, 146.16, 155.90700000000001, 157.75800000000001, 168.679, 168.941, 173.155, 179.648, 161.90799999999999, 107.20399999999999, 108.056, 87.231399999999994, 85.036900000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.563499999999998, 65.121099999999998, 144.09999999999999, 143.30600000000001, 152.96600000000001, 163.03999999999999, 165.56800000000001, 177.386, 178.08600000000001, 180.61500000000001, 187.08699999999999, 168.08199999999999, 111.04600000000001, 113.324, 91.730000000000004, 88.5886, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.535299999999999, 70.519599999999997, 147.227, 142.922, 146.64099999999999, 152.09800000000001, 150.49299999999999, 157.36500000000001, 155.751, 156.37, 159.53899999999999, 144.029, 94.047499999999999, 99.287700000000001, 80.8626, 78.382999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.923699999999997, 61.218000000000004, 136.81100000000001, 134.20400000000001, 137.86099999999999, 145.77000000000001, 148.38200000000001, 158.18199999999999, 158.23599999999999, 162.51900000000001, 169.28, 154.22300000000001, 103.19199999999999, 108.057, 89.073999999999998, 86.798500000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.0167, 48.881100000000004, 79.474999999999994, 87.099500000000006, 91.237099999999998, 97.456599999999995, 99.244, 99.896100000000004, 70.930899999999994, 71.389600000000002, 73.192400000000006, 62.919499999999999, 41.6023, 41.555500000000002, 39.071899999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 25.968399999999999, 38.654499999999999, 36.988900000000001, 42.314700000000002, 36.809800000000003, 43.401899999999998, 38.870699999999999, 44.157499999999999, 38.892499999999998, 43.865900000000003, 38.457000000000001, 38.165199999999999, 36.825600000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 41.875399999999999, 55.698399999999999, 128.38499999999999, 124.736, 128.59999999999999, 136.345, 139.696, 149.99600000000001, 150.244, 154.83799999999999, 162.16900000000001, 144.88499999999999, 94.563100000000006, 95.406199999999998, 74.838700000000003, 70.380899999999997, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.353299999999997, 59.370399999999997, 131.80000000000001, 126.771, 129.179, 136.65299999999999, 140.07400000000001, 150.22300000000001, 149.30600000000001, 151.38, 155.952, 137.874, 89.495999999999995, 91.208200000000005, 71.608800000000002, 68.237099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.514499999999998, 59.816200000000002, 132.202, 128.148, 131.643, 138.95400000000001, 141.423, 150.45400000000001, 149.405, 152.197, 157.58199999999999, 141.11199999999999, 93.203299999999999, 95.794399999999996, 75.590999999999994, 70.908000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 44.167499999999997, 57.115099999999998, 127.55800000000001, 126.84, 135.297, 146.67699999999999, 150.60400000000001, 160.10300000000001, 159.80199999999999, 165.26400000000001, 173.03100000000001, 156.73400000000001, 104.788, 103.79600000000001, 81.201300000000003, 74.714100000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 42.515700000000002, 57.006500000000003, 130.553, 130.625, 140.22399999999999, 152.91999999999999, 156.75700000000001, 169.636, 171.11099999999999, 176.90000000000001, 184.41200000000001, 165.88999999999999, 111.399, 110.72, 88.585099999999997, 83.126999999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 48.851599999999998, 46.551000000000002, 77.767300000000006, 88.577600000000004, 95.428299999999993, 103.711, 107.776, 110.92100000000001, 83.593500000000006, 85.106899999999996, 87.177999999999997, 73.191199999999995, 45.088500000000003, 42.459699999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 26.091000000000001, 37.398299999999999, 38.547800000000002, 42.387599999999999, 42.293500000000002, 47.2746, 47.0837, 51.285299999999999, 48.434899999999999, 52.309699999999999, 48.004800000000003, 55.449800000000003, 48.6646, 42.500999999999998, 41.121200000000002, 33.575400000000002, 38.286200000000001, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 59.079700000000003, 69.419300000000007, 154.601, 158.19800000000001, 166.803, 177.072, 179.255, 191.39699999999999, 194.16800000000001, 199.488, 205.29599999999999, 183.34100000000001, 126.491, 120.901, 95.927199999999999, 89.8583, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 60.030299999999997, 69.773899999999998, 156.54400000000001, 160.50399999999999, 170.77000000000001, 183.428, 186.023, 198.74000000000001, 200.959, 205.846, 211.24700000000001, 188.34200000000001, 130.249, 123.783, 98.428600000000003, 92.2971, 41.616599999999998, 41.973399999999998, 40.433399999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 62.620800000000003, 72.750600000000006, 158.489, 161.143, 169.41300000000001, 180.15600000000001, 183.30799999999999, 198.43000000000001, 202.572, 207.821, 212.64099999999999, 188.50299999999999, 129.77600000000001, 123.196, 99.019000000000005, 94.695599999999999, 41.616599999999998, 44.327300000000001, 38.936199999999999, 43.927999999999997, 38.936199999999999, 38.936199999999999, 38.936199999999999, 45.838299999999997, 64.596000000000004, 74.810299999999998, 164.62299999999999, 166.01499999999999, 174.57499999999999, 185.642, 187.61699999999999, 200.78700000000001, 203.99299999999999, 208.983, 213.441, 189.87200000000001, 131.37200000000001, 125.09999999999999, 100.244, 94.900999999999996, 41.616599999999998, 44.214799999999997, 40.609699999999997, 42.205300000000001, 38.936199999999999, 38.936199999999999, 43.373399999999997, 41.616599999999998, 65.206699999999998, 73.657300000000006, 160.988, 164.809, 174.434, 185.75899999999999, 187.44900000000001, 200.404, 203.90299999999999, 209.01400000000001, 213.488, 189.745, 131.27600000000001, 125.102, 101.175, 97.414000000000001, 45.453400000000002, 42.577800000000003, 42.398400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 62.744, 55.040700000000001, 86.955799999999996, 97.589600000000004, 105.833, 115.434, 120.58499999999999, 125.116, 95.950900000000004, 96.741699999999994, 97.423299999999998, 81.634600000000006, 48.825600000000001, 47.543599999999998, 40.322699999999998, 39.640000000000001, 37.433100000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 20.171900000000001, 25.627600000000001, 31.9693, 31.5822, 38.786799999999999, 37.496099999999998, 44.248199999999997, 39.803600000000003, 45.5593, 40.309699999999999, 45.334400000000002, 43.677500000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 45.151800000000001, 56.990699999999997, 131.012, 131.42099999999999, 140.852, 152.36500000000001, 155.988, 167.422, 168.09399999999999, 172.53299999999999, 178.45400000000001, 159.37100000000001, 111.39400000000001, 107.065, 85.163499999999999, 80.545400000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.7241, 60.576999999999998, 135.70400000000001, 135.434, 144.36199999999999, 154.92400000000001, 158.36699999999999, 170.54400000000001, 172.56899999999999, 177.50999999999999, 183.482, 165.16499999999999, 117.313, 113.553, 91.714600000000004, 87.582999999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.441899999999997, 64.330699999999993, 141.25800000000001, 139.91999999999999, 148.04400000000001, 159.333, 162.077, 176.67599999999999, 179.00299999999999, 181.66399999999999, 185.12299999999999, 163.77000000000001, 116.48, 113.41200000000001, 90.994799999999998, 85.635499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.746000000000002, 63.902700000000003, 140.09, 140.71899999999999, 150.38, 160.583, 163.43000000000001, 175.71700000000001, 176.27000000000001, 177.369, 178.09899999999999, 158.40799999999999, 115.173, 112.87, 91.451700000000002, 87.768000000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 56.237000000000002, 66.124899999999997, 143.36600000000001, 141.15799999999999, 150.09700000000001, 159.625, 160.392, 168.96799999999999, 166.21899999999999, 166.62899999999999, 169.47300000000001, 151.27600000000001, 108.295, 106.343, 87.096100000000007, 85.436599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 49.863999999999997, 43.467500000000001, 71.749200000000002, 78.861800000000002, 82.302800000000005, 88.472499999999997, 90.968500000000006, 90.932000000000002, 62.228999999999999, 61.007300000000001, 59.427399999999999, 49.198799999999999, 28.640699999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 19.707599999999999, 31.681000000000001, 32.204700000000003, 38.484999999999999, 33.066200000000002, 42.186700000000002, 38.238700000000001, 43.480600000000003, 38.776800000000001, 36.790300000000002, 38.519799999999996, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 25.3508, 30.767299999999999, 32.730600000000003, 37.465000000000003, 39.8992, 39.539200000000001, 41.921199999999999, 40.987299999999998, 43.190199999999997, 41.236600000000003, 44.393900000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.618000000000002, 59.0242, 131.87100000000001, 130.50700000000001, 137.83600000000001, 148.637, 152.65700000000001, 164.27000000000001, 164.98699999999999, 168.923, 173.96000000000001, 154.434, 110.14, 103.105, 80.826300000000003, 75.525800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.647500000000001, 57.9848, 130.43899999999999, 130.53200000000001, 139.786, 151.22499999999999, 155.04599999999999, 166.251, 165.839, 168.66300000000001, 172.68899999999999, 153.03, 109.23999999999999, 102.682, 80.470399999999998, 74.822000000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 48.785200000000003, 57.546300000000002, 129.876, 129.88900000000001, 139.37299999999999, 150.596, 152.79300000000001, 162.02500000000001, 162.733, 167.26400000000001, 172.65199999999999, 153.46199999999999, 109.03700000000001, 101.34099999999999, 78.849500000000006, 72.975099999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.473700000000001, 57.688699999999997, 129.70699999999999, 129.51599999999999, 139.048, 151.04400000000001, 155.49600000000001, 167.61500000000001, 168.15600000000001, 172.089, 177.02799999999999, 155.95099999999999, 109.521, 100.77, 78.413200000000003, 73.694199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 50.7376, 42.685699999999997, 70.633899999999997, 78.982299999999995, 85.316800000000001, 94.362099999999998, 98.9358, 102.20999999999999, 75.076499999999996, 74.857900000000001, 75.481399999999994, 61.613500000000002, 35.9619, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 22.071999999999999, 30.1981, 32.282299999999999, 39.2714, 42.895699999999998, 43.527099999999997, 46.853099999999998, 44.637300000000003, 46.738799999999998, 43.4056, 39.671700000000001, 37.087899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.465699999999998, 58.090299999999999, 130.28800000000001, 131.09399999999999, 141.773, 153.251, 157.66399999999999, 169.745, 170.10599999999999, 172.84800000000001, 176.149, 155.20500000000001, 113.49299999999999, 105.28100000000001, 83.840999999999994, 78.894400000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 50.662500000000001, 59.682099999999998, 133.04300000000001, 133.99700000000001, 143.227, 154.334, 157.75700000000001, 169.02000000000001, 169.42599999999999, 172.232, 175.548, 154.298, 112.56699999999999, 103.536, 82.147999999999996, 77.849100000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 49.501899999999999, 58.671599999999998, 131.69399999999999, 131.90100000000001, 140.553, 152.19800000000001, 156.30500000000001, 167.25200000000001, 164.67400000000001, 165.536, 169.221, 151.37, 113.42400000000001, 106.892, 86.454300000000003, 82.582599999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.165799999999997, 59.872100000000003, 133.15799999999999, 132.56999999999999, 140.10900000000001, 144.52699999999999, 142.785, 150.75999999999999, 148.048, 144.69499999999999, 145.24100000000001, 129.06299999999999, 98.8065, 92.961200000000005, 74.791899999999998, 72.436700000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.2639, 57.544600000000003, 126.422, 124.58499999999999, 129.68799999999999, 137.29599999999999, 139.93899999999999, 147.11500000000001, 145.83099999999999, 148.947, 154.322, 137.00999999999999, 103.331, 98.223500000000001, 80.166899999999998, 77.308599999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 51.972999999999999, 40.9816, 67.125900000000001, 73.9024, 77.022499999999994, 82.864500000000007, 84.527199999999993, 84.429400000000001, 56.579500000000003, 55.105899999999998, 55.103099999999998, 45.289099999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 22.1999, 34.536900000000003, 35.703400000000002, 38.084000000000003, 37.252699999999997, 38.920299999999997, 36.720700000000001, 32.225499999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 55.261000000000003, 59.728299999999997, 128.76599999999999, 125.52800000000001, 129.904, 139.06299999999999, 145.43199999999999, 153.708, 152.691, 156.54599999999999, 158.00200000000001, 139.53399999999999, 102.77200000000001, 94.821399999999997, 74.832700000000003, 71.285300000000007, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.941000000000003, 57.8949, 127.911, 125.33, 132.00700000000001, 142.56399999999999, 148.84899999999999, 159.80000000000001, 159.5, 162.58000000000001, 166.63800000000001, 148.99799999999999, 106.66200000000001, 97.903599999999997, 76.929699999999997, 72.683199999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 53.455399999999997, 58.057899999999997, 128.346, 126.164, 132.655, 143.22, 148.846, 159.46299999999999, 159.63300000000001, 163.55699999999999, 168.31200000000001, 150.523, 107.71299999999999, 98.284099999999995, 76.9482, 72.653999999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 51.387099999999997, 56.112099999999998, 126.51600000000001, 124.749, 131.16, 141.45400000000001, 147.39599999999999, 158.53899999999999, 158.87299999999999, 163.27099999999999, 168.51300000000001, 150.666, 108.289, 99.235699999999994, 79.244, 76.273499999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 52.056899999999999, 58.486499999999999, 128.07300000000001, 122.333, 124.23999999999999, 132.59999999999999, 135.863, 140.863, 138.11099999999999, 140.21600000000001, 144.09399999999999, 130.291, 98.019400000000005, 92.683499999999995, 73.590199999999996, 70.013499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 55.448399999999999, 47.529499999999999, 68.747399999999999, 67.407899999999998, 66.225200000000001, 70.5351, 72.189999999999998, 71.877700000000004, 44.880200000000002, 44.910299999999999, 46.794600000000003, 43.770299999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 18.7714, 18.7714, 18.7714, 18.7714, 23.5183, 29.1952, 32.878300000000003, 34.216099999999997, 29.8992, 19.831700000000001, 21.238700000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.421700000000001, 73.522000000000006, 127.884, 117.28700000000001, 117.57599999999999, 122.589, 125.968, 132.45500000000001, 131.446, 132.99299999999999, 137.56800000000001, 124.20699999999999, 91.267499999999998, 84.896000000000001, 69.4161, 68.762100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 67.010099999999994, 74.731800000000007, 127.005, 116.056, 116.843, 123.56399999999999, 128.61199999999999, 136.50899999999999, 136.03999999999999, 137.89699999999999, 143.255, 127.995, 92.826999999999998, 84.952699999999993, 68.378100000000003, 68.254499999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.798500000000004, 73.280299999999997, 125.88800000000001, 116.456, 119.148, 126.41200000000001, 131.54900000000001, 139.786, 138.74199999999999, 140.214, 144.989, 129.03200000000001, 93.989900000000006, 86.920199999999994, 69.142899999999997, 67.890100000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.174499999999995, 72.268900000000002, 125.99299999999999, 119.515, 122.36499999999999, 130.58099999999999, 136.97800000000001, 147.005, 146.22300000000001, 147.983, 152.423, 136.822, 97.038799999999995, 89.089299999999994, 70.572000000000003, 68.668499999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.238299999999995, 71.469899999999996, 125.446, 118.764, 122.00700000000001, 130.45400000000001, 136.88399999999999, 146.97999999999999, 147.34299999999999, 150.733, 156.19999999999999, 141.69, 100.288, 90.647300000000001, 71.618600000000001, 69.267799999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 59.944000000000003, 52.492400000000004, 67.7256, 73.481899999999996, 78.870099999999994, 86.788899999999998, 88.872200000000007, 89.352400000000003, 60.313699999999997, 57.9955, 58.132199999999997, 54.375999999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 21.238700000000001, 18.7714, 18.7714, 18.7714, 22.470700000000001, 30.179099999999998, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 72.309700000000007, 76.839100000000002, 127.51900000000001, 117.105, 115.904, 119.458, 120.974, 123.411, 119.801, 120.157, 127.008, 124.462, 88.588999999999999, 86.385199999999998, 74.665199999999999, 77.000799999999998, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 71.191599999999994, 72.631200000000007, 127.732, 119.84699999999999, 120.59, 125.34699999999999, 127.831, 131.88999999999999, 128.78, 129.346, 133.73099999999999, 128.18299999999999, 87.297700000000006, 85.286100000000005, 71.830500000000001, 72.339699999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 65.868899999999996, 69.306600000000003, 127.31999999999999, 119.22199999999999, 120.973, 126.755, 130.44200000000001, 134.38900000000001, 129.566, 128.90899999999999, 132.17699999999999, 125.93000000000001, 84.578199999999995, 82.387900000000002, 70.589100000000002, 73.020399999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 78.002099999999999, 76.391300000000001, 129.36600000000001, 118.233, 116.262, 120.44499999999999, 121.943, 124.101, 119.786, 119.559, 125.47799999999999, 121.735, 85.907600000000002, 85.726699999999994, 76.272199999999998, 80.481399999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.059700000000007, 82.484200000000001, 130.49100000000001, 118.39100000000001, 116.901, 121.006, 123.657, 126.45999999999999, 122.166, 121.846, 127.62, 125.255, 88.246600000000001, 86.774600000000007, 76.974800000000002, 79.388400000000004, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 18.7714, 25.547699999999999, 31.0823, 32.282800000000002, 32.955300000000001, 22.672599999999999, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 99.5535, 95.273799999999994, 133.53800000000001, 120.15000000000001, 119.917, 124.63200000000001, 128.68199999999999, 132.15600000000001, 127.85299999999999, 128.21899999999999, 136.58000000000001, 130.14699999999999, 92.365099999999998, 88.647499999999994, 76.427599999999998, 79.706199999999995, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 83.530699999999996, 79.509699999999995, 129.44399999999999, 118.90900000000001, 119.739, 126.67400000000001, 132.345, 138.108, 134.91800000000001, 135.191, 140.94800000000001, 131.72, 89.718400000000003, 86.822199999999995, 72.405299999999997, 72.256500000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 66.448099999999997, 72.459199999999996, 126.736, 119.181, 121.675, 130.65600000000001, 138.5, 145.20400000000001, 141.08699999999999, 140.64500000000001, 143.673, 132.35400000000001, 88.163200000000003, 83.969399999999993, 69.513000000000005, 70.733099999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 63.509399999999999, 66.610900000000001, 126.39100000000001, 120.227, 123.41, 131.874, 138.316, 144.47800000000001, 142.46899999999999, 144.328, 148.87, 135.078, 89.198700000000002, 83.686899999999994, 68.872500000000002, 68.938900000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 58.037799999999997, 63.439300000000003, 127.61799999999999, 121.761, 125.02, 134.29400000000001, 141.91, 148.67599999999999, 144.74700000000001, 145.05799999999999, 151.58699999999999, 142.21000000000001, 98.739000000000004, 94.296700000000001, 78.100700000000003, 76.596699999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 47.3187, 45.272199999999998, 73.092100000000002, 80.172300000000007, 84.336699999999993, 90.0762, 91.739800000000002, 88.391199999999998, 54.835999999999999, 50.113500000000002, 55.9587, 57.183999999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 23.706, 18.7714, 18.7714, 18.7714, 19.428899999999999, 21.009699999999999, 24.421500000000002, 22.519200000000001, 19.741499999999998, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 114.738, 102.669, 137.85599999999999, 123.97199999999999, 119.339, 119.66500000000001, 119.876, 117.818, 113.25700000000001, 113.242, 124.57299999999999, 125.81699999999999, 96.894300000000001, 99.766400000000004, 91.389099999999999, 93.749799999999993, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 110.961, 98.639600000000002, 136.274, 122.907, 119.33199999999999, 120.349, 122.97, 122.675, 118.809, 118.83799999999999, 127.342, 122.209, 89.827200000000005, 90.540000000000006, 81.046300000000002, 82.603700000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 94.103399999999993, 94.119100000000003, 135.58099999999999, 121.53700000000001, 121.17100000000001, 125.717, 129.55099999999999, 132.83699999999999, 128.91499999999999, 129.11699999999999, 138.82599999999999, 134.495, 97.366500000000002, 93.6614, 78.890900000000002, 77.829499999999996, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 19.8353, 23.0273, 27.8795, 26.805900000000001, 21.697900000000001, 21.4008, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.813299999999998, 84.254499999999993, 130.52799999999999, 119.26600000000001, 119.426, 125.485, 130.36000000000001, 135.43199999999999, 133.50200000000001, 135.11600000000001, 139.85300000000001, 129.065, 87.4191, 84.551900000000003, 72.868499999999997, 75.598799999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 83.189999999999998, 61.5623, 73.689499999999995, 74.933599999999998, 77.329700000000003, 84.530299999999997, 87.644599999999997, 86.123699999999999, 58.5974, 56.650799999999997, 61.802100000000003, 61.218899999999998, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 26.173400000000001, 18.7714, 18.7714, 18.7714, 24.712599999999998, 33.485700000000001, 36.927799999999998, 36.282299999999999, 37.829999999999998, 27.1587, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 69.608800000000002, 71.152699999999996, 130.14599999999999, 123.84, 124.117, 128.541, 131.09, 134.404, 130.221, 130.22, 138.86199999999999, 131.59899999999999, 91.947000000000003, 88.801100000000005, 74.807699999999997, 75.066800000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 70.202500000000001, 73.477400000000003, 126.911, 119.79300000000001, 122.315, 128.87899999999999, 134, 140.083, 135.93000000000001, 135.048, 139.393, 129.255, 86.658299999999997, 83.496200000000002, 70.084100000000007, 72.325599999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 77.681700000000006, 73.769300000000001, 128.02500000000001, 117.855, 118.755, 124.47199999999999, 127.666, 132.636, 129.51499999999999, 129.87799999999999, 137.304, 129.34, 88.128799999999998, 85.587100000000007, 71.261300000000006, 71.019800000000004, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 68.854900000000001, 75.664400000000001, 127.325, 119.991, 121.515, 128.255, 132.946, 137.602, 132.64400000000001, 131.56299999999999, 138.12799999999999, 129.321, 87.569699999999997, 84.143500000000003, 70.061999999999998, 72.237300000000005, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.044, 94.431799999999996, 135.886, 121.886, 118.155, 119.194, 118.73, 116.64100000000001, 112.64700000000001, 112.623, 123.708, 123.55500000000001, 93.424899999999994, 96.419499999999999, 88.614000000000004, 91.458399999999997, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 126.462, 96.049199999999999, 93.885599999999997, 88.225099999999998, 84.725399999999993, 84.528899999999993, 80.815399999999997, 72.944299999999998, 47.631900000000002, 47.450699999999998, 61.843000000000004, 76.188400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.767800000000001, 39.739199999999997, 34.045200000000001, 22.7394, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 34.548099999999998, 42.707999999999998, 43.416899999999998, 45.794199999999996, 45.044199999999996, 47.556899999999999, 48.645800000000001, 174.84899999999999, 153.44900000000001, 177.673, 147.39099999999999, 138.446, 135.23599999999999, 134.47900000000001, 124.883, 117.458, 116.642, 128.417, 131.80699999999999, 103.501, 105.083, 100.197, 104.54300000000001, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 142.71600000000001, 130.14699999999999, 163.63999999999999, 144.76400000000001, 136.399, 132.79900000000001, 135.096, 126.625, 119.956, 122.185, 135.58500000000001, 136.79599999999999, 107.765, 107.34399999999999, 98.304900000000004, 100.07899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.637, 106.366, 139.745, 124.877, 119.956, 120.732, 121.123, 117.94799999999999, 113.59399999999999, 113.361, 125.578, 126.851, 96.134100000000004, 98.157399999999996, 88.788300000000007, 91.496200000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 120.55800000000001, 103.43899999999999, 139.96799999999999, 125.361, 123.45399999999999, 125.02, 126.631, 123.953, 119.157, 114.755, 127.02, 129.035, 100.066, 101.623, 92.802000000000007, 94.256299999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 107.70399999999999, 93.085400000000007, 132.75700000000001, 122.881, 122.96599999999999, 126.735, 128.363, 128.619, 123.69499999999999, 123.212, 132.76300000000001, 130.23699999999999, 93.988799999999998, 89.753100000000003, 79.185500000000005, 80.159499999999994, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 101.81, 76.055899999999994, 81.126300000000001, 78.662700000000001, 76.533199999999994, 80.147900000000007, 78.534599999999998, 73.1357, 50.027299999999997, 48.809699999999999, 59.842799999999997, 68.167000000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 28.640699999999999, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 125.20399999999999, 106.47, 139.239, 123.67700000000001, 120.589, 125.521, 128.53399999999999, 128.732, 125.01900000000001, 124.14700000000001, 131.15600000000001, 123.959, 90.021799999999999, 91.303799999999995, 82.288799999999995, 84.619100000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 101.387, 90.134900000000002, 131.86699999999999, 119.908, 120.33, 125.113, 127.77500000000001, 130.38999999999999, 126.099, 124.502, 131.18700000000001, 122.747, 86.237899999999996, 87.087900000000005, 77.886600000000001, 80.611900000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.556899999999999, 86.512100000000004, 130.96199999999999, 118.795, 118.53100000000001, 125.89400000000001, 129.41200000000001, 131.60900000000001, 128.06100000000001, 126.2, 130.61099999999999, 122.206, 85.141300000000001, 85.429400000000001, 75.537599999999998, 78.245099999999994, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.687399999999997, 81.240099999999998, 129.48599999999999, 118.235, 118.087, 122.44799999999999, 125.23999999999999, 130.74199999999999, 129.072, 127.44, 131.25200000000001, 122.608, 85.210400000000007, 85.610500000000002, 75.216399999999993, 78.282200000000003, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 92.574700000000007, 83.229699999999994, 130.29499999999999, 118.614, 117.86499999999999, 124.541, 127.485, 130.29400000000001, 126.595, 124.66, 128.393, 119.98999999999999, 86.4495, 87.462699999999998, 77.464299999999994, 80.061999999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 105.852, 77.817999999999998, 82.058999999999997, 78.441800000000001, 77.732799999999997, 80.9114, 79.811599999999999, 74.811099999999996, 47.912799999999997, 49.054499999999997, 58.614600000000003, 65.977400000000003, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 20.455500000000001, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 148.92400000000001, 123.952, 150.773, 131.06999999999999, 124.398, 126.319, 131.06899999999999, 129.249, 125.114, 124.16500000000001, 130.74000000000001, 125.235, 94.260499999999993, 97.233000000000004, 89.9435, 93.367599999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 123.639, 104.539, 138.19200000000001, 122.768, 118.66800000000001, 122.101, 125.639, 125.343, 122.298, 121.629, 126.607, 123.003, 92.340199999999996, 93.315700000000007, 85.147099999999995, 88.102800000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 109.703, 96.047399999999996, 134.96000000000001, 121.45999999999999, 117.84099999999999, 122.672, 125.77500000000001, 127.79000000000001, 125.056, 123.622, 128.19800000000001, 121.315, 87.611699999999999, 87.813900000000004, 78.008399999999995, 80.928899999999999, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 91.359099999999998, 83.843900000000005, 129.81200000000001, 120.69199999999999, 122.23999999999999, 126.446, 128.608, 132.154, 128.41800000000001, 124.91500000000001, 127.402, 119.953, 86.340999999999994, 87.274699999999996, 77.3827, 80.828100000000006, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 90.528400000000005, 84.346400000000003, 130.46100000000001, 118.571, 115.15300000000001, 116.78100000000001, 117.17, 116.399, 112.798, 112.438, 123.218, 122.46899999999999, 90.855199999999996, 93.116200000000006, 85.178200000000004, 87.345399999999998, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 124.867, 95.2851, 93.4602, 87.424999999999997, 83.681600000000003, 84.342500000000001, 80.444299999999998, 73.403700000000001, 48.282699999999998, 47.951599999999999, 62.605499999999999, 77.895399999999995, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 38.302500000000002, 37.792999999999999, 22.5549, 20.253900000000002, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.307600000000001, 39.4666, 39.2393, 41.398200000000003, 40.382300000000001, 43.400300000000001, 39.404499999999999, 26.4328, 22.573, 22.192799999999998, 19.3614, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 26.173400000000001, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 36.322299999999998, 41.800199999999997, 44.445799999999998, 43.941000000000003, 46.045200000000001, 45.263100000000001, 50.540399999999998, 184.05000000000001, 157.57900000000001, 182.405, 158.08600000000001, 147.41999999999999, 146.227, 151.14099999999999, 141.751, 136.29400000000001, 134.65000000000001, 145.47, 147.69999999999999, 120.624, 119.31, 112.66, 115.181, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 139.67699999999999, 124.682, 152.34200000000001, 129.81200000000001, 123.93899999999999, 126.26300000000001, 128.578, 124.587, 119.881, 118.74299999999999, 128.72300000000001, 128.16999999999999, 96.893699999999995, 96.691100000000006, 88.019300000000001, 89.550399999999996, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 95.284499999999994, 96.373099999999994, 143.72800000000001, 133.03200000000001, 131.387, 128.042, 125.128, 123.904, 120.515, 121.101, 132.352, 132.04499999999999, 101.20399999999999, 100.116, 90.197299999999998, 90.926000000000002, 41.616599999999998, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 38.936199999999999, 41.616599999999998, 102.381, 92.139499999999998, 134.191, 127.398, 124.53400000000001, 121.291, 121.40300000000001, 119.586, 113.14, 113.142, 124.211, 124.255, 93.564700000000002, 95.413300000000007, 86.223699999999994, 87.658100000000005, 41.616599999999998, 38.936199999999999, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 115.837, 86.242800000000003, 87.0184, 83.278000000000006, 81.057599999999994, 82.418899999999994, 78.413300000000007, 71.216899999999995, 51.698999999999998, 52.479100000000003, 64.601600000000005, 75.829099999999997, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 31.108000000000001, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 18.7714, 23.706, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002, 33.575400000000002 ], - "batt_computed_bank_capacity" : 1200.2094000000002, - "om_batt_nameplate" : 1200.2094000000002, - "batt_dispatch_wf_forecast_choice" : 0, - "total_installed_cost" : 391808.35863000003, - "om_fixed_escal" : 0, - "batt_room_temperature_celsius" : [ 25 ], - "batt_power_discharge_max_kwac" : 288.05025600000005, - "batt_Qnom" : 2.0000002500000003, - "batt_current_choice" : 1, - "batt_loss_choice" : 0, - "batt_Vcut" : 2.706, - "om_replacement_cost_escal" : 0, - "batt_ac_dc_efficiency" : 96, - "batt_minimum_modetime" : 10, - "om_batt_variable_cost" : [ 0 ], - "batt_Qfull" : 2.25, - "batt_target_power" : [ 0 ], - "om_batt_replacement_cost" : [ 225.06 ], - "batt_power_charge_max_kwac" : 312.55453125000008, - "dispatch_manual_gridcharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_pv_ac_forecast" : [ 0 ], - "LeadAcid_tn" : 1, - "batt_inverter_efficiency_cutoff" : 90, - "batt_dc_dc_efficiency" : 99, - "batt_current_discharge_max" : 599.625, - "ppa_escalation" : 1, - "batt_Vfull" : 4.0999999999999996, - "LeadAcid_q10_computed" : 2230.605, - "batt_life_model" : 0, - "om_capacity_escal" : 0, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_pv_clipping_forecast" : [ 0 ], - "dispatch_manual_percent_discharge" : [ 25, 25, 0 ], - "ppa_multiplier_model" : 0, - "batt_chem" : 1, - "batt_dispatch_charge_only_system_exceeds_load" : 1, - "LeadAcid_q20_computed" : 2398.5, - "dispatch_manual_discharge" : [ 0, 0, 1, 1, 0, 0 ], - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_h_to_ambient" : 7.5, - "dispatch_manual_percent_gridcharge" : [ 100, 0 ], - "batt_voltage_choice" : 0, - "batt_Qexp" : 0.040000050000000002, - "batt_meter_position" : 0, - "ppa_price_input" : [ 0.153 ], - "batt_calendar_c" : 939, - "batt_computed_strings" : 1066, - "en_wave_batt" : 0, - "batt_dispatch_discharge_only_load_exceeds_system" : 1, - "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], - "batt_cycle_cost" : [ 0 ], - "batt_dispatch_load_forecast_choice" : 0, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_initial_SOC" : 50, - "load_escalation" : [ 0 ], - "batt_mass" : 17145.848571428574, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_power_charge_max_kwdc" : 300.05235000000005, - "batt_maximum_SOC" : 95, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 4, 4, 4, 4, 4, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 3, 3, 3, 3, 3, 1, 1, 1, 1 ] ], - "batt_computed_series" : 139, - "batt_resistance" : 0.002, - "batt_dc_ac_efficiency" : 96, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_target_power_monthly" : [ 0 ], - "batt_cycle_cost_choice" : 0, - "om_batt_capacity_cost" : [ 6.2800000000000002 ], - "batt_Vnom" : 3.3999999999999999, - "en_standalone_batt" : 0, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "number table entries" : 114 }, "number table entries" : 5 }, diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json index 1a9059de2..f57dd52d3 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json @@ -1,471 +1,471 @@ { "input" : { "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], - "pvwattsv8" : { - "shading_mxh" : [ [ 0 ] ], - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "gcr" : 0.29999999999999999, - "om_land_lease_escal" : 0, - "adjust_constant" : 0, - "total_installed_cost" : 116394500, - "om_capacity_escal" : 0, - "dc_ac_ratio" : 1.3, + "windpower" : { + "om_fixed_escal" : 0, + "turb_specific_loss" : 0.81000000000000005, + "wind_resource_distribution" : [ [ 0 ] ], + "avail_bop_loss" : 0.5, + "weibull_wind_speed" : 7.25, + "env_degrad_loss" : 1.8, "adjust_en_timeindex" : 0, + "weibull_reference_height" : 50, + "degradation" : [ 0 ], + "avail_grid_loss" : 1.5, + "wind_turbine_rotor_diameter" : 100, + "om_production_escal" : 0, + "turb_perf_loss" : 1.1000000000000001, + "wind_turbine_max_cp" : 0.45000000000000001, + "wind_resource_model_choice" : 0, + "wind_turbine_hub_ht" : 80, + "avail_turb_loss" : 3.5800000000000001, + "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", + "adjust_constant" : 0, + "weibull_k_factor" : 2, + "wind_resource_shear" : 0.14000000000000001, + "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "wind_resource_turbulence_coeff" : 0.10000000000000001, + "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], + "wind_farm_wake_model" : 0, + "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], + "env_icing_loss" : 0.20999999999999999, "adjust_en_periods" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], + "adjust_timeindex" : [ 0 ], + "om_capacity" : [ 40 ], + "system_capacity" : 200000, + "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], + "ops_load_loss" : 0.98999999999999999, + "turb_hysteresis_loss" : 0.40000000000000002, + "wake_future_loss" : 0, + "elec_parasitic_loss" : 0.10000000000000001, + "ops_strategies_loss" : 0, + "elec_eff_loss" : 1.9099999999999999, + "turb_generic_loss" : 1.7, + "env_env_loss" : 0.40000000000000002, + "env_exposure_loss" : 0, + "ops_grid_loss" : 0.83999999999999997, + "ops_env_loss" : 1, + "wake_ext_loss" : 1.1000000000000001, + "wake_int_loss" : 0, + "total_installed_cost" : 292032000, + "om_capacity_escal" : 0, + "om_fixed" : [ 0 ], "om_production" : [ 0 ], + "number table entries" : 50 + }, + "pvwattsv8" : { + "array_type" : 2, + "dc_ac_ratio" : 1.3, + "shading_timestep" : [ [ 0 ] ], + "shading_en_diff" : 0, "om_land_lease" : [ 0 ], - "tilt" : 0, + "losses" : 14.075660688264469, + "shading_azal" : [ [ 0 ] ], + "shading_string_option" : 0, + "om_land_lease_escal" : 0, + "adjust_en_periods" : 0, + "inv_eff" : 96, + "analysis_period" : 25, + "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "om_production_escal" : 0, - "om_fixed_escal" : 0, + "system_use_lifetime_output" : 0, "batt_simple_enable" : 0, - "analysis_period" : 25, - "module_type" : 0, + "degradation" : [ 0.5 ], "azimuth" : 180, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "use_wf_albedo" : 1, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "shading_en_mxh" : 0, + "gcr" : 0.29999999999999999, + "shading_mxh" : [ [ 0 ] ], + "tilt" : 0, + "module_type" : 0, "system_capacity" : 100000, - "shading_timestep" : [ [ 0 ] ], - "system_use_lifetime_output" : 0, - "en_snowloss" : 0, - "losses" : 14.075660688264469, - "solar_resource_file" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "inv_eff" : 96, - "shading_string_option" : 0, - "array_type" : 2, - "adjust_periods" : [ [ 0, 0, 0 ] ], + "shading_diff" : 0, + "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], "om_capacity" : [ 15 ], - "land_area" : 433.51782985136145, - "shading_azal" : [ [ 0 ] ], - "bifaciality" : 0, + "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], "shading_en_timestep" : 0, - "shading_en_diff" : 0, + "total_installed_cost" : 116394500, + "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], + "adjust_en_timeindex" : 0, + "adjust_constant" : 0, + "en_snowloss" : 0, + "adjust_periods" : [ [ 0, 0, 0 ] ], "shading_en_azal" : 0, - "shading_diff" : 0, + "bifaciality" : 0, + "shading_en_mxh" : 0, + "om_production" : [ 0 ], "shading_en_string_option" : 0, - "degradation" : [ 0.5 ], + "use_wf_albedo" : 1, "om_fixed" : [ 0 ], + "om_fixed_escal" : 0, + "om_capacity_escal" : 0, + "land_area" : 433.51782985136145, "number table entries" : 44 + }, + "battery" : { + "batt_loss_choice" : 0, + "batt_meter_position" : 1, + "batt_voltage_choice" : 0, + "batt_maximum_SOC" : 95, + "batt_losses" : [ 0 ], + "LeadAcid_q20_computed" : 479616.75, + "batt_minimum_SOC" : 10, + "batt_dc_ac_efficiency" : 96, + "batt_dispatch_wf_forecast_choice" : 0, + "batt_Qexp" : 0.040000050000000002, + "LeadAcid_q10_computed" : 446043.57750000001, + "batt_cycle_cost_choice" : 0, + "batt_Vfull" : 4.0999999999999996, + "batt_resistance" : 0.002, + "batt_dispatch_pvs_kf" : 0.29999999999999999, + "LeadAcid_tn" : 1, + "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "LeadAcid_qn_computed" : 287770.04999999999, + "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], + "batt_dispatch_pvs_kp" : 1.2, + "batt_Qnom" : 2.0000002500000003, + "batt_computed_bank_capacity" : 240000.22170000002, + "batt_power_discharge_max_kwdc" : 60000.055425000006, + "inflation_rate" : 2.5, + "add_om_num_types" : 1, + "batt_chem" : 1, + "batt_dispatch_pvs_ac_ub" : 1.05, + "om_replacement_cost_escal" : 0, + "batt_life_model" : 0, + "om_batt_variable_cost" : [ 0 ], + "batt_surface_area" : 18000.016627500001, + "om_batt_nameplate" : 240000.22170000002, + "batt_dispatch_auto_can_gridcharge" : 0, + "batt_initial_SOC" : 50, + "en_standalone_batt" : 0, + "batt_current_discharge_max" : 119904.1875, + "batt_dc_dc_efficiency" : 99, + "batt_computed_strings" : 213163, + "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], + "batt_Qfull_flow" : 479616.75, + "dispatch_manual_system_charge_first" : 0, + "batt_Vnom_default" : 3.6000000000000001, + "batt_Vcut" : 2.706, + "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_inverter_efficiency_cutoff" : 90, + "batt_current_charge_max" : 119904.1875, + "batt_Qfull" : 2.25, + "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_computed_series" : 139, + "batt_current_choice" : 1, + "om_capacity_escal" : 0, + "batt_ac_or_dc" : 1, + "batt_power_charge_max_kwac" : 62500.057734375012, + "batt_ac_dc_efficiency" : 96, + "batt_power_charge_max_kwdc" : 60000.055425000006, + "batt_power_discharge_max_kwac" : 57600.053208000005, + "batt_C_rate" : 0.20000000000000001, + "batt_Vexp" : 4.0499999999999998, + "batt_Vnom" : 3.3999999999999999, + "dispatch_manual_percent_discharge" : [ 25, 0 ], + "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], + "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], + "batt_replacement_schedule_percent" : [ 0 ], + "batt_Cp" : 1500, + "batt_mass" : 3428574.595714286, + "batt_h_to_ambient" : 100, + "batt_dispatch_pvs_ac_lb_enable" : 0, + "batt_room_temperature_celsius" : [ 25 ], + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_calendar_a" : 0.00266, + "batt_calendar_b" : -7280, + "batt_calendar_q0" : 1.02, + "batt_calendar_c" : 939, + "om_fixed_escal" : 0, + "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], + "batt_calendar_choice" : 1, + "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], + "ppa_escalation" : 1, + "batt_replacement_capacity" : 50, + "batt_replacement_option" : 1, + "batt_cycle_cost" : [ 0 ], + "batt_pv_ac_forecast" : [ 0 ], + "batt_dispatch_update_frequency_hours" : 1, + "batt_look_ahead_hours" : 18, + "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, + "batt_dispatch_pvs_ac_ub_enable" : 0, + "om_batt_fixed_cost" : [ 0 ], + "batt_dispatch_pvs_curtail_as_control" : 0, + "batt_dispatch_pvs_curtail_if_violation" : 0, + "batt_dispatch_pvs_forecast_shift_periods" : 3, + "batt_dispatch_pvs_ki" : 1.8, + "batt_dispatch_pvs_max_ramp" : 10, + "om_batt_capacity_cost" : [ 5.2999999999999998 ], + "batt_dispatch_pvs_nameplate_ac" : 20000, + "batt_dispatch_pvs_short_forecast_enable" : 0, + "total_installed_cost" : 111900127.3676472, + "batt_dispatch_pvs_soc_rest" : 50, + "batt_dispatch_pvs_timestep_multiplier" : 3, + "ppa_price_input" : [ 0.050000000000000003 ], + "batt_pv_clipping_forecast" : [ 0 ], + "batt_custom_dispatch" : [ 0 ], + "dispatch_manual_percent_gridcharge" : [ 0 ], + "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], + "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], + "analysis_period" : 25, + "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], + "batt_dispatch_auto_can_clipcharge" : 0, + "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "batt_minimum_modetime" : 10, + "grid_interconnection_limit_kwac" : 20000, + "batt_dispatch_auto_can_charge" : 1, + "om_production_escal" : 0, + "batt_dispatch_auto_can_fuelcellcharge" : 0, + "batt_dispatch_choice" : 3, + "om_batt_replacement_cost" : [ 323 ], + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ppa_multiplier_model" : 0, + "number table entries" : 118 }, "hybrid" : { - "ur_ts_sell_rate" : [ 0 ], - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_sta_amount_deprbas_sta" : 0, - "depr_itc_fed_sl_39" : 0, - "depr_bonus_fed_sl_15" : 0, - "depr_alloc_sl_20_percent" : 0, - "ibi_sta_amount_tax_fed" : 1, - "ibi_uti_amount_deprbas_sta" : 0, - "ibi_oth_percent_deprbas_fed" : 0, - "ibi_sta_amount" : 0, - "ibi_sta_percent_maxvalue" : 0, + "depr_bonus_fed_custom" : 0, + "flip_target_year" : 20, + "om_capacity" : [ 0 ], + "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ibi_oth_amount_tax_sta" : 1, + "cost_other_financing" : 0, "cp_capacity_payment_type" : 0, - "pbi_oth_for_ds" : 0, - "equip3_reserve_freq" : 0, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ibi_fed_percent_deprbas_fed" : 0, - "ur_billing_demand_minimum" : 0, - "ur_annual_min_charge" : 0, - "ibi_uti_percent_maxvalue" : 0, - "depr_itc_fed_macrs_15" : 0, - "ibi_sta_percent_deprbas_fed" : 0, - "ibi_fed_percent" : 0, - "depr_bonus_sta_sl_5" : 0, + "inflation_rate" : 2.5, "depr_bonus_fed" : 0, - "ibi_sta_percent_tax_fed" : 1, - "pbi_uti_term" : 0, - "cbi_oth_deprbas_sta" : 0, - "depr_bonus_sta" : 0, - "cbi_fed_amount" : 0, - "depr_itc_sta_sl_15" : 0, - "cbi_uti_amount" : 0, - "pbi_fed_amount" : [ 0 ], - "ibi_oth_percent" : 0, - "ibi_uti_amount_tax_fed" : 1, - "cbi_oth_maxvalue" : 0, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ibi_fed_amount_deprbas_fed" : 0, - "depr_alloc_sl_5_percent" : 0, - "itc_fed_percent" : [ 30 ], - "cbi_sta_amount" : 0, - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "depr_alloc_macrs_5_percent" : 100, - "pbi_fed_tax_sta" : 1, - "cbi_sta_maxvalue" : 0, - "depr_itc_fed_sl_5" : 0, - "depr_itc_fed_custom" : 0, - "itc_sta_percent_deprbas_fed" : 0, + "flip_target_percent" : 11, + "cp_capacity_payment_esc" : 0, + "term_int_rate" : 4, + "property_tax_rate" : 0, + "ptc_fed_escal" : 0, + "months_working_reserve" : 6, + "system_capacity" : 276923.09999999998, + "ibi_fed_percent_maxvalue" : 0, + "pbi_oth_tax_sta" : 1, + "grid_interconnection_limit_kwac" : 20000, "cost_debt_fee" : 2.75, - "depr_bonus_sta_sl_15" : 0, - "depr_itc_sta_macrs_5" : 0, - "depr_bonus_fed_sl_39" : 0, - "itc_fed_amount" : [ 0 ], - "ur_nm_yearend_sell_rate" : 0, - "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "cbi_uti_deprbas_fed" : 0, - "ibi_sta_percent_deprbas_sta" : 0, - "depr_itc_sta_sl_5" : 0, - "equip1_reserve_cost" : 0.10000000000000001, - "cbi_uti_deprbas_sta" : 0, - "ibi_oth_percent_tax_sta" : 1, - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "itc_fed_amount_deprbas_fed" : 1, - "ibi_oth_amount_deprbas_fed" : 0, - "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "depr_bonus_fed_macrs_5" : 1, + "ibi_sta_amount_deprbas_fed" : 0, + "equip3_reserve_freq" : 0, + "enable_interconnection_limit" : 0, "itc_fed_percent_deprbas_fed" : 1, - "ibi_oth_amount_deprbas_sta" : 0, - "ibi_fed_amount" : 0, - "ibi_uti_percent_tax_sta" : 1, - "cbi_sta_deprbas_sta" : 0, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], - "equip1_reserve_freq" : 15, - "rate_escalation" : [ 0 ], - "pbi_uti_tax_sta" : 1, - "ibi_oth_amount_tax_sta" : 1, - "depr_bonus_fed_sl_5" : 0, - "equip3_reserve_cost" : 0, - "inflation_rate" : 2.5, - "debt_option" : 1, - "ibi_oth_percent_deprbas_sta" : 0, - "depr_bonus_fed_sl_20" : 0, - "dscr_limit_debt_fraction" : 0, - "cp_battery_nameplate" : 0, - "months_working_reserve" : 6, - "ppa_escalation" : 1, - "pbi_sta_tax_fed" : 1, - "ur_monthly_fixed_charge" : 0, - "pbi_fed_term" : 0, - "itc_sta_amount_deprbas_fed" : 0, - "cp_system_nameplate" : 276.92309999999998, - "cbi_uti_tax_sta" : 1, - "depr_itc_fed_sl_15" : 0, - "ptc_sta_term" : 10, - "cost_other_financing" : 0, "cbi_fed_deprbas_fed" : 0, - "enable_interconnection_limit" : 0, - "property_tax_rate" : 0, - "depr_itc_sta_sl_20" : 0, - "pbi_fed_escal" : 0, - "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_sta_amount_deprbas_fed" : 0, - "loan_moratorium" : 0, - "cbi_sta_deprbas_fed" : 0, - "ur_yearzero_usage_peaks" : [ 0 ], - "pbi_oth_tax_fed" : 1, - "equip_reserve_depr_sta" : 0, - "ibi_sta_percent_tax_sta" : 1, + "ptc_sta_amount" : [ 0 ], "ibi_oth_percent_tax_fed" : 1, - "ur_billing_demand_lookback_period" : 0, - "pbi_uti_tax_fed" : 1, - "pbi_oth_term" : 0, - "dscr" : 1.3, + "dscr_maximum_debt_fraction" : 100, + "debt_option" : 1, + "real_discount_rate" : 6.4000000000000004, "depr_itc_sta_macrs_15" : 0, - "dscr_reserve_months" : 6, - "ibi_fed_amount_tax_fed" : 1, - "ibi_oth_amount" : 0, - "pbi_oth_tax_sta" : 1, - "depr_bonus_sta_macrs_5" : 0, - "cbi_oth_amount" : 0, - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "pbi_oth_for_ds" : 0, + "cbi_fed_deprbas_sta" : 0, + "itc_fed_percent_deprbas_sta" : 1, + "itc_sta_amount" : [ 0 ], + "depr_alloc_sl_15_percent" : 0, + "rate_escalation" : [ 0 ], + "prop_tax_assessed_decline" : 0, "ur_enable_billing_demand" : 0, - "ppa_multiplier_model" : 0, - "depr_fedbas_method" : 1, + "debt_percent" : 60, + "batt_salvage_percentage" : 0, "ibi_fed_amount_deprbas_sta" : 0, - "depr_alloc_macrs_15_percent" : 0, - "ibi_uti_percent" : 0, - "depr_itc_fed_macrs_5" : 0, - "ibi_oth_amount_tax_fed" : 1, - "ibi_fed_percent_deprbas_sta" : 0, - "ur_ts_buy_rate" : [ 0 ], - "grid_curtailment_price_esc" : 0, - "ibi_uti_amount" : 0, - "ibi_fed_amount_tax_sta" : 1, - "pbi_oth_amount" : [ 0 ], - "ibi_fed_percent_tax_fed" : 1, - "ptc_sta_amount" : [ 0 ], - "cbi_oth_deprbas_fed" : 0, + "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], "salvage_percentage" : 0, - "pbi_uti_for_ds" : 0, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "depr_itc_fed_sl_20" : 0, - "depr_alloc_sl_39_percent" : 0, - "cbi_oth_tax_fed" : 1, + "ibi_oth_amount_deprbas_sta" : 0, + "depr_alloc_macrs_5_percent" : 100, + "total_installed_cost" : 520326627.36764717, + "pbi_uti_tax_sta" : 1, + "itc_sta_amount_deprbas_sta" : 0, + "cbi_uti_deprbas_fed" : 0, + "depr_bonus_fed_macrs_15" : 0, "om_fixed" : [ 0 ], - "depr_alloc_custom_percent" : 0, - "depr_stabas_method" : 1, - "term_int_rate" : 4, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "ur_en_ts_buy_rate" : 0, - "cbi_fed_tax_sta" : 1, - "payment_option" : 0, - "itc_sta_percent_deprbas_sta" : 0, - "cbi_sta_tax_fed" : 1, - "insurance_rate" : 0, + "om_production" : [ 0 ], + "term_tenor" : 18, + "ur_billing_demand_lookback_period" : 0, + "state_tax_rate" : [ 7 ], + "analysis_period" : 25, + "ibi_uti_amount_deprbas_fed" : 0, + "equip_reserve_depr_sta" : 0, + "equip3_reserve_cost" : 0, "federal_tax_rate" : [ 21 ], - "ibi_uti_percent_tax_fed" : 1, - "construction_financing_cost" : 13658573.968400739, - "ur_nm_credit_rollover" : 0, - "reserves_interest" : 1.25, - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "dscr" : 1.3, + "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_fed_maxvalue" : 0, + "ur_monthly_fixed_charge" : 0, + "insurance_rate" : 0, "ibi_sta_percent" : 0, - "pbi_sta_for_ds" : 0, - "cbi_fed_deprbas_sta" : 0, - "pbi_fed_tax_fed" : 1, - "cp_capacity_credit_percent" : [ 0 ], - "depr_bonus_sta_custom" : 0, + "pbi_sta_term" : 0, + "ibi_uti_percent_tax_fed" : 1, "prop_tax_cost_assessed_percent" : 100, - "ptc_fed_term" : 10, + "ibi_fed_amount" : 0, + "depr_itc_sta_sl_15" : 0, + "cbi_fed_tax_sta" : 1, + "ptc_fed_amount" : [ 0 ], + "ibi_uti_percent_deprbas_sta" : 0, + "pbi_fed_tax_fed" : 1, + "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], + "cbi_sta_amount" : 0, + "depr_alloc_sl_5_percent" : 0, + "payment_option" : 0, + "grid_curtailment_price_esc" : 0, + "pbi_sta_for_ds" : 0, + "pbi_fed_escal" : 0, + "dscr_limit_debt_fraction" : 0, + "depr_custom_schedule" : [ 0 ], + "cost_debt_closing" : 0, + "ibi_fed_amount_deprbas_fed" : 0, + "ibi_oth_percent_tax_sta" : 1, + "cp_capacity_payment_amount" : [ 0 ], + "loan_moratorium" : 0, + "cbi_oth_tax_fed" : 1, + "batt_replacement_option" : 1, + "itc_fed_amount_deprbas_fed" : 1, + "ibi_sta_percent_deprbas_fed" : 0, + "pbi_fed_amount" : [ 0 ], + "ibi_oth_percent_deprbas_sta" : 0, + "depr_bonus_sta_sl_39" : 0, + "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], + "ur_nm_credit_rollover" : 0, + "ibi_oth_amount_tax_fed" : 1, + "ur_dc_billing_demand_periods" : [ [ 0 ] ], + "ibi_uti_percent_maxvalue" : 0, "ibi_uti_percent_deprbas_fed" : 0, - "ur_metering_option" : 4, - "ptc_fed_escal" : 0, + "construction_financing_cost" : 13658573.968400739, + "ibi_uti_amount_tax_sta" : 1, + "ptc_sta_escal" : 0, + "cbi_uti_maxvalue" : 0, + "pbi_uti_escal" : 0, + "depr_fedbas_method" : 1, + "itc_sta_percent_deprbas_fed" : 0, + "depr_bonus_sta_macrs_5" : 0, + "pbi_oth_escal" : 0, + "ibi_sta_amount" : 0, + "months_receivables_reserve" : 0, "cbi_sta_tax_sta" : 1, - "itc_fed_amount_deprbas_sta" : 1, - "batt_salvage_percentage" : 0, + "equip1_reserve_cost" : 0.10000000000000001, + "ibi_sta_percent_tax_fed" : 1, + "dscr_reserve_months" : 6, + "ibi_oth_amount" : 0, + "equip1_reserve_freq" : 15, + "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], + "equip2_reserve_cost" : 0, + "equip_reserve_depr_fed" : 0, + "equip2_reserve_freq" : 0, + "reserves_interest" : 1.25, + "depr_bonus_fed_sl_39" : 0, + "ur_billing_demand_minimum" : 0, + "depr_itc_sta_custom" : 0, + "ppa_escalation" : 1, "ppa_price_input" : [ 0.050000000000000003 ], - "ptc_sta_escal" : 0, - "system_capacity" : 276923.09999999998, + "ibi_oth_percent_maxvalue" : 0, "ppa_soln_mode" : 1, - "depr_bonus_sta_sl_20" : 0, - "itc_fed_percent_deprbas_sta" : 1, - "prop_tax_assessed_decline" : 0, - "flip_target_percent" : 11, + "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], + "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], + "depr_alloc_sl_20_percent" : 0, "en_electricity_rates" : 1, - "dscr_maximum_debt_fraction" : 100, - "ur_dc_enable" : 0, - "debt_percent" : 60, + "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ibi_sta_amount_deprbas_sta" : 0, + "itc_fed_amount" : [ 0 ], + "depr_bonus_sta_custom" : 0, + "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], + "ibi_fed_percent_tax_fed" : 1, + "pbi_sta_tax_fed" : 1, + "depr_bonus_fed_sl_15" : 0, "pbi_sta_amount" : [ 0 ], - "cbi_fed_tax_fed" : 1, + "ur_nm_yearend_sell_rate" : 0, + "depr_itc_sta_macrs_5" : 0, + "ppa_multiplier_model" : 0, + "cp_battery_nameplate" : 0, + "cp_capacity_credit_percent" : [ 0 ], + "ptc_fed_term" : 10, + "pbi_oth_amount" : [ 0 ], + "cp_system_nameplate" : 276.92309999999998, + "ibi_sta_percent_deprbas_sta" : 0, + "grid_curtailment_price" : [ 0 ], + "depr_bonus_fed_sl_5" : 0, + "itc_fed_amount_deprbas_sta" : 1, + "itc_fed_percent" : [ 30 ], + "ptc_sta_term" : 10, + "pbi_uti_tax_fed" : 1, + "itc_sta_amount_deprbas_fed" : 0, + "pbi_fed_for_ds" : 0, "itc_sta_percent" : [ 0 ], - "pbi_sta_escal" : 0, - "ibi_uti_amount_deprbas_fed" : 0, - "analysis_period" : 25, - "pbi_oth_escal" : 0, - "ur_nm_credit_month" : 0, - "ibi_uti_amount_tax_sta" : 1, - "real_discount_rate" : 6.4000000000000004, - "cbi_uti_maxvalue" : 0, + "itc_sta_percent_deprbas_sta" : 0, + "ibi_sta_amount_tax_sta" : 1, + "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], + "depr_alloc_macrs_15_percent" : 0, "cbi_uti_tax_fed" : 1, - "om_production" : [ 0 ], - "equip_reserve_depr_fed" : 0, - "equip2_reserve_freq" : 0, - "cp_capacity_payment_amount" : [ 0 ], - "depr_bonus_fed_macrs_15" : 0, + "cbi_fed_tax_fed" : 1, + "ibi_uti_amount_deprbas_sta" : 0, + "cbi_fed_amount" : 0, + "cbi_sta_tax_fed" : 1, + "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], + "ibi_fed_percent_deprbas_fed" : 0, + "depr_itc_fed_sl_39" : 0, + "cbi_oth_amount" : 0, + "cbi_oth_deprbas_fed" : 0, + "depr_bonus_fed_sl_20" : 0, + "cbi_oth_deprbas_sta" : 0, + "pbi_fed_tax_sta" : 1, + "cbi_oth_maxvalue" : 0, + "cbi_oth_tax_sta" : 1, + "cbi_sta_deprbas_fed" : 0, + "cbi_sta_deprbas_sta" : 0, + "cbi_sta_maxvalue" : 0, + "ibi_fed_amount_tax_fed" : 1, + "cbi_uti_amount" : 0, + "ibi_uti_percent" : 0, + "cbi_uti_deprbas_sta" : 0, + "depr_bonus_sta_macrs_15" : 0, + "cbi_uti_tax_sta" : 1, + "ibi_fed_percent_deprbas_sta" : 0, + "ibi_fed_amount_tax_sta" : 1, + "ibi_fed_percent" : 0, + "ibi_fed_percent_tax_sta" : 1, + "ibi_sta_amount_tax_fed" : 1, + "ibi_oth_amount_deprbas_fed" : 0, + "ibi_sta_percent_tax_sta" : 1, + "ibi_oth_percent" : 0, + "ibi_uti_amount_tax_fed" : 1, + "ibi_oth_percent_deprbas_fed" : 0, + "ibi_sta_percent_maxvalue" : 0, + "ibi_uti_amount" : 0, + "ur_nm_credit_month" : 0, + "ibi_uti_percent_tax_sta" : 1, + "depr_itc_sta_sl_5" : 0, + "pbi_fed_term" : 0, + "pbi_oth_tax_fed" : 1, + "pbi_oth_term" : 0, + "depr_itc_fed_sl_15" : 0, + "pbi_sta_escal" : 0, + "depr_itc_sta_sl_20" : 0, + "pbi_sta_tax_sta" : 1, "pbi_uti_amount" : [ 0 ], - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "ur_en_ts_sell_rate" : 0, - "flip_target_year" : 20, - "pbi_uti_escal" : 0, - "depr_itc_sta_custom" : 0, - "cbi_fed_maxvalue" : 0, - "itc_sta_amount_deprbas_sta" : 0, - "ptc_fed_amount" : [ 0 ], - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], - "ibi_uti_percent_deprbas_sta" : 0, + "pbi_uti_for_ds" : 0, + "pbi_uti_term" : 0, + "depr_alloc_custom_percent" : 0, + "depr_bonus_sta_sl_20" : 0, "depr_itc_sta_sl_39" : 0, - "ibi_fed_percent_tax_sta" : 1, - "grid_interconnection_limit_kwac" : 20000, - "depr_alloc_sl_15_percent" : 0, - "cbi_oth_tax_sta" : 1, - "itc_sta_amount" : [ 0 ], + "depr_alloc_sl_39_percent" : 0, + "depr_bonus_fed_macrs_5" : 1, + "depr_bonus_sta" : 0, + "depr_bonus_sta_sl_15" : 0, + "depr_itc_fed_sl_5" : 0, + "depr_itc_fed_macrs_15" : 0, + "depr_bonus_sta_sl_5" : 0, + "depr_itc_fed_macrs_5" : 0, + "depr_itc_fed_custom" : 0, + "depr_itc_fed_sl_20" : 0, + "depr_stabas_method" : 1, + "ur_ts_sell_rate" : [ 0 ], + "ur_en_ts_sell_rate" : 0, + "ur_metering_option" : 4, + "ur_en_ts_buy_rate" : 0, + "ur_ts_buy_rate" : [ 0 ], + "ur_annual_min_charge" : 0, "ur_monthly_min_charge" : 0, + "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], + "ur_yearzero_usage_peaks" : [ 0 ], + "ur_dc_enable" : 0, "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "batt_replacement_option" : 1, - "depr_custom_schedule" : [ 0 ], - "pbi_sta_term" : 0, - "pbi_fed_for_ds" : 0, - "months_receivables_reserve" : 0, - "ibi_fed_percent_maxvalue" : 0, - "grid_curtailment_price" : [ 0 ], - "cost_debt_closing" : 0, - "cp_capacity_payment_esc" : 0, - "term_tenor" : 18, - "om_capacity" : [ 0 ], - "total_installed_cost" : 520326627.36764717, - "equip2_reserve_cost" : 0, - "depr_bonus_fed_custom" : 0, - "state_tax_rate" : [ 7 ], - "depr_bonus_sta_macrs_15" : 0, - "ibi_sta_amount_tax_sta" : 1, - "ibi_oth_percent_maxvalue" : 0, - "pbi_sta_tax_sta" : 1, - "depr_bonus_sta_sl_39" : 0, "number table entries" : 242 - }, - "windpower" : { - "ops_env_loss" : 1, - "avail_grid_loss" : 1.5, - "wind_resource_filename" : "/Users/dguittet/Projects/SAM/build/Debug/sam/SAMOSd.app/Contents/wind_resource/AZ Eastern-Rolling Hills.srw", - "degradation" : [ 0 ], - "turb_specific_loss" : 0.81000000000000005, - "wind_turbine_rotor_diameter" : 100, - "weibull_k_factor" : 2, - "env_icing_loss" : 0.20999999999999999, - "adjust_constant" : 0, - "wind_farm_wake_model" : 0, - "avail_bop_loss" : 0.5, - "turb_generic_loss" : 1.7, - "turb_perf_loss" : 1.1000000000000001, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "om_production" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "env_env_loss" : 0.40000000000000002, - "wake_future_loss" : 0, - "weibull_wind_speed" : 7.25, - "ops_grid_loss" : 0.83999999999999997, - "wind_turbine_max_cp" : 0.45000000000000001, - "avail_turb_loss" : 3.5800000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "elec_parasitic_loss" : 0.10000000000000001, - "wind_farm_yCoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wind_farm_xCoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "om_capacity_escal" : 0, - "wake_int_loss" : 0, - "total_installed_cost" : 292032000, - "env_exposure_loss" : 0, - "om_fixed_escal" : 0, - "weibull_reference_height" : 50, - "om_production_escal" : 0, - "system_capacity" : 200000, - "env_degrad_loss" : 1.8, - "wind_resource_shear" : 0.14000000000000001, - "adjust_timeindex" : [ 0 ], - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_resource_model_choice" : 0, - "elec_eff_loss" : 1.9099999999999999, - "wind_resource_distribution" : [ [ 0 ] ], - "om_fixed" : [ 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wake_ext_loss" : 1.1000000000000001, - "ops_strategies_loss" : 0, - "adjust_en_timeindex" : 0, - "ops_load_loss" : 0.98999999999999999, - "adjust_en_periods" : 0, - "om_capacity" : [ 40 ], - "wind_turbine_hub_ht" : 80, - "number table entries" : 50 - }, - "battery" : { - "batt_cycle_cost_choice" : 0, - "batt_dispatch_pvs_timestep_multiplier" : 3, - "batt_current_choice" : 1, - "batt_dispatch_update_frequency_hours" : 1, - "batt_look_ahead_hours" : 18, - "batt_initial_SOC" : 50, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_Vnom_default" : 3.6000000000000001, - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_dispatch_auto_can_charge" : 1, - "analysis_period" : 25, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], - "batt_calendar_q0" : 1.02, - "om_batt_replacement_cost" : [ 323 ], - "batt_Qfull_flow" : 479616.75, - "om_fixed_escal" : 0, - "batt_dispatch_pvs_soc_rest" : 50, - "LeadAcid_q20_computed" : 479616.75, - "om_production_escal" : 0, - "batt_calendar_choice" : 1, - "batt_replacement_capacity" : 50, - "batt_Cp" : 1500, - "batt_mass" : 3428574.595714286, - "batt_calendar_b" : -7280, - "batt_power_charge_max_kwac" : 62500.057734375012, - "batt_dispatch_pvs_ki" : 1.8, - "dispatch_manual_system_charge_first" : 0, - "batt_replacement_schedule_percent" : [ 0 ], - "batt_dispatch_pvs_short_forecast_enable" : 0, - "batt_computed_bank_capacity" : 240000.22170000002, - "om_batt_nameplate" : 240000.22170000002, - "batt_replacement_option" : 1, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "cap_vs_temp" : [ [ -20, 72.333299999999994 ], [ -10, 81.799999999999997 ], [ 0, 88.799999999999997 ], [ 10, 93 ], [ 23, 96.666700000000006 ], [ 45, 101 ], [ 60, 101 ] ], - "batt_Vexp" : 4.0499999999999998, - "batt_ac_or_dc" : 1, - "batt_losses" : [ 0 ], - "batt_dispatch_auto_can_clipcharge" : 0, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_pvs_forecast_shift_periods" : 3, - "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_wf_forecast_choice" : 0, - "total_installed_cost" : 111900127.3676472, - "batt_dc_ac_efficiency" : 96, - "batt_resistance" : 0.002, - "grid_interconnection_limit_kwac" : 20000, - "LeadAcid_qn_computed" : 287770.04999999999, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "add_om_num_types" : 1, - "om_replacement_cost_escal" : 0, - "batt_minimum_modetime" : 10, - "batt_ac_dc_efficiency" : 96, - "batt_power_discharge_max_kwac" : 57600.053208000005, - "batt_room_temperature_celsius" : [ 25 ], - "batt_Vcut" : 2.706, - "batt_loss_choice" : 0, - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_dispatch_pvs_ac_lb_enable" : 0, - "batt_computed_strings" : 213163, - "batt_calendar_c" : 939, - "batt_surface_area" : 18000.016627500001, - "om_batt_variable_cost" : [ 0 ], - "batt_Qfull" : 2.25, - "om_batt_fixed_cost" : [ 0 ], - "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "inflation_rate" : 2.5, - "batt_voltage_choice" : 0, - "batt_dispatch_pvs_kf" : 0.29999999999999999, - "batt_pv_ac_forecast" : [ 0 ], - "LeadAcid_tn" : 1, - "batt_meter_position" : 1, - "batt_Qexp" : 0.040000050000000002, - "batt_dispatch_choice" : 3, - "batt_inverter_efficiency_cutoff" : 90, - "batt_dc_dc_efficiency" : 99, - "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_current_discharge_max" : 119904.1875, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_dispatch_pvs_ac_ub_enable" : 0, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_dispatch_pvs_max_ramp" : 10, - "om_capacity_escal" : 0, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_life_model" : 0, - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "ppa_multiplier_model" : 0, - "batt_chem" : 1, - "batt_calendar_a" : 0.00266, - "batt_minimum_SOC" : 10, - "batt_C_rate" : 0.20000000000000001, - "batt_Vfull" : 4.0999999999999996, - "ppa_escalation" : 1, - "LeadAcid_q10_computed" : 446043.57750000001, - "batt_Qnom" : 2.0000002500000003, - "batt_dispatch_pvs_curtail_if_violation" : 0, - "batt_dispatch_pvs_nameplate_ac" : 20000, - "batt_maximum_SOC" : 95, - "batt_Vnom" : 3.3999999999999999, - "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "batt_power_discharge_max_kwdc" : 60000.055425000006, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_h_to_ambient" : 100, - "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_dispatch_pvs_kp" : 1.2, - "ppa_price_input" : [ 0.050000000000000003 ], - "batt_computed_series" : 139, - "batt_cycle_cost" : [ 0 ], - "batt_lifetime_matrix" : [ [ 100, 0, 100 ], [ 100, 128, 98.790700000000001 ], [ 100, 202, 97.963200000000001 ], [ 100, 277, 97.3904 ], [ 100, 371, 96.626599999999996 ], [ 100, 523, 95.926500000000004 ], [ 100, 666, 95.226299999999995 ], [ 100, 1012, 93.953299999999999 ], [ 100, 1354, 92.934899999999999 ], [ 100, 1733, 91.948400000000007 ], [ 100, 2052, 91.057299999999998 ], [ 100, 2384, 90.229799999999997 ], [ 100, 2744, 89.370599999999996 ], [ 100, 3103, 88.543099999999995 ], [ 100, 3465, 87.715699999999998 ], [ 100, 3830, 86.920100000000005 ], [ 100, 4188, 86.188100000000006 ], [ 100, 4560, 85.296999999999997 ], [ 100, 5000, 84.437799999999996 ], [ 100, 5374, 83.7376 ], [ 100, 5757, 82.973799999999997 ], [ 100, 6132, 82.305499999999995 ], [ 100, 6527, 81.478099999999998 ], [ 100, 6926, 80.746099999999998 ], [ 100, 7300, 79.982299999999995 ], [ 100, 7701, 79.218500000000006 ], [ 100, 8111, 78.613900000000001 ], [ 100, 8495, 77.659099999999995 ], [ 100, 8778, 77.340900000000005 ], [ 100, 9192, 76.449799999999996 ], [ 100, 9987, 74.8904 ], [ 100, 10829, 73.426500000000004 ], [ 100, 11474, 72.153499999999994 ], [ 100, 12162, 70.912300000000002 ], [ 100, 12921, 69.575699999999998 ], [ 80, 0, 100 ], [ 80, 161, 98.408799999999999 ], [ 80, 301, 97.135800000000003 ], [ 80, 448, 96.021900000000002 ], [ 80, 645, 95.0672 ], [ 80, 831, 94.303399999999996 ], [ 80, 1276, 92.680300000000003 ], [ 80, 1696, 91.566500000000005 ], [ 80, 2191, 90.484399999999994 ], [ 80, 2596, 89.656999999999996 ], [ 80, 3023, 89.020499999999998 ], [ 80, 3466, 88.352199999999996 ], [ 80, 3912, 87.715699999999998 ], [ 80, 4375, 87.047399999999996 ], [ 80, 4828, 86.347200000000001 ], [ 80, 5292, 85.742599999999996 ], [ 80, 5759, 85.042400000000001 ], [ 80, 6297, 84.342299999999994 ], [ 80, 7242, 83.101100000000002 ], [ 80, 7724, 82.4328 ], [ 80, 8196, 81.828100000000006 ], [ 80, 8678, 81.064400000000006 ], [ 80, 9186, 80.491500000000002 ], [ 80, 9690, 79.950500000000005 ], [ 80, 10169, 79.313999999999993 ], [ 80, 10623, 78.8048 ], [ 80, 11000, 78.263800000000003 ], [ 80, 11534, 77.627300000000005 ], [ 80, 12479, 76.672600000000003 ], [ 80, 13496, 75.590500000000006 ], [ 80, 14299, 74.794899999999998 ], [ 80, 15119, 73.903800000000004 ], [ 80, 16019, 72.885400000000004 ], [ 40, 0, 100 ], [ 40, 489, 95.735500000000002 ], [ 40, 948, 92.680300000000003 ], [ 40, 1323, 89.816100000000006 ], [ 40, 1733, 87.779399999999995 ], [ 40, 2690, 83.769400000000005 ], [ 40, 3623, 82.305499999999995 ], [ 40, 4676, 82.114599999999996 ], [ 40, 5591, 82.114599999999996 ], [ 40, 6507, 82.178200000000004 ], [ 40, 7506, 81.923599999999993 ], [ 40, 8468, 81.605400000000003 ], [ 40, 9438, 82.751099999999994 ], [ 40, 10407, 81.796300000000002 ], [ 40, 11358, 81.159800000000004 ], [ 40, 12345, 80.491500000000002 ], [ 40, 13463, 80.491500000000002 ], [ 40, 14484, 80.077799999999996 ], [ 40, 15468, 79.759500000000003 ], [ 40, 16470, 79.409499999999994 ], [ 40, 17477, 78.932100000000005 ], [ 40, 18475, 78.613900000000001 ], [ 40, 19492, 78.136499999999998 ], [ 40, 20530, 77.818200000000004 ], [ 40, 21542, 77.436300000000003 ], [ 40, 22456, 77.118099999999998 ], [ 40, 23209, 77.245400000000004 ], [ 40, 24274, 76.736199999999997 ], [ 40, 26229, 75.972399999999993 ], [ 40, 28263, 75.240499999999997 ], [ 40, 29842, 74.763099999999994 ], [ 40, 31478, 74.253900000000002 ], [ 40, 33293, 73.872 ], [ 20, 0, 100 ], [ 20, 322, 97.835899999999995 ], [ 20, 744, 96.562899999999999 ], [ 20, 1030, 94.971699999999998 ], [ 20, 1861, 91.343699999999998 ], [ 20, 2726, 87.843000000000004 ], [ 20, 2726, 87.843000000000004 ], [ 20, 3518, 84.787800000000004 ], [ 20, 5462, 79.250399999999999 ], [ 20, 7476, 76.927199999999999 ], [ 20, 9813, 77.086299999999994 ], [ 20, 11702, 77.277199999999993 ], [ 20, 13699, 77.913700000000006 ], [ 20, 15707, 78.677499999999995 ], [ 20, 17758, 79.059399999999997 ], [ 20, 19770, 79.441299999999998 ], [ 20, 21691, 79.504999999999995 ], [ 20, 23725, 79.568600000000004 ], [ 20, 25701, 79.568600000000004 ], [ 20, 27985, 79.982299999999995 ], [ 20, 30010, 79.8232 ], [ 20, 31981, 79.695899999999995 ], [ 20, 33984, 79.536799999999999 ], [ 20, 35993, 79.250399999999999 ], [ 20, 37950, 79.059399999999997 ], [ 20, 40000, 78.8048 ], [ 20, 42027, 78.550200000000004 ], [ 20, 44002, 78.295599999999993 ], [ 20, 45809, 78.040999999999997 ], [ 20, 47324, 78.454700000000003 ], [ 20, 49421, 77.977400000000003 ], [ 20, 53266, 77.277199999999993 ], [ 20, 57263, 76.608900000000006 ], [ 20, 60363, 76.290700000000001 ], [ 20, 63598, 75.813299999999998 ], [ 20, 67147, 75.686000000000007 ], [ 10, 0, 100 ], [ 10, 827, 98.281499999999994 ], [ 10, 1341, 97.008499999999998 ], [ 10, 2050, 95.671899999999994 ], [ 10, 3697, 92.425700000000006 ], [ 10, 5352, 89.338800000000006 ], [ 10, 6801, 86.760999999999996 ], [ 10, 10801, 81.828100000000006 ], [ 10, 14594, 79.186700000000002 ], [ 10, 19282, 78.263800000000003 ], [ 10, 22891, 78.295599999999993 ], [ 10, 26837, 78.741200000000006 ], [ 10, 30819, 79.186700000000002 ], [ 10, 34952, 79.568600000000004 ], [ 10, 38953, 79.886799999999994 ], [ 10, 42829, 80.014099999999999 ], [ 10, 46812, 80.141400000000004 ], [ 10, 50791, 80.205100000000002 ], [ 10, 55205, 80.8416 ], [ 10, 59150, 80.8416 ], [ 10, 63094, 80.905199999999994 ], [ 10, 67040, 80.777900000000002 ], [ 10, 71103, 80.714299999999994 ], [ 10, 74943, 80.682500000000005 ], [ 10, 78957, 80.523300000000006 ], [ 10, 82865, 80.396000000000001 ], [ 10, 86722, 80.268699999999995 ], [ 10, 90414, 80.173299999999998 ], [ 10, 93306, 80.523300000000006 ], [ 10, 97274, 80.268699999999995 ], [ 10, 104629, 79.568600000000004 ], [ 10, 112503, 78.995800000000003 ], [ 10, 118575, 78.613900000000001 ], [ 10, 124792, 78.231999999999999 ], [ 10, 131609, 78.168300000000002 ] ], - "batt_dispatch_pvs_ac_ub" : 1.05, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_power_charge_max_kwdc" : 60000.055425000006, - "en_standalone_batt" : 0, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_current_charge_max" : 119904.1875, - "batt_custom_dispatch" : [ 0 ], - "number table entries" : 118 }, "number table entries" : 5 }, diff --git a/test/ssc_test/cmod_hybrid_test.cpp b/test/ssc_test/cmod_hybrid_test.cpp index 3ee244279..9131a3809 100644 --- a/test/ssc_test/cmod_hybrid_test.cpp +++ b/test/ssc_test/cmod_hybrid_test.cpp @@ -57,7 +57,7 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatterySingleOwner) { auto wind_table = ssc_data_get_table(table, "windpower"); char wind_resource_path[256]; - sprintf(wind_resource_path, "%s/test/input_cases/general_data/WY_Southern-Flat_Lands.srw", std::getenv("SSCDIR")); + sprintf(wind_resource_path, "%s/test/input_cases/general_data/AZ Eastern-Rolling Hills.srw", std::getenv("SSCDIR")); ssc_data_set_string(wind_table, "wind_resource_filename", wind_resource_path); int errors = run_module(dat, "hybrid"); @@ -74,17 +74,17 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatterySingleOwner) { auto pv_outputs = ssc_data_get_table(outputs, "pvwattsv8"); auto pv_inputs = ssc_data_get_table(inputs, "pvwattsv8"); ssc_data_get_number(pv_outputs, "annual_energy", &pvannualenergy); - EXPECT_NEAR(pvannualenergy, 211907455, 211907455 * 0.01); + EXPECT_NEAR(pvannualenergy, 211907456, 211907456 * 0.01); auto wind_outputs = ssc_data_get_table(outputs, "windpower"); auto wind_inputs = ssc_data_get_table(inputs, "windpower"); ssc_data_get_number(wind_outputs, "annual_energy", &windannualenergy); - EXPECT_NEAR(windannualenergy, 818888286, 818888286 * 0.01); + EXPECT_NEAR(windannualenergy, 366975552, 366975552 * 0.01); auto batt_outputs = ssc_data_get_table(outputs, "battery"); auto batt_inputs = ssc_data_get_table(inputs, "battery"); ssc_data_get_number(batt_outputs, "annual_energy", &battannualenergy); - EXPECT_NEAR(battannualenergy, 1022195808, 1022195808 * 0.01); + EXPECT_NEAR(battannualenergy, 570565000, 570565000 * 0.01); auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); @@ -96,9 +96,9 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatterySingleOwner) { ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); EXPECT_NEAR(om_expenses[1], 10772001, 1); - EXPECT_NEAR(revenue[1], 56354351, 1); - EXPECT_NEAR(ebitda[1], 45582350, 1); - EXPECT_NEAR(npv, 22961119, 61506 * 0.001); + EXPECT_NEAR(revenue[1], 31648432, 1); + EXPECT_NEAR(ebitda[1], 20876432, 1); + EXPECT_NEAR(npv, -242777472, 242777472 * 0.001); } ssc_data_free(dat); dat = nullptr; @@ -126,7 +126,7 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatteryHostDeveloper) { auto wind_table = ssc_data_get_table(table, "windpower"); char wind_resource_path[256]; - sprintf(wind_resource_path, "%s/test/input_cases/general_data/WY_Southern-Flat_Lands.srw", std::getenv("SSCDIR")); + sprintf(wind_resource_path, "%s/test/input_cases/general_data/AZ Eastern-Rolling Hills.srw", std::getenv("SSCDIR")); ssc_data_set_string(wind_table, "wind_resource_filename", wind_resource_path); int errors = run_module(dat, "hybrid"); @@ -143,11 +143,11 @@ TEST_F(CmodHybridTest, PVWattsv8WindBatteryHostDeveloper) { auto wind_outputs = ssc_data_get_table(outputs, "windpower"); ssc_data_get_number(wind_outputs, "annual_energy", &windannualenergy); - EXPECT_NEAR(windannualenergy, 396876, 396876 * 0.01); + EXPECT_NEAR(windannualenergy, 187767, 187767 * 0.01); auto hybrid_outputs = ssc_data_get_table(outputs, "Hybrid"); ssc_data_get_number(hybrid_outputs, "project_return_aftertax_npv", &npv); - EXPECT_NEAR(npv, 70350, 70350 * 0.001); + EXPECT_NEAR(npv, -174953, 174953 * 0.001); } ssc_data_free(dat); dat = nullptr; @@ -171,7 +171,7 @@ TEST_F(CmodHybridTest, GenericPVWattsWindFuelCellBatteryHybrid_SingleOwner) { auto wind_table = ssc_data_get_table(table, "windpower"); char wind_resource_path[256]; - sprintf(wind_resource_path, "%s/test/input_cases/general_data/WY_Southern-Flat_Lands.srw", std::getenv("SSCDIR")); + sprintf(wind_resource_path, "%s/test/input_cases/general_data/AZ Eastern-Rolling Hills.srw", std::getenv("SSCDIR")); ssc_data_set_string(wind_table, "wind_resource_filename", wind_resource_path); int errors = run_module(dat, "hybrid"); @@ -208,14 +208,14 @@ TEST_F(CmodHybridTest, GenericPVWattsWindFuelCellBatteryHybrid_SingleOwner) { EXPECT_NEAR(genericannualenergy, 756864000., 1e6); - EXPECT_NEAR(pvannualenergy, 211907455., 1e6); - EXPECT_NEAR(windannualenergy, 818888286., 1e6); - EXPECT_NEAR(battannualenergy, 1783633373., 1e6); + EXPECT_NEAR(pvannualenergy, 211907456., 1e6); + EXPECT_NEAR(windannualenergy, 366975552., 1e6); + EXPECT_NEAR(battannualenergy, 1331720000., 1e6); - EXPECT_NEAR(om_expenses[1], 90570833., 1e5); - EXPECT_NEAR(revenue[1], 89461086., 1e5); - EXPECT_NEAR(ebitda[1], -1109746., 1e5); - EXPECT_NEAR(npv, -1545988651., 1e6); + EXPECT_NEAR(om_expenses[1], 90570832., 1e5); + EXPECT_NEAR(revenue[1], 66865452., 1e5); + EXPECT_NEAR(ebitda[1], -23705384., 1e5); + EXPECT_NEAR(npv, -1748593536., 1e6); } ssc_data_free(dat); dat = nullptr; From 028722445535be16d7f4089b09afeffca07f583e Mon Sep 17 00:00:00 2001 From: Darice Date: Wed, 6 Mar 2024 06:24:25 -0700 Subject: [PATCH 73/79] remove unused json inputs hybrids --- ...ry_Hybrid_Single_Owner_all_SAM_inputs.json | 976 ------------------ ...rid_Single_Owner_hybridize_ssc_inputs.json | 475 --------- 2 files changed, 1451 deletions(-) delete mode 100644 test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_all_SAM_inputs.json delete mode 100644 test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_hybridize_ssc_inputs.json diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_all_SAM_inputs.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_all_SAM_inputs.json deleted file mode 100644 index 25448e6a0..000000000 --- a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_all_SAM_inputs.json +++ /dev/null @@ -1,976 +0,0 @@ -{ - "input" : { - "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], - "windpower" : { - "step" : 0, - "total_installed_cost_per_kw" : 1460.1600000000001, - "wind_resource_distribution" : [ [ 0 ] ], - "ui_step_minutes" : 60, - "bos_cost_total" : 69552000, - "weibull_wind_speed" : 7.25, - "bos_cost_per_kw" : 347.75999999999999, - "wind_climate.url_info" : "empty", - "wind_climate.avg_wind_speed_closest_to_hub_ht" : 0, - "env_degrad_loss" : 1.8, - "wind_climate.msg_is_error" : 0, - "wind.turbine.elevation" : 0, - "wind_resource.requested_ht" : 80, - "degradation" : [ 0 ], - "weibull_reference_height" : 50, - "wind.turbine.radio_list_or_design" : 0, - "avail_grid_loss" : 1.5, - "a_error_test_number" : 17.344999999999999, - "wind_turbine_rotor_diameter" : 100, - "use_specific_wf_wind" : 0, - "adjust_en_timeindex" : 0, - "wind_resource.country" : "USA", - "user_specified_wf_wind" : " ", - "wind_resource.elev" : 1829, - "wind_resource.description" : "Eastern AZ - rolling hills (NREL AWS Truepower representative file)", - "wind_climate.msg" : "", - "wind_resource.closest_speed_meas_ht" : 80, - "wind_turbine_iec_class_from_lib" : "IIb|IIIa", - "wind_resource.city" : "city??", - "wind_resource.closest_dir_meas_ht" : 80, - "wind.turbine.max_tip_speed" : 80, - "wind.turbine.dummy" : 0, - "wind.turbine.max_tspeed_ratio" : 8, - "wind_resource.file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_resource.lon_requested" : 0, - "wind_turbine_max_cp" : 0.45000000000000001, - "est_bos_cost" : 0, - "wind_resource_model_choice" : 0, - "resource_definition_type" : 0, - "wind_turbine_powercurve_windspeeds_from_lib" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_resource.location" : "AZ Eastern-Rolling Hills", - "wind_turbine_rated_wind_speed" : 2500, - "wind.turbine.tower_design" : 0, - "windfarm.farm.offset" : 4, - "wind_resource.lat_requested" : 0, - "wind_turbine_kw_rating_input" : 1500, - "wind_resource.lon" : 0, - "wind_farm_sizing_mode" : 2, - "wind_turbine_rotor_diameter_from_lib" : 100, - "wind_resource.lat" : 0, - "wind_turbine_hub_ht" : 80, - "wind_resource.year" : 1900, - "wind_resource.location_id" : "loc_id", - "wind.turbine.name_only" : "0", - "wind.turbine.blade_design" : 0, - "wind_turbine_rotor_diameter_input" : 75, - "wind_resource.state" : "AZ", - "wind_turbine_powercurve_powerout_from_lib" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", - "turbine_cost_per_kw" : 1112.4000000000001, - "wind_turbine_cutin" : 4, - "wind_turbine_kw_rating_from_lib" : 2500, - "adjust_constant" : 0, - "weibull_k_factor" : 2, - "a_error_test_string" : "\"default err msg\"", - "wind.turbine.drive_train" : 0, - "wind.turbine.region2nhalf_slope" : 5, - "wind_turbine_cut_out" : 25, - "reference_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", - "wind_resource_shear" : 0.14000000000000001, - "wind_turbine_powercurve_err_msg" : "", - "wind_turbine_kw_rating" : 2500, - "wind_turbine_powercurve_hub_efficiency" : [ 0 ], - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_turbine_selection" : "GE 2.5xl", - "wind_farm_wake_model" : 0, - "om_production_escal" : 0, - "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "wake_loss" : 0, - "desired_farm_size" : 10, - "adjust" : 0, - "adjust_en_periods" : 0, - "cols" : 1, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "sales_tax_basis" : 0, - "turbine_cost_per_turbine" : 0, - "adjust_timeindex" : [ 0 ], - "rows" : 1, - "sizing_warning" : 0, - "specify_label" : 1, - "system_capacity" : 200000, - "wind_farm_num_turbines" : 80, - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wind_farm_xcoord_file" : [ 0 ], - "turb_hysteresis_loss" : 0.40000000000000002, - "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "windfarm.farm.layout_angle" : 0, - "bos_cost_per_turbine" : 0, - "wind_farm_ycoord_file" : [ 0 ], - "analysis_period" : 25, - "ops_load_loss" : 0.98999999999999999, - "windfarm.farm.layout_slider" : 33, - "windfarm.farm.number_of_rows" : 10, - "windfarm.farm.offset_type" : 0, - "windfarm.farm.row_spacing" : 8, - "windfarm.layout.file_or_controls" : 1, - "windfarm.farm.shape" : 0, - "windfarm.farm.turbine_spacing" : 8, - "windfarm.farm.turbines_per_row" : 8, - "avail_bop_loss" : 0.5, - "avail_turb_loss" : 3.5800000000000001, - "avail_loss_total" : 5.5011684999999977, - "wake_future_loss" : 0, - "elec_parasitic_loss" : 0.10000000000000001, - "elec_eff_loss" : 1.9099999999999999, - "elec_loss_total" : 2.0080899999999957, - "env_env_loss" : 0.40000000000000002, - "env_exposure_loss" : 0, - "env_icing_loss" : 0.20999999999999999, - "ops_loss_total" : 2.8034671600000061, - "wake_loss_total" : 1.100000000000001, - "turb_loss_total" : 3.9544963841199987, - "turb_generic_loss" : 1.7, - "env_loss_total" : 2.3981951200000018, - "ops_env_loss" : 1, - "ops_grid_loss" : 0.83999999999999997, - "ops_strategies_loss" : 0, - "turb_perf_loss" : 1.1000000000000001, - "turb_specific_loss" : 0.81000000000000005, - "turbine_cost_total" : 222480000.00000003, - "wake_ext_loss" : 1.1000000000000001, - "wake_int_loss" : 0, - "system_use_lifetime_output" : 0, - "install_type" : 0, - "sales_tax_total" : 0, - "bos_cost_fixed" : 0, - "reference_capacity" : 200000, - "turbine_cost_fixed" : 0, - "est_turbine_cost" : 0, - "reference_number_turbines" : 80, - "reference_sales_tax_percent" : 5, - "total_installed_cost" : 292032000, - "om_capacity" : [ 40 ], - "om_capacity_escal" : 0, - "om_fixed" : [ 0 ], - "om_production" : [ 0 ], - "inflation_rate" : 2.5, - "om_fixed_escal" : 0, - "sales_tax_rate" : 5, - "number table entries" : 150 - }, - "pvwattsv8" : { - "ui_land_area_ha" : 263.15847360564504, - "annual_twet" : "nan", - "array_type" : 2, - "use_specific_weather_file" : 0, - "dc_ac_ratio" : 1.3, - "in_nsrdb_options" : 0, - "annual_global" : 5.7947616438356171, - "solar_data_file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "losses" : 14.075660688264469, - "annual_albedo" : 0.18395068539332038, - "shading_azal" : [ [ 0 ] ], - "ui_step_minutes" : 60, - "module_total" : 39000000, - "annual_beam" : 7.3356438356164393, - "state" : "-", - "annual_diffuse" : 1.3484328767123288, - "solar_resource" : "phoenix_az_33.450495_-111.983688_psmv3_60_tmy", - "inverter_total" : 5000000, - "country" : "-", - "adjust_en_periods" : 0, - "loss_snow" : 0, - "ui_array_land_area_acres" : 650.27774620322919, - "inv_eff" : 96, - "ui_total_module_area_ha" : 52.631578947368418, - "in_time_step" : 0, - "shading_en_diff" : 0, - "annual_snow" : "nan", - "module_costunits" : 0, - "loss_lid" : 1.5, - "loss_soiling" : 2, - "library_folder_list" : "x", - "landprep_fixed" : 0, - "annual_tdry" : 21.938470319634703, - "loss_mismatch" : 2, - "permitting_fixed" : 0, - "shading_string_option" : 0, - "total_land_area" : 650.27774620322919, - "solar_data_source" : "NSRDB", - "annual_wspd" : 1.7864840188646289, - "city" : "-", - "station_id" : "78208", - "ui_land_area_multiplier" : 0, - "elev" : 358, - "in_location_options" : 0, - "total_module_area" : 526315.78947368416, - "landprep_total" : 1000000, - "loss_avail" : 3, - "step" : 3600, - "install_margin_perwatt" : 0.12, - "subtotal_direct" : 103000000, - "file_name" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "in_location" : "Type a location name, street address, or lat,lon in decimal degrees", - "analysis_period" : 25, - "in_location_list" : "", - "is_advanced" : 0, - "lat" : 33.450000000000003, - "ui_land_area_per_mw" : 0, - "library_paths" : "", - "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "per_inverter" : 0.050000000000000003, - "loss_age" : 0, - "om_production_escal" : 0, - "lon" : -111.98, - "solar_data_file_name_load" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "engr_total" : 2000000, - "ac_nameplate" : 76923.076923076922, - "batt_simple_enable" : 0, - "tz" : -7, - "land_percent" : 0, - "grid_percent" : 0, - "user_specified_weather_file" : "", - "wf_nrecords" : 8760, - "azimuth" : 180, - "permitting_total" : 0, - "gcr" : 0.29999999999999999, - "grid_fixed" : 0, - "en_user_spec_losses" : 0, - "shading_mxh" : [ [ 0 ] ], - "loss_conn" : 0.5, - "loss_nameplate" : 1, - "loss_shading" : 3, - "loss_wiring" : 2, - "tilt" : 0, - "losses_user" : 14, - "module_type" : 0, - "system_capacity" : 100000, - "ui_array_land_area_multiplier" : 1, - "installed_per_capacity" : 1.163945, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "ui_ac_capacity" : 76.92307692307692, - "om_capacity" : [ 15 ], - "module_num_units" : 1, - "ui_use_acre_per_mw" : 0, - "ui_land_area_additional_units" : 0, - "ui_array_land_area_ha" : 263.15847360564504, - "total_installed_cost" : 116394500, - "pvwatts.advanced.is_shown" : 0, - "shading_en_timestep" : 0, - "ui_land_area_additional" : 0, - "ui_total_land_area_ha" : 263.15847360564504, - "inverter_num_units" : 1, - "ui_total_module_area" : 526315.78947368416, - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "land_per_acre" : 0, - "inflation_rate" : 2.5, - "ui_total_module_area_acres" : 130.05534895540842, - "pv_land_area_is_shown" : 0, - "adjust_en_timeindex" : 0, - "adjust" : 0, - "shading_diff" : 0, - "adjust_constant" : 0, - "en_snowloss" : 0, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "contingency" : 3090000, - "shading_en_azal" : 0, - "bifaciality" : 0, - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "module_is_bifacial" : 0, - "shading" : 0, - "land_per_watt" : 0, - "shading_en_mxh" : 0, - "shading_en_string_option" : 0, - "sales_tax_rate" : 5, - "shading_timestep" : [ [ 0 ] ], - "user_specified_constant_albedo" : 0.20000000000000001, - "landprep_per_watt" : 0.01, - "use_wf_albedo" : 1, - "system_use_lifetime_output" : 0, - "degradation" : [ 0.5 ], - "install_margin_perarea" : 0, - "bos_equip_perarea" : 0, - "bos_equip_fixed" : 0, - "bos_equip_perwatt" : 0.28999999999999998, - "landprep_per_acre" : 0, - "contingency_percent" : 3, - "bos_equip_total" : 28999999.999999996, - "engr_fixed" : 0, - "om_fixed" : [ 0 ], - "engr_per_watt" : 0.02, - "engr_percent" : 0, - "sales_tax_percent" : 100, - "om_fixed_escal" : 0, - "grid_per_watt" : 0.02, - "permitting_percent" : 0, - "grid_total" : 2000000, - "install_labor_total" : 18000000, - "install_labor_fixed" : 0, - "install_labor_perarea" : 0, - "install_labor_perwatt" : 0.17999999999999999, - "install_margin_fixed" : 0, - "install_margin_total" : 12000000, - "inverter_costunits" : 1, - "inverter_power" : 76923.076923076922, - "inverterarray_power" : 76923.076923076922, - "land_area_value" : 650.27774620322919, - "land_fixed" : 0, - "land_total" : 0, - "landprep_percent" : 0, - "module_power" : 100000, - "modulearray_area" : 526315.78947368416, - "modulearray_power" : 100000, - "per_module" : 0.39000000000000001, - "total_direct_cost" : 106090000, - "sales_tax_total" : 5304500, - "permitting_per_watt" : 0, - "sales_tax_value" : 5, - "total_indirect_cost" : 5000000, - "om_capacity_escal" : 0, - "om_production" : [ 0 ], - "land_area" : 650.27774620322919, - "om_land_lease" : [ 0 ], - "om_land_lease_escal" : 0, - "ui_land_area_units" : 0, - "ui_land_lease" : [ 0 ], - "number table entries" : 175 - }, - "battery" : { - "battery_voltage_shown" : 0, - "compute_as_cube" : 0, - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_bank_power_dc_ac" : 0, - "pv.storage.p4.charge" : 0, - "batt_qnom_percent" : 97.6875, - "leadacid_q20_computed" : 479616, - "batt_qexp" : 2.5840000000000005, - "leadacid_q10_computed" : 446042.88, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "leadacid_q10" : 93, - "batt_cycle_cost_choice" : 0, - "batt_room_temperature_single" : 25, - "batt_bank_nstrings" : 1, - "batt_room_temperature_celsius" : [ 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25, 25 ], - "leadacid_q20" : 100, - "leadacid_qn" : 60, - "battery_per_kw" : 236, - "batt_dispatch_pvs_kf" : 0.29999999999999999, - "ppa_price_input" : [ 0.050000000000000003 ], - "leadacid_tn" : 1, - "pv.storage.p3.discharge" : 0, - "leadacid_qn_computed" : 287769.59999999998, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "batt_dispatch_pvs_ramp_interval" : 180, - "batt_c_rate_max_discharge" : 0.25, - "pv.storage.p6.dischargetogrid" : 0, - "batt_volume" : 3428.5692342857146, - "batt_ui_inverter_eff" : 96, - "batt_qnom" : 3.1260000000000003, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_dispatch_pvs_kp" : 1.2, - "batt_computed_bank_capacity" : 239999.84640000004, - "batt_power_discharge_max_kwdc" : 59999.96160000001, - "pv.storage.p3.charge" : 0, - "add_om_num_types" : 1, - "batt_chem" : 1, - "batt_cell_current_charge_max" : 10, - "om_replacement_cost_escal" : 0, - "genericsys.cost.contingency_percent" : 3, - "pv.storage.p5.gridcharge" : 0, - "batt_life_model" : 1, - "batt_dispatch_pvs_ac_ub" : 1.05, - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_computed_series" : 139, - "batt_c_rate_max_charge_input" : 0.5, - "om_batt_variable_cost" : [ 0 ], - "genericsys.cost.plm.nonfixed" : 3305061.884759041, - "om_batt_nameplate" : 239999.84640000004, - "batt_type" : 5, - "batt_bank_duration" : 0, - "batt_surface_area" : 17999.988480000004, - "batt_loss_choice" : 0, - "batt_unit_capacity" : 400, - "batt_meter_position" : 1, - "batt_maximum_soc" : 95, - "batt_cell_power_charge_max" : 2, - "batt_bank_size_specify" : 100, - "batt_bank_nseries_stacks" : 1, - "batt_bank_size" : 240000, - "batt_discharge_percent_1" : 50, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_c_rate_max_discharge_input" : 0.5, - "batt_computed_voltage" : 500.40000000000003, - "batt_vcut" : 2.7719999999999998, - "batt_vnom_default" : 3.6000000000000001, - "batt_discharge_percent_2" : 25, - "batt_vfull" : 4.2000000000000002, - "batt_bank_ncells_serial" : 3, - "batt_computed_strings" : 149880, - "en_standalone_batt" : 0, - "batt_current_discharge_max" : 119904, - "pv.storage.p3.dischargetogrid" : 0, - "batt_bank_voltage" : 500, - "ui_copy_batt_discharge_percent_5" : 25, - "batt_qfull_flow" : 479616.00000000006, - "batt_size_choice" : 0, - "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], - "batt_bank_power" : 60000, - "battery_total" : 91679941.324800014, - "batt_initial_soc" : 50, - "total_direct_cost" : 94430339.564544022, - "batt_cell_current_discharge_max" : 10, - "batt_c_rate_max_charge" : 0.25, - "batt_duration_choice" : 0, - "genericsys.cost.epc.fixed" : 0, - "batt_inverter_efficiency_cutoff" : 90, - "genericsys.cost.epc.total" : 9443033.9564544018, - "batt_thermal_choice" : 0, - "batt_bank_size_dc_ac" : 0, - "batt_bank_size_ui" : 240000, - "batt_qfull" : 3.2000000000000002, - "batt_cell_power_discharge_max" : 2, - "batt_time_capacity" : 4, - "batt_computed_stacks_series" : 0, - "batt_current_charge_max" : 119904, - "batt_dispatch_wf_forecast_choice" : 0, - "batt_dc_ac_efficiency" : 96, - "batt_dispatch_pvs_battery_energy" : 239999.84640000004, - "batt_discharge_percent_6" : 25, - "batt_current_choice" : 1, - "om_capacity_escal" : 0, - "ui_copy_batt_discharge_percent_4" : 25, - "batt_num_cells" : 20833320, - "batt_ac_or_dc" : 1, - "batt_power_charge_max_kwac" : 62499.960000000014, - "batt_ac_dc_efficiency" : 96, - "batt_power_charge_max_kwdc" : 59999.96160000001, - "batt_power_discharge_max_kwac" : 57599.963136000006, - "batt_ui_nominal_bank_power" : 59999.96160000001, - "batt_dc_dc_efficiency" : 99, - "batt_c_rate" : 0.20000000000000001, - "batt_voltage_choice" : 0, - "batt_qexp_percent" : 80.75, - "batt_vexp" : 3.5299999999999998, - "batt_resistance" : 0.001155, - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_gridcharge_percent_3" : 100, - "batt_vnom" : 3.3420000000000001, - "batt_ui_bank_voltage" : 500.40000000000003, - "genericsys.cost.epc.nonfixed" : 9443033.9564544018, - "batt_ui_cell_voltage" : 3.6000000000000001, - "pv.storage.p6.discharge" : 0, - "batt_losses" : [ 0 ], - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_replacement_schedule_percent" : [ 0 ], - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "battery_losses_shown" : 0, - "dispatch_manual_system_charge_first" : 0, - "batt_cp" : 1500, - "batt_room_temperature_vector" : [ 0 ], - "batt_mass" : 2376236.1029702974, - "batt_dispatch_pvs_ac_lb_enable" : 0, - "batt_h_to_ambient" : 100, - "batt_specific_energy_per_mass" : 101, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_specific_energy_per_volume" : 70, - "batt_unit_surface_area" : 30, - "battery_thermal_shown" : 0, - "batt_calendar_a" : 0.00266, - "genericsys.cost.sales_tax.total" : 4721516.9782272009, - "batt_calendar_b" : -7280, - "battery_indirect_cost_percent" : 0, - "batt_calendar_q0" : 1.02, - "inflation_rate" : 2.5, - "batt_pvs_user_specified_weather_file" : "", - "om_fixed_escal" : 0, - "batt_calendar_c" : 939, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_calendar_choice" : 1, - "ppa_escalation" : 1, - "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], - "batt_life_excl" : 1, - "batt_replacement_capacity" : 50, - "batt_replacement_option" : 1, - "pv.storage.p2.charge" : 0, - "ui_batt_life_model" : 0, - "batt_cycle_cost" : [ 0 ], - "genericsys.cost.epc.percent" : 10, - "batt_pv_ac_forecast" : [ 0 ], - "batt_dispatch_update_frequency_hours" : 1, - "batt_pv_ac_forecast_fom_auto" : [ 0 ], - "batt_look_ahead_hours" : 18, - "pv.storage.p1.discharge" : 0, - "batt_user_specified_weather_file" : "", - "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_dispatch_pvs_battery_rte" : 92.159999999999997, - "genericsys.cost.per_watt" : 0, - "batt_dispatch_pvs_ac_ub_enable" : 0, - "pv.storage.p4.gridcharge" : 0, - "batt_dispatch_pvs_battery_power" : 62499.960000000014, - "om_batt_fixed_cost" : [ 0 ], - "pv.storage.p5.discharge" : 0, - "batt_dispatch_pvs_wf_forecast_choice" : 0, - "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_gridcharge_percent_1" : 100, - "battery_energy" : 239999.84640000004, - "batt_dispatch_pvs_curtail_if_violation" : 0, - "batt_dispatch_pvs_forecast_shift_periods" : 3, - "batt_dispatch_pvs_interconnection_limit" : 20000, - "batt_dispatch_pvs_ki" : 1.8, - "batt_dispatch_pvs_max_ramp" : 10, - "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "batt_dispatch_pvs_nameplate" : 276923.07692307694, - "batt_dispatch_pvs_nameplate_ac" : 20000, - "total_installed_cost" : 111899952.38398466, - "batt_dispatch_pvs_short_forecast_enable" : 0, - "batt_dispatch_pvs_soc_rest" : 50, - "batt_dispatch_pvs_timestep_multiplier" : 3, - "batt_dispatch_pvs_wf_timestep" : 60, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_pvs_pv_ac_forecast" : [ 0 ], - "batt_gridcharge_percent_6" : 100, - "batt_custom_dispatch" : [ 0 ], - "batt_discharge_percent_3" : 25, - "ui_copy_batt_discharge_percent_3" : 25, - "batt_discharge_percent_4" : 25, - "dispatch_manual_percent_gridcharge" : [ 0 ], - "batt_discharge_percent_5" : 25, - "genericsys.cost.sales_tax.value" : 5, - "batt_gridcharge_percent_2" : 100, - "batt_gridcharge_percent_4" : 100, - "batt_gridcharge_percent_5" : 100, - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], - "analysis_period" : 25, - "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_dispatch_auto_can_clipcharge" : 0, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "pv.storage.p1.charge" : 1, - "pv.storage.p1.dischargetogrid" : 0, - "pv.storage.p5.charge" : 0, - "pv.storage.p1.gridcharge" : 0, - "pv.storage.p2.discharge" : 1, - "pv.storage.p2.dischargetogrid" : 0, - "ui_copy_batt_discharge_percent_2" : 25, - "pv.storage.p2.gridcharge" : 0, - "ui_copy_batt_discharge_percent_1" : 50, - "pv.storage.p3.gridcharge" : 0, - "pv.storage.p4.discharge" : 0, - "pv.storage.p4.dischargetogrid" : 0, - "pv.storage.p5.dischargetogrid" : 0, - "pv.storage.p6.charge" : 0, - "pv.storage.p6.gridcharge" : 0, - "ui_copy_batt_discharge_percent_6" : 25, - "batt_dispatch_excl" : 3, - "batt_minimum_soc" : 10, - "batt_minimum_modetime" : 10, - "om_production_escal" : 0, - "grid_interconnection_limit_kwac" : 20000, - "batt_dispatch_auto_can_charge" : 1, - "batt_dispatch_choice" : 3, - "batt_dispatch_choice_ui" : 3, - "genericsys.cost.contingency" : 2750398.2397440001, - "battery_per_kwh" : 323, - "battery_power" : 59999.96160000001, - "battery_total_cost_lcos" : 111899952.38398466, - "sales_tax_rate" : 5, - "genericsys.cost.installed_per_capacity" : 1.94270875, - "pv_ui_step_minutes" : 60, - "genericsys.cost.plm.fixed" : 0, - "genericsys.cost.plm.percent" : 3.5, - "genericsys.cost.plm.total" : 3305061.884759041, - "genericsys.cost.sales_tax.percent" : 100, - "total_indirect_cost" : 12748095.841213442, - "om_batt_replacement_cost" : [ 323 ], - "system_capacity" : 57599.963136000006, - "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "wind_ui_step_minutes" : 60, - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ppa_multiplier_model" : 0, - "hybrid_system_capacity" : 276923.07692307694, - "number table entries" : 253 - }, - "hybrid" : { - "ui_generic_capacity" : 0, - "flip_target_year" : 20, - "depr_bonus_fed_custom" : 0, - "ui_wacc" : 5.3872800000000014, - "ui_wind_cost" : 292032000, - "ui_battery_capacity" : 57599.963136000006, - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_source" : "empty", - "wind_system_capacity" : 200000, - "om_capacity" : 0, - "ibi_sta_amount_deprbas_fed" : 0, - "ibi_oth_amount_tax_sta" : 1, - "const_per_months3" : 0, - "cost_other_financing" : 0, - "ui_generic_cost" : 0, - "cp_capacity_payment_type" : 0, - "inflation_rate" : 2.5, - "depr_bonus_fed" : 0, - "flip_target_percent" : 11, - "cp_capacity_payment_esc" : 0, - "ur_name" : "", - "const_per_principal1" : 520326452.38398468, - "term_int_rate" : 4, - "property_tax_rate" : 0, - "ptc_fed_escal" : 0, - "months_working_reserve" : 6, - "system_capacity" : 276923.07692307694, - "ibi_fed_percent_maxvalue" : 0, - "ui_fuel_cell_cost" : 0, - "pbi_oth_tax_sta" : 1, - "const_per_interest_rate3" : 0, - "grid_interconnection_limit_kwac" : 20000, - "cost_debt_fee" : 2.75, - "enable_interconnection_limit" : 0, - "equip3_reserve_freq" : 0, - "ui_battery_cost" : 111899952.38398466, - "ibi_oth_percent_tax_fed" : 1, - "dscr_maximum_debt_fraction" : 100, - "nominal_discount_rate" : 9.0600000000000023, - "mera_name2" : "Replacement Reserve 2", - "ui_fuel_cell_capacity" : 0, - "ptc_sta_amount" : [ 0 ], - "const_per_interest4" : 0, - "itc_fed_percent_deprbas_fed" : 1, - "cbi_fed_deprbas_fed" : 0, - "const_per_interest3" : 0, - "debt_option" : 1, - "real_discount_rate" : 6.4000000000000004, - "itc_sta_amount" : [ 0 ], - "depr_alloc_sl_15_percent" : 0, - "rate_escalation" : [ 0 ], - "lib_dispatch_factor4" : 1, - "const_per_total2" : 0, - "prop_tax_assessed_decline" : 0, - "pbi_oth_for_ds" : 0, - "mera_cost2" : 0, - "hybrid_capital_cost" : 0, - "cbi_fed_deprbas_sta" : 0, - "itc_fed_percent_deprbas_sta" : 1, - "depr_itc_sta_macrs_15" : 0, - "ur_enable_billing_demand" : 0, - "debt_percent" : 60, - "const_per_interest2" : 0, - "battery_system_capacity" : 57599.963136000006, - "ui_hyb_pv_capacity" : 76923.076923076922, - "batt_salvage_percentage" : 0, - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "ibi_fed_amount_deprbas_sta" : 0, - "salvage_percentage" : 0, - "ibi_oth_amount_deprbas_sta" : 0, - "depr_alloc_macrs_5_percent" : 100, - "const_per_name3" : "Loan 3", - "total_installed_cost" : 520326452.38398468, - "property_assessed_value" : 520326452.38398468, - "pbi_uti_tax_sta" : 1, - "itc_sta_amount_deprbas_sta" : 0, - "ui_pv_cost" : 116394500, - "cbi_uti_deprbas_fed" : 0, - "depr_bonus_fed_macrs_15" : 0, - "ur_dc_is_shown" : 1, - "om_fixed" : 0, - "om_production" : 0, - "term_tenor" : 18, - "ur_billing_demand_lookback_period" : 0, - "state_tax_rate" : [ 7 ], - "analysis_period" : 25, - "ibi_uti_amount_deprbas_fed" : 0, - "analysis_period_warning" : "", - "mera_name1" : "Replacement Reserve 1", - "federal_tax_rate" : [ 21 ], - "equip_reserve_depr_sta" : 0, - "equip3_reserve_cost" : 0, - "dscr" : 1.3, - "ur_rate_notes" : "", - "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "cbi_fed_maxvalue" : 0, - "ibi_sta_percent" : 0, - "pbi_sta_term" : 0, - "ur_monthly_fixed_charge" : 0, - "insurance_rate" : 0, - "ibi_uti_percent_tax_fed" : 1, - "prop_tax_cost_assessed_percent" : 100, - "depr_itc_sta_sl_15" : 0, - "ibi_fed_amount" : 0, - "sales_tax_rate" : 5, - "const_per_name5" : "Loan 5", - "ptc_fed_amount" : [ 0 ], - "ibi_uti_percent_deprbas_sta" : 0, - "cbi_fed_tax_sta" : 1, - "solution_mode_message" : "", - "pbi_fed_tax_fed" : 1, - "salvage_value" : 0, - "depr_alloc_sl_5_percent" : 0, - "cbi_sta_amount" : 0, - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "batt_salvage_value" : 0, - "ur_demand_history" : 0, - "payment_option" : 0, - "pbi_sta_for_ds" : 0, - "pbi_fed_escal" : 0, - "grid_curtailment_price_esc" : 0, - "depr_custom_schedule" : [ 0 ], - "dscr_limit_debt_fraction" : 0, - "const_per_upfront_rate2" : 0, - "cost_debt_closing" : 0, - "ur_voltage_max" : 0, - "ibi_oth_percent_tax_sta" : 1, - "ibi_fed_amount_deprbas_fed" : 0, - "debt_message" : "", - "cp_capacity_payment_amount" : [ 0 ], - "loan_moratorium" : 0, - "cbi_oth_tax_fed" : 1, - "show_debtconstdscr" : 1, - "show_capitalcostso" : 1, - "const_per_interest1" : 8455304.851239752, - "batt_replacement_option" : 1, - "const_per_interest_rate1" : 6.5, - "itc_fed_amount_deprbas_fed" : 1, - "const_per_principal_total" : 520326452.38398468, - "ibi_sta_percent_deprbas_fed" : 0, - "const_per_name2" : "Loan 2", - "dispatch_data_filename" : "", - "ur_demand_reactive_power_charge" : 0, - "const_per_upfront_rate1" : 1, - "pbi_fed_amount" : [ 0 ], - "const_per_interest_rate2" : 0, - "ibi_oth_percent_deprbas_sta" : 0, - "const_per_upfront_rate4" : 0, - "const_per_interest5" : 0, - "const_per_interest_total" : 8455304.851239752, - "const_per_interest_rate4" : 0, - "const_per_interest_rate5" : 0, - "const_per_upfront_rate5" : 0, - "depr_bonus_sta_sl_39" : 0, - "const_per_months1" : 6, - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "const_per_upfront_rate3" : 0, - "ur_cr_tou_mat" : [ [ 0 ] ], - "ibi_oth_amount_tax_fed" : 1, - "ur_nm_credit_rollover" : 0, - "lib_dispatch_factor5" : 1, - "const_per_total3" : 0, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "const_per_months2" : 0, - "const_per_months4" : 0, - "lib_dispatch_factor7" : 1, - "const_per_total1" : 13658569.375079598, - "const_per_months5" : 0, - "ur_is_default" : 0, - "const_per_name1" : "Loan 1", - "ibi_uti_percent_maxvalue" : 0, - "ibi_uti_percent_deprbas_fed" : 0, - "construction_financing_cost" : 13658569.375079598, - "const_per_name4" : "Loan 4", - "cbi_uti_maxvalue" : 0, - "pbi_uti_escal" : 0, - "depr_fedbas_method" : 1, - "const_per_percent4" : 0, - "ur_cr_sched" : [ [ 0 ] ], - "show_reserveaccounts" : 1, - "ur_billing_demand_is_shown" : 0, - "ibi_uti_amount_tax_sta" : 1, - "ptc_sta_escal" : 0, - "const_per_percent1" : 100, - "const_per_percent2" : 0, - "depr_bonus_sta_macrs_5" : 0, - "itc_sta_percent_deprbas_fed" : 0, - "const_per_percent3" : 0, - "pbi_oth_escal" : 0, - "const_per_percent_total" : 100, - "months_receivables_reserve" : 0, - "cbi_sta_tax_sta" : 1, - "ibi_sta_amount" : 0, - "mera_name3" : "Replacement Reserve 3", - "ur_desc_is_shown" : 0, - "const_per_percent5" : 0, - "const_per_principal2" : 0, - "is_btm" : 0, - "equip1_reserve_cost" : 0.10000000000000001, - "const_per_principal3" : 0, - "const_per_principal4" : 0, - "const_per_principal5" : 0, - "lib_dispatch_factor2" : 1, - "const_per_total4" : 0, - "lib_dispatch_factor3" : 1, - "const_per_total5" : 0, - "show_construction_period" : 1, - "ibi_oth_amount" : 0, - "ur_unused_is_shown" : 0, - "ibi_sta_percent_tax_fed" : 1, - "dscr_reserve_months" : 6, - "equip1_reserve_freq" : 15, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "equip2_reserve_cost" : 0, - "equip_reserve_depr_fed" : 0, - "equip2_reserve_freq" : 0, - "lib_dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "wind_total_installed_cost" : 292032000, - "mera_cost1" : 27692307.692307696, - "depr_bonus_fed_sl_39" : 0, - "mera_cost3" : 0, - "reserves_interest" : 1.25, - "depr_itc_sta_custom" : 0, - "ppa_escalation" : 1, - "ppa_price_input" : [ 0.050000000000000003 ], - "ibi_oth_percent_maxvalue" : 0, - "ppa_soln_mode" : 1, - "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], - "depr_alloc_sl_20_percent" : 0, - "en_electricity_rates" : 1, - "battery_total_installed_cost" : 111899952.38398466, - "ibi_sta_amount_deprbas_sta" : 0, - "revenue_tod_is_shown" : 1, - "itc_fed_amount" : [ 0 ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ibi_fed_percent_tax_fed" : 1, - "ur_end_date" : "empty", - "depr_bonus_sta_custom" : 0, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "lib_dispatch_factor1" : 1, - "pbi_sta_tax_fed" : 1, - "depr_bonus_fed_sl_15" : 0, - "ac_nameplate" : 76923.076923076922, - "pbi_sta_amount" : [ 0 ], - "tod_library" : "Uniform Dispatch", - "ur_nm_yearend_sell_rate" : 0, - "depr_itc_sta_macrs_5" : 0, - "lib_dispatch_factor6" : 1, - "lib_dispatch_factor8" : 1, - "lib_dispatch_factor9" : 1, - "lib_dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ppa_multiplier_model" : 0, - "revenue_capacity_payments_is_shown" : 0, - "ur_energy_history" : 0, - "ui_system_nameplate_standalone" : 0, - "cp_battery_nameplate" : 0, - "ptc_fed_term" : 10, - "cp_capacity_credit_percent" : [ 0 ], - "pbi_oth_amount" : [ 0 ], - "ibi_sta_percent_deprbas_sta" : 0, - "cp_system_nameplate" : 276.92307692307696, - "grid_curtailment_price" : [ 0 ], - "depr_bonus_fed_sl_5" : 0, - "revenue_curtailment_is_shown" : 0, - "itc_fed_amount_deprbas_sta" : 1, - "itc_fed_percent" : [ 30 ], - "ptc_sta_term" : 10, - "pbi_uti_tax_fed" : 1, - "itc_sta_amount_deprbas_fed" : 0, - "pbi_fed_for_ds" : 0, - "itc_sta_percent" : [ 0 ], - "itc_sta_percent_deprbas_sta" : 0, - "ur_fuel_adjustments_monthly" : [ 0 ], - "ibi_sta_amount_tax_sta" : 1, - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], - "depr_alloc_macrs_15_percent" : 0, - "cbi_uti_tax_fed" : 1, - "ibi_uti_amount_deprbas_sta" : 0, - "cbi_fed_amount" : 0, - "cbi_fed_tax_fed" : 1, - "cbi_sta_tax_fed" : 1, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], - "ibi_fed_percent_deprbas_fed" : 0, - "depr_itc_fed_sl_39" : 0, - "cbi_oth_amount" : 0, - "depr_bonus_fed_sl_20" : 0, - "cbi_oth_deprbas_fed" : 0, - "batt_power_discharge_max_kwac" : 57599.963136000006, - "cbi_oth_deprbas_sta" : 0, - "pbi_fed_tax_sta" : 1, - "cbi_oth_maxvalue" : 0, - "ui_electricity_rate_option" : 1, - "cbi_oth_tax_sta" : 1, - "cbi_sta_deprbas_fed" : 0, - "cbi_sta_deprbas_sta" : 0, - "ur_demand_min" : 0, - "cbi_sta_maxvalue" : 0, - "ur_voltage_min" : 0, - "ibi_fed_amount_tax_fed" : 1, - "cbi_uti_amount" : 0, - "ibi_uti_percent" : 0, - "cbi_uti_deprbas_sta" : 0, - "depr_bonus_sta_macrs_15" : 0, - "cbi_uti_tax_sta" : 1, - "ibi_fed_percent_deprbas_sta" : 0, - "ibi_fed_amount_tax_sta" : 1, - "ibi_fed_percent" : 0, - "ur_ratedata_filename" : "", - "ur_energy_attrs" : "", - "ibi_fed_percent_tax_sta" : 1, - "ibi_sta_amount_tax_fed" : 1, - "ibi_oth_amount_deprbas_fed" : 0, - "ibi_sta_percent_tax_sta" : 1, - "ibi_oth_percent" : 0, - "ibi_uti_amount_tax_fed" : 1, - "ibi_oth_percent_deprbas_fed" : 0, - "ur_fixed_attrs" : "", - "ibi_sta_percent_maxvalue" : 0, - "ibi_uti_amount" : 0, - "ur_nm_credit_month" : 0, - "ibi_uti_percent_tax_sta" : 1, - "ur_energy_max" : 0, - "depr_itc_sta_sl_5" : 0, - "chk_update_peaks" : 0, - "pbi_fed_term" : 0, - "pbi_oth_tax_fed" : 1, - "pbi_oth_term" : 0, - "ur_ec_is_shown" : 1, - "depr_itc_fed_sl_15" : 0, - "depr_itc_sta_sl_20" : 0, - "ur_voltage_category" : "", - "pbi_sta_escal" : 0, - "pbi_sta_tax_sta" : 1, - "pbi_uti_amount" : [ 0 ], - "pbi_uti_for_ds" : 0, - "pbi_uti_term" : 0, - "depr_alloc_custom_percent" : 0, - "depr_bonus_sta_sl_20" : 0, - "depr_itc_sta_sl_39" : 0, - "depr_alloc_none" : 0, - "ur_demand_window" : 0, - "depr_alloc_sl_39_percent" : 0, - "depr_bonus_fed_macrs_5" : 1, - "depr_bonus_sta" : 0, - "depr_bonus_sta_sl_15" : 0, - "depr_itc_fed_sl_5" : 0, - "depr_itc_fed_macrs_15" : 0, - "depr_bonus_sta_sl_5" : 0, - "depr_itc_fed_macrs_5" : 0, - "depr_itc_fed_custom" : 0, - "depr_itc_fed_sl_20" : 0, - "depr_stabas_method" : 1, - "ur_ts_sell_rate" : [ 0 ], - "ur_en_ts_sell_rate" : 0, - "ur_metering_option" : 4, - "ur_en_ts_buy_rate" : 0, - "ur_ts_buy_rate" : [ 0 ], - "ur_annual_min_charge" : 0, - "ur_has_unused_items" : 0, - "ur_monthly_min_charge" : 0, - "ur_demand_max" : 0, - "ur_description" : "", - "ur_energy_min" : 0, - "ur_utility" : "empty", - "ur_phase_wiring" : "", - "ur_schedule_name" : "empty", - "ur_start_date" : "empty", - "ur_uri" : "empty", - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_yearzero_usage_peaks" : [ 0 ], - "ur_billing_demand_minimum" : 0, - "ur_dc_enable" : 0, - "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_demand_attrs" : "", - "ur_service_type" : "", - "pv_total_installed_cost" : 116394500, - "number table entries" : 378 - }, - "number table entries" : 5 - }, - "compute_module_0" : "hybrid", - "number_compute_modules" : 1, - "number_metrics" : 0 -} diff --git a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_hybridize_ssc_inputs.json b/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_hybridize_ssc_inputs.json deleted file mode 100644 index 5facd5022..000000000 --- a/test/input_json/hybrids/codegenerator/PVWatts_Wind_Battery_Hybrid_Single_Owner_hybridize_ssc_inputs.json +++ /dev/null @@ -1,475 +0,0 @@ -{ - "input" : { - "compute_modules" : ["pvwattsv8","windpower","battery","grid","utilityrate5","singleowner"], - "windpower" : { - "om_fixed_escal" : 0, - "turb_specific_loss" : 0.81000000000000005, - "wind_resource_distribution" : [ [ 0 ] ], - "avail_bop_loss" : 0.5, - "weibull_wind_speed" : 7.25, - "env_degrad_loss" : 1.8, - "adjust_en_timeindex" : 0, - "weibull_reference_height" : 50, - "degradation" : [ 0 ], - "avail_grid_loss" : 1.5, - "wind_turbine_rotor_diameter" : 100, - "om_production_escal" : 0, - "turb_perf_loss" : 1.1000000000000001, - "wind_turbine_max_cp" : 0.45000000000000001, - "wind_resource_model_choice" : 0, - "wind_turbine_hub_ht" : 80, - "avail_turb_loss" : 3.5800000000000001, - "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", - "adjust_constant" : 0, - "weibull_k_factor" : 2, - "wind_resource_shear" : 0.14000000000000001, - "wind_turbine_powercurve_powerout" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 25.710000000000001, 37.140000000000001, 54.289999999999999, 77.140000000000001, 111.43000000000001, 145.71000000000001, 185.71000000000001, 231.43000000000001, 300, 334.29000000000002, 391.43000000000001, 454.29000000000002, 511.43000000000001, 602.85000000000002, 654.28999999999996, 734.28999999999996, 820, 905.71000000000004, 1000, 1070, 1170, 1280, 1380, 1510, 1640, 1790, 1920, 2010, 2110, 2190, 2260, 2320, 2370, 2420, 2460, 2480, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 2500, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "wind_resource_turbulence_coeff" : 0.10000000000000001, - "wind_turbine_powercurve_windspeeds" : [ 0, 0.25, 0.5, 0.75, 1, 1.25, 1.5, 1.75, 2, 2.25, 2.5, 2.75, 3, 3.25, 3.5, 3.75, 4, 4.25, 4.5, 4.75, 5, 5.25, 5.5, 5.75, 6, 6.25, 6.5, 6.75, 7, 7.25, 7.5, 7.75, 8, 8.25, 8.5, 8.75, 9, 9.25, 9.5, 9.75, 10, 10.25, 10.5, 10.75, 11, 11.25, 11.5, 11.75, 12, 12.25, 12.5, 12.75, 13, 13.25, 13.5, 13.75, 14, 14.25, 14.5, 14.75, 15, 15.25, 15.5, 15.75, 16, 16.25, 16.5, 16.75, 17, 17.25, 17.5, 17.75, 18, 18.25, 18.5, 18.75, 19, 19.25, 19.5, 19.75, 20, 20.25, 20.5, 20.75, 21, 21.25, 21.5, 21.75, 22, 22.25, 22.5, 22.75, 23, 23.25, 23.5, 23.75, 24, 24.25, 24.5, 24.75, 25, 25.25, 25.5, 25.75, 26, 26.25, 26.5, 26.75, 27, 27.25, 27.5, 27.75, 28, 28.25, 28.5, 28.75, 29, 29.25, 29.5, 29.75, 30, 30.25, 30.5, 30.75, 31, 31.25, 31.5, 31.75, 32, 32.25, 32.5, 32.75, 33, 33.25, 33.5, 33.75, 34, 34.25, 34.5, 34.75, 35, 35.25, 35.5, 35.75, 36, 36.25, 36.5, 36.75, 37, 37.25, 37.5, 37.75, 38, 38.25, 38.5, 38.75, 39, 39.25, 39.5, 39.75, 40 ], - "wind_farm_wake_model" : 0, - "wind_farm_ycoordinates" : [ 0, 0, 0, 0, 0, 0, 0, 0, 800, 800, 800, 800, 800, 800, 800, 800, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 1600, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 2400, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 3200, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4000, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 4800, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 5600, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 6400, 7200, 7200, 7200, 7200, 7200, 7200, 7200, 7200 ], - "adjust_en_periods" : 0, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "adjust_timeindex" : [ 0 ], - "om_capacity" : [ 40 ], - "system_capacity" : 200000, - "turb_hysteresis_loss" : 0.40000000000000002, - "wind_farm_xcoordinates" : [ 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000, 0, 800, 1600, 2400, 3200, 4000, 4800, 5600, 400, 1200, 2000, 2800, 3600, 4400, 5200, 6000 ], - "ops_load_loss" : 0.98999999999999999, - "wake_future_loss" : 0, - "elec_parasitic_loss" : 0.10000000000000001, - "ops_strategies_loss" : 0, - "elec_eff_loss" : 1.9099999999999999, - "turb_generic_loss" : 1.7, - "env_env_loss" : 0.40000000000000002, - "env_exposure_loss" : 0, - "ops_grid_loss" : 0.83999999999999997, - "env_icing_loss" : 0.20999999999999999, - "ops_env_loss" : 1, - "wake_ext_loss" : 1.1000000000000001, - "wake_int_loss" : 0, - "total_installed_cost" : 292032000, - "om_capacity_escal" : 0, - "om_fixed" : [ 0 ], - "om_production" : [ 0 ], - "number table entries" : 50 - }, - "pvwattsv8" : { - "array_type" : 2, - "dc_ac_ratio" : 1.3, - "shading_timestep" : [ [ 0 ] ], - "shading_en_diff" : 0, - "om_land_lease" : [ 0 ], - "losses" : 14.075660688264469, - "shading_azal" : [ [ 0 ] ], - "shading_string_option" : 0, - "om_land_lease_escal" : 0, - "adjust_en_periods" : 0, - "inv_eff" : 96, - "analysis_period" : 25, - "solar_resource_file" : "C:/Projects/Github/NREL/source/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", - "om_production_escal" : 0, - "system_use_lifetime_output" : 0, - "batt_simple_enable" : 0, - "degradation" : [ 0.5 ], - "azimuth" : 180, - "gcr" : 0.29999999999999999, - "shading_mxh" : [ [ 0 ] ], - "tilt" : 0, - "module_type" : 0, - "system_capacity" : 100000, - "shading_diff" : 0, - "adjust_timeindex" : [ 0, 0, 0, 0, 0, 0, 0 ], - "om_capacity" : [ 15 ], - "soiling" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "total_installed_cost" : 116394500, - "shading_en_timestep" : 0, - "albedo" : [ 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001, 0.20000000000000001 ], - "adjust_en_timeindex" : 0, - "adjust_constant" : 0, - "en_snowloss" : 0, - "adjust_periods" : [ [ 0, 0, 0 ] ], - "shading_en_azal" : 0, - "bifaciality" : 0, - "shading_en_mxh" : 0, - "om_production" : [ 0 ], - "shading_en_string_option" : 0, - "use_wf_albedo" : 1, - "om_fixed" : [ 0 ], - "om_fixed_escal" : 0, - "om_capacity_escal" : 0, - "land_area" : 433.51782985136145, - "number table entries" : 44 - }, - "battery" : { - "dispatch_manual_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_losses" : [ 0 ], - "leadacid_q20_computed" : 479616, - "batt_qexp" : 2.5840000000000005, - "leadacid_q10_computed" : 446042.88, - "batt_dc_ac_efficiency" : 96, - "batt_dispatch_wf_forecast_choice" : 0, - "batt_dispatch_auto_can_fuelcellcharge" : 0, - "batt_cycle_cost_choice" : 0, - "batt_room_temperature_celsius" : [ 25 ], - "batt_resistance" : 0.001155, - "batt_dispatch_pvs_kf" : 0.29999999999999999, - "ppa_price_input" : [ 0.050000000000000003 ], - "leadacid_tn" : 1, - "batt_losses_idle" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "leadacid_qn_computed" : 287769.59999999998, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "batt_qnom" : 3.1260000000000003, - "batt_voltage_matrix" : [ [ 0, 1.2 ], [ 20, 1.1000000000000001 ], [ 40, 1.05 ], [ 60, 1 ], [ 80, 0.94999999999999996 ], [ 100, 0.5 ] ], - "batt_dispatch_pvs_kp" : 1.2, - "batt_computed_bank_capacity" : 239999.84640000004, - "batt_power_discharge_max_kwdc" : 59999.96160000001, - "add_om_num_types" : 1, - "batt_chem" : 1, - "om_replacement_cost_escal" : 0, - "batt_life_model" : 1, - "batt_c_rate" : 0.20000000000000001, - "batt_dispatch_pvs_ac_ub" : 1.05, - "batt_losses_discharging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_computed_series" : 139, - "om_batt_variable_cost" : [ 0 ], - "om_batt_nameplate" : 239999.84640000004, - "batt_surface_area" : 17999.988480000004, - "batt_loss_choice" : 0, - "batt_meter_position" : 1, - "batt_maximum_soc" : 95, - "batt_dispatch_auto_can_gridcharge" : 0, - "batt_vcut" : 2.7719999999999998, - "batt_vnom_default" : 3.6000000000000001, - "batt_vfull" : 4.2000000000000002, - "batt_computed_strings" : 149880, - "en_standalone_batt" : 0, - "batt_current_discharge_max" : 119904, - "batt_dc_dc_efficiency" : 99, - "batt_qfull_flow" : 479616.00000000006, - "cap_vs_temp" : [ [ 0, 80.200000000000003 ], [ 23, 100 ], [ 30, 103.09999999999999 ], [ 45, 105.40000000000001 ] ], - "batt_initial_soc" : 50, - "batt_inverter_efficiency_cutoff" : 90, - "batt_current_charge_max" : 119904, - "batt_qfull" : 3.2000000000000002, - "batt_current_choice" : 1, - "om_capacity_escal" : 0, - "batt_ac_or_dc" : 1, - "batt_power_charge_max_kwac" : 62499.960000000014, - "batt_ac_dc_efficiency" : 96, - "batt_power_charge_max_kwdc" : 59999.96160000001, - "batt_power_discharge_max_kwac" : 57599.963136000006, - "batt_voltage_choice" : 0, - "batt_vexp" : 3.5299999999999998, - "dispatch_manual_percent_discharge" : [ 25, 0 ], - "dispatch_manual_gridcharge" : [ 0, 0, 0, 0, 0, 0 ], - "batt_vnom" : 3.3420000000000001, - "batt_losses_charging" : [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ], - "batt_replacement_schedule_percent" : [ 0 ], - "dispatch_manual_system_charge_first" : 0, - "batt_cp" : 1500, - "batt_mass" : 2376236.1029702974, - "batt_dispatch_pvs_ac_lb_enable" : 0, - "batt_h_to_ambient" : 100, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_calendar_a" : 0.00266, - "batt_calendar_b" : -7280, - "batt_calendar_q0" : 1.02, - "inflation_rate" : 2.5, - "om_fixed_escal" : 0, - "batt_calendar_c" : 939, - "batt_calendar_lifetime_matrix" : [ [ 0, 100 ], [ 3650, 80 ], [ 7300, 50 ] ], - "batt_calendar_choice" : 1, - "ppa_escalation" : 1, - "batt_lifetime_matrix" : [ [ 10, 0, 100.85299999999999 ], [ 10, 1250, 94.884 ], [ 10, 2500, 88.914699999999996 ], [ 10, 3750, 82.945400000000006 ], [ 10, 5000, 76.976100000000002 ], [ 20, 0, 100.85299999999999 ], [ 20, 1250, 94.879800000000003 ], [ 20, 2500, 88.906300000000002 ], [ 20, 3750, 82.9328 ], [ 20, 5000, 76.959299999999999 ], [ 40, 0, 100.85299999999999 ], [ 40, 1250, 94.782200000000003 ], [ 40, 2500, 88.710999999999999 ], [ 40, 3750, 82.639700000000005 ], [ 40, 5000, 76.568200000000004 ], [ 80, 0, 100.85299999999999 ], [ 80, 1250, 92.483800000000002 ], [ 80, 2500, 84.054299999999998 ], [ 80, 3750, 75.560000000000002 ], [ 80, 5000, 66.995599999999996 ], [ 100, 0, 100.85299999999999 ], [ 100, 1250, 88.125600000000006 ], [ 100, 2500, 74.873199999999997 ], [ 100, 3750, 60.951099999999997 ], [ 100, 5000, 46.1312 ] ], - "batt_replacement_capacity" : 50, - "batt_replacement_option" : 1, - "batt_cycle_cost" : [ 0 ], - "batt_pv_ac_forecast" : [ 0 ], - "batt_dispatch_update_frequency_hours" : 1, - "batt_look_ahead_hours" : 18, - "batt_dispatch_pvs_ac_lb" : -0.10000000000000001, - "batt_dispatch_pvs_ac_ub_enable" : 0, - "om_batt_fixed_cost" : [ 0 ], - "batt_dispatch_pvs_curtail_as_control" : 0, - "batt_dispatch_pvs_curtail_if_violation" : 0, - "batt_dispatch_pvs_forecast_shift_periods" : 3, - "batt_dispatch_pvs_ki" : 1.8, - "batt_dispatch_pvs_max_ramp" : 10, - "om_batt_capacity_cost" : [ 5.2999999999999998 ], - "batt_dispatch_pvs_nameplate_ac" : 20000, - "total_installed_cost" : 111899952.38398466, - "batt_dispatch_pvs_short_forecast_enable" : 0, - "batt_dispatch_pvs_soc_rest" : 50, - "batt_dispatch_pvs_timestep_multiplier" : 3, - "batt_pv_clipping_forecast" : [ 0 ], - "batt_custom_dispatch" : [ 0 ], - "dispatch_manual_percent_gridcharge" : [ 0 ], - "dispatch_manual_btm_discharge_to_grid" : [ 0, 0, 0, 0, 0, 0 ], - "dispatch_manual_charge" : [ 1, 0, 0, 0, 0, 0 ], - "analysis_period" : 25, - "dispatch_manual_discharge" : [ 0, 1, 0, 0, 0, 0 ], - "batt_dispatch_auto_can_clipcharge" : 0, - "dispatch_manual_sched" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "batt_minimum_soc" : 10, - "batt_minimum_modetime" : 10, - "om_production_escal" : 0, - "grid_interconnection_limit_kwac" : 20000, - "batt_dispatch_auto_can_charge" : 1, - "batt_dispatch_choice" : 3, - "om_batt_replacement_cost" : [ 323 ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ppa_multiplier_model" : 0, - "number table entries" : 118 - }, - "hybrid" : { - "depr_bonus_fed_custom" : 0, - "flip_target_year" : 20, - "om_capacity" : 0, - "ur_ec_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ibi_sta_amount_deprbas_fed" : 0, - "ibi_oth_amount_tax_sta" : 1, - "cost_other_financing" : 0, - "cp_capacity_payment_type" : 0, - "inflation_rate" : 2.5, - "depr_bonus_fed" : 0, - "flip_target_percent" : 11, - "cp_capacity_payment_esc" : 0, - "term_int_rate" : 4, - "property_tax_rate" : 0, - "ptc_fed_escal" : 0, - "months_working_reserve" : 6, - "system_capacity" : 276923.07692307694, - "ibi_fed_percent_maxvalue" : 0, - "pbi_oth_tax_sta" : 1, - "grid_interconnection_limit_kwac" : 20000, - "cost_debt_fee" : 2.75, - "enable_interconnection_limit" : 0, - "equip3_reserve_freq" : 0, - "ibi_oth_percent_tax_fed" : 1, - "dscr_maximum_debt_fraction" : 100, - "ptc_sta_amount" : [ 0 ], - "itc_fed_percent_deprbas_fed" : 1, - "cbi_fed_deprbas_fed" : 0, - "debt_option" : 1, - "real_discount_rate" : 6.4000000000000004, - "itc_sta_amount" : [ 0 ], - "depr_alloc_sl_15_percent" : 0, - "rate_escalation" : [ 0 ], - "prop_tax_assessed_decline" : 0, - "pbi_oth_for_ds" : 0, - "cbi_fed_deprbas_sta" : 0, - "itc_fed_percent_deprbas_sta" : 1, - "depr_itc_sta_macrs_15" : 0, - "ur_enable_billing_demand" : 0, - "debt_percent" : 60, - "batt_salvage_percentage" : 0, - "grid_curtailment" : [ 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37, 9.9999999999999998e+37 ], - "ibi_fed_amount_deprbas_sta" : 0, - "salvage_percentage" : 0, - "ibi_oth_amount_deprbas_sta" : 0, - "depr_alloc_macrs_5_percent" : 100, - "total_installed_cost" : 520326452.38398468, - "pbi_uti_tax_sta" : 1, - "itc_sta_amount_deprbas_sta" : 0, - "cbi_uti_deprbas_fed" : 0, - "depr_bonus_fed_macrs_15" : 0, - "om_fixed" : 0, - "om_production" : 0, - "term_tenor" : 18, - "ur_billing_demand_lookback_period" : 0, - "state_tax_rate" : [ 7 ], - "analysis_period" : 25, - "ibi_uti_amount_deprbas_fed" : 0, - "federal_tax_rate" : [ 21 ], - "equip_reserve_depr_sta" : 0, - "equip3_reserve_cost" : 0, - "dscr" : 1.3, - "itc_fed_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "cbi_fed_maxvalue" : 0, - "ibi_sta_percent" : 0, - "pbi_sta_term" : 0, - "ur_monthly_fixed_charge" : 0, - "insurance_rate" : 0, - "ibi_uti_percent_tax_fed" : 1, - "prop_tax_cost_assessed_percent" : 100, - "depr_itc_sta_sl_15" : 0, - "ibi_fed_amount" : 0, - "ptc_fed_amount" : [ 0 ], - "ibi_uti_percent_deprbas_sta" : 0, - "cbi_fed_tax_sta" : 1, - "pbi_fed_tax_fed" : 1, - "depr_alloc_sl_5_percent" : 0, - "cbi_sta_amount" : 0, - "itc_sta_percent_maxvalue" : [ 9.9999999999999998e+37 ], - "payment_option" : 0, - "pbi_sta_for_ds" : 0, - "pbi_fed_escal" : 0, - "grid_curtailment_price_esc" : 0, - "depr_custom_schedule" : [ 0 ], - "dscr_limit_debt_fraction" : 0, - "cost_debt_closing" : 0, - "ibi_oth_percent_tax_sta" : 1, - "ibi_fed_amount_deprbas_fed" : 0, - "cp_capacity_payment_amount" : [ 0 ], - "loan_moratorium" : 0, - "cbi_oth_tax_fed" : 1, - "batt_replacement_option" : 1, - "itc_fed_amount_deprbas_fed" : 1, - "ibi_sta_percent_deprbas_fed" : 0, - "pbi_fed_amount" : [ 0 ], - "ibi_oth_percent_deprbas_sta" : 0, - "depr_bonus_sta_sl_39" : 0, - "ur_billing_demand_lookback_percentages" : [ [ 0 ] ], - "ibi_oth_amount_tax_fed" : 1, - "ur_nm_credit_rollover" : 0, - "ur_dc_billing_demand_periods" : [ [ 0 ] ], - "ibi_uti_percent_maxvalue" : 0, - "ibi_uti_percent_deprbas_fed" : 0, - "construction_financing_cost" : 13658569.375079598, - "cbi_uti_maxvalue" : 0, - "pbi_uti_escal" : 0, - "depr_fedbas_method" : 1, - "ibi_uti_amount_tax_sta" : 1, - "ptc_sta_escal" : 0, - "depr_bonus_sta_macrs_5" : 0, - "itc_sta_percent_deprbas_fed" : 0, - "pbi_oth_escal" : 0, - "months_receivables_reserve" : 0, - "cbi_sta_tax_sta" : 1, - "ibi_sta_amount" : 0, - "equip1_reserve_cost" : 0.10000000000000001, - "ibi_oth_amount" : 0, - "ibi_sta_percent_tax_fed" : 1, - "dscr_reserve_months" : 6, - "equip1_reserve_freq" : 15, - "dispatch_tod_factors" : [ 1, 1.5, 1, 1, 1, 1, 1, 1, 1 ], - "equip2_reserve_cost" : 0, - "equip_reserve_depr_fed" : 0, - "equip2_reserve_freq" : 0, - "depr_bonus_fed_sl_39" : 0, - "reserves_interest" : 1.25, - "depr_itc_sta_custom" : 0, - "ppa_escalation" : 1, - "ppa_price_input" : [ 0.050000000000000003 ], - "ibi_oth_percent_maxvalue" : 0, - "ppa_soln_mode" : 1, - "ur_dc_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ] ], - "dispatch_factors_ts" : [ 0, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1.2, 1.2, 1.2, 1.2, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 2.0640000000000001, 1.2, 1.2, 1.2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001, 0.80000000000000004, 0.80000000000000004, 0.80000000000000004, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.69999999999999996, 0.80000000000000004, 0.80000000000000004, 1.1000000000000001, 1.1000000000000001, 1.1000000000000001 ], - "depr_alloc_sl_20_percent" : 0, - "en_electricity_rates" : 1, - "ibi_sta_amount_deprbas_sta" : 0, - "itc_fed_amount" : [ 0 ], - "dispatch_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "ibi_fed_percent_tax_fed" : 1, - "depr_bonus_sta_custom" : 0, - "dispatch_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 2, 2, 2, 2, 1, 1, 1 ] ], - "pbi_sta_tax_fed" : 1, - "depr_bonus_fed_sl_15" : 0, - "pbi_sta_amount" : [ 0 ], - "ur_nm_yearend_sell_rate" : 0, - "depr_itc_sta_macrs_5" : 0, - "ppa_multiplier_model" : 0, - "cp_battery_nameplate" : 0, - "ptc_fed_term" : 10, - "cp_capacity_credit_percent" : [ 0 ], - "pbi_oth_amount" : [ 0 ], - "ibi_sta_percent_deprbas_sta" : 0, - "cp_system_nameplate" : 276.92307692307696, - "grid_curtailment_price" : [ 0 ], - "depr_bonus_fed_sl_5" : 0, - "itc_fed_amount_deprbas_sta" : 1, - "itc_fed_percent" : [ 30 ], - "ptc_sta_term" : 10, - "pbi_uti_tax_fed" : 1, - "itc_sta_amount_deprbas_fed" : 0, - "pbi_fed_for_ds" : 0, - "itc_sta_percent" : [ 0 ], - "itc_sta_percent_deprbas_sta" : 0, - "ibi_sta_amount_tax_sta" : 1, - "ur_dc_flat_mat" : [ [ 0, 1, 9.9999999999999998e+37, 0 ], [ 1, 1, 9.9999999999999998e+37, 0 ], [ 2, 1, 9.9999999999999998e+37, 0 ], [ 3, 1, 9.9999999999999998e+37, 0 ], [ 4, 1, 9.9999999999999998e+37, 0 ], [ 5, 1, 9.9999999999999998e+37, 0 ], [ 6, 1, 9.9999999999999998e+37, 0 ], [ 7, 1, 9.9999999999999998e+37, 0 ], [ 8, 1, 9.9999999999999998e+37, 0 ], [ 9, 1, 9.9999999999999998e+37, 0 ], [ 10, 1, 9.9999999999999998e+37, 0 ], [ 11, 1, 9.9999999999999998e+37, 0 ] ], - "depr_alloc_macrs_15_percent" : 0, - "cbi_uti_tax_fed" : 1, - "ibi_uti_amount_deprbas_sta" : 0, - "cbi_fed_amount" : 0, - "cbi_fed_tax_fed" : 1, - "cbi_sta_tax_fed" : 1, - "ur_ec_tou_mat" : [ [ 1, 1, 9.9999999999999998e+37, 2, 0.044999999999999998, 0 ] ], - "ibi_fed_percent_deprbas_fed" : 0, - "depr_itc_fed_sl_39" : 0, - "cbi_oth_amount" : 0, - "depr_bonus_fed_sl_20" : 0, - "cbi_oth_deprbas_fed" : 0, - "cbi_oth_deprbas_sta" : 0, - "pbi_fed_tax_sta" : 1, - "cbi_oth_maxvalue" : 0, - "cbi_oth_tax_sta" : 1, - "cbi_sta_deprbas_fed" : 0, - "cbi_sta_deprbas_sta" : 0, - "cbi_sta_maxvalue" : 0, - "ibi_fed_amount_tax_fed" : 1, - "cbi_uti_amount" : 0, - "ibi_uti_percent" : 0, - "cbi_uti_deprbas_sta" : 0, - "depr_bonus_sta_macrs_15" : 0, - "cbi_uti_tax_sta" : 1, - "ibi_fed_percent_deprbas_sta" : 0, - "ibi_fed_amount_tax_sta" : 1, - "ibi_fed_percent" : 0, - "ibi_fed_percent_tax_sta" : 1, - "ibi_sta_amount_tax_fed" : 1, - "ibi_oth_amount_deprbas_fed" : 0, - "ibi_sta_percent_tax_sta" : 1, - "ibi_oth_percent" : 0, - "ibi_uti_amount_tax_fed" : 1, - "ibi_oth_percent_deprbas_fed" : 0, - "ibi_sta_percent_maxvalue" : 0, - "ibi_uti_amount" : 0, - "ur_nm_credit_month" : 0, - "ibi_uti_percent_tax_sta" : 1, - "depr_itc_sta_sl_5" : 0, - "pbi_fed_term" : 0, - "pbi_oth_tax_fed" : 1, - "pbi_oth_term" : 0, - "depr_itc_fed_sl_15" : 0, - "depr_itc_sta_sl_20" : 0, - "pbi_sta_escal" : 0, - "pbi_sta_tax_sta" : 1, - "pbi_uti_amount" : [ 0 ], - "pbi_uti_for_ds" : 0, - "pbi_uti_term" : 0, - "depr_alloc_custom_percent" : 0, - "depr_bonus_sta_sl_20" : 0, - "depr_itc_sta_sl_39" : 0, - "depr_alloc_sl_39_percent" : 0, - "depr_bonus_fed_macrs_5" : 1, - "depr_bonus_sta" : 0, - "depr_bonus_sta_sl_15" : 0, - "depr_itc_fed_sl_5" : 0, - "depr_itc_fed_macrs_15" : 0, - "depr_bonus_sta_sl_5" : 0, - "depr_itc_fed_macrs_5" : 0, - "depr_itc_fed_custom" : 0, - "depr_itc_fed_sl_20" : 0, - "depr_stabas_method" : 1, - "ur_ts_sell_rate" : [ 0 ], - "ur_en_ts_sell_rate" : 0, - "ur_metering_option" : 4, - "ur_en_ts_buy_rate" : 0, - "ur_ts_buy_rate" : [ 0 ], - "ur_annual_min_charge" : 0, - "ur_monthly_min_charge" : 0, - "ur_dc_sched_weekday" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_ec_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "ur_yearzero_usage_peaks" : [ 0 ], - "ur_billing_demand_minimum" : 0, - "ur_dc_enable" : 0, - "ur_dc_sched_weekend" : [ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ], - "number table entries" : 242 - }, - "number table entries" : 5 - }, - "compute_module_0" : "hybrid", - "number_compute_modules" : 1, - "number_metrics" : 0 -} From 3947748686f86c5b39debc41ffab2760370094f5 Mon Sep 17 00:00:00 2001 From: Darice L Guittet Date: Wed, 13 Mar 2024 08:10:49 -0600 Subject: [PATCH 74/79] add ssc_data_deep_copy (#1149) --- ssc/sscapi.cpp | 10 ++++++++++ ssc/sscapi.h | 2 ++ ...atts Wind FuelCell Battery Hybrid_Single Owner.json | 2 -- .../PVWatts Wind Battery Hybrid_Host Developer.json | 2 -- .../PVWatts Wind Battery Hybrid_Single Owner.json | 2 -- 5 files changed, 12 insertions(+), 6 deletions(-) diff --git a/ssc/sscapi.cpp b/ssc/sscapi.cpp index 187a90d0e..aabba85a9 100644 --- a/ssc/sscapi.cpp +++ b/ssc/sscapi.cpp @@ -712,6 +712,16 @@ SSCEXPORT ssc_var_t ssc_data_get_data_matrix(ssc_data_t p_data, const char *name } return dat; } + +SSCEXPORT ssc_bool_t ssc_data_deep_copy(ssc_data_t source, ssc_data_t dest) { + auto source_vt = static_cast(source); + if (!source_vt) return 0; + auto dest_vt = static_cast(dest); + if (!dest_vt) return 0; + *dest_vt = *source_vt; // invokes operator= for deep copy + return 1; +} + /* void json_to_ssc_var(const Json::Value& json_val, ssc_var_t ssc_val){ if (!ssc_val) diff --git a/ssc/sscapi.h b/ssc/sscapi.h index 6abb5c8c0..04c6aea27 100644 --- a/ssc/sscapi.h +++ b/ssc/sscapi.h @@ -284,6 +284,8 @@ SSCEXPORT ssc_var_t ssc_data_get_data_array(ssc_data_t p_data, const char *name, /** Returns the reference of a @a SSC_DATAMAT variable with the given name. */ SSCEXPORT ssc_var_t ssc_data_get_data_matrix(ssc_data_t p_data, const char *name, int* nrows, int* ncols ); +SSCEXPORT ssc_bool_t ssc_data_deep_copy(ssc_data_t source, ssc_data_t dest); + /**@}*/ diff --git a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json index c955cec5b..0303912d7 100644 --- a/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/Generic PVWatts Wind FuelCell Battery Hybrid_Single Owner.json @@ -19,7 +19,6 @@ "wind_resource_model_choice" : 0, "wind_turbine_hub_ht" : 80, "avail_turb_loss" : 3.5800000000000001, - "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", "adjust_constant" : 0, "weibull_k_factor" : 2, "wind_resource_shear" : 0.14000000000000001, @@ -215,7 +214,6 @@ "adjust_en_periods" : 0, "inv_eff" : 96, "analysis_period" : 25, - "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "om_production_escal" : 0, "system_use_lifetime_output" : 0, "batt_simple_enable" : 0, diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json index f34fba891..c0cbf1b28 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Host Developer.json @@ -19,7 +19,6 @@ "wind_resource_model_choice" : 0, "wind_turbine_hub_ht" : 80, "avail_turb_loss" : 3.5800000000000001, - "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", "adjust_constant" : 0, "weibull_k_factor" : 2, "wind_resource_shear" : 0.14000000000000001, @@ -65,7 +64,6 @@ "shading_string_option" : 0, "inv_eff" : 96, "analysis_period" : 25, - "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "om_production_escal" : 0, "system_use_lifetime_output" : 0, "batt_simple_enable" : 0, diff --git a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json index f57dd52d3..659ed3fca 100644 --- a/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json +++ b/test/input_json/hybrids/PVWatts Wind Battery Hybrid_Single Owner.json @@ -19,7 +19,6 @@ "wind_resource_model_choice" : 0, "wind_turbine_hub_ht" : 80, "avail_turb_loss" : 3.5800000000000001, - "wind_resource_filename" : "C:/Projects/Github/NREL/working/SAM/deploy/wind_resource/AZ Eastern-Rolling Hills.srw", "adjust_constant" : 0, "weibull_k_factor" : 2, "wind_resource_shear" : 0.14000000000000001, @@ -67,7 +66,6 @@ "adjust_en_periods" : 0, "inv_eff" : 96, "analysis_period" : 25, - "solar_resource_file" : "C:/Projects/Github/NREL/working/SAM/deploy/solar_resource/phoenix_az_33.450495_-111.983688_psmv3_60_tmy.csv", "om_production_escal" : 0, "system_use_lifetime_output" : 0, "batt_simple_enable" : 0, From 7d0c11bd64a2ec90f477505fe60c6a49062822dc Mon Sep 17 00:00:00 2001 From: sjanzou Date: Fri, 15 Mar 2024 12:02:04 -0600 Subject: [PATCH 75/79] Update REQUIRED_IF for ssc_auto_exec variables for SAM issue 1634 (#1131) * Add check for crit load unmet to self consumption metrics * Correct size versus iprofile index for manual dispatch fuel cell and grid discharge arrays * update git attributes file to correctly calculate language breakdown of project with linguist (#1119) * Fix trough dispatch (#1121) * define outputs required downstream * move new outputs to design point section of cmod * add sim_type required if to financial model inputs * Add check to ensure trough_loop_control and nSCA agree * Add check to IPH to ensure trough_loop_control and nSCA agree * Remove nSCA as an input for CSP and IPH models. Add as design output. --------- Co-authored-by: Taylor Brown <60201147+taylorbrown75@users.noreply.github.com> * Remove overwriting of global variables in back surface irradiance calcs for loss diagram metrics * Use decomposed DNI when weather file DNI not available for allen clipping correction * Check irradiance mode before using dni decomp in allen method (i.e. is code failing due to no dni provided) * Address SAM issue 1676 * Fix GitHub Actions failing tests * Fix failing macOS Github actions due to original root branch getem-cost-updates * Test all previously failing tests on all platforms * Reinstate all ssc tests for pull request approval * Update REQUIRED_IF for ssc_auto_exec variables for SAM issue 1634 --------- Co-authored-by: Brian Mirletz Co-authored-by: Janine Keith Co-authored-by: tyneises Co-authored-by: Taylor Brown <60201147+taylorbrown75@users.noreply.github.com> Co-authored-by: Matt Prilliman Co-authored-by: Matt Prilliman <54449384+mjprilliman@users.noreply.github.com> --- ssc/cmod_fresnel_physical.cpp | 10 +++++----- ssc/cmod_fresnel_physical_iph.cpp | 6 +++--- 2 files changed, 8 insertions(+), 8 deletions(-) diff --git a/ssc/cmod_fresnel_physical.cpp b/ssc/cmod_fresnel_physical.cpp index 3e409f02e..4a2f36fc7 100644 --- a/ssc/cmod_fresnel_physical.cpp +++ b/ssc/cmod_fresnel_physical.cpp @@ -58,9 +58,9 @@ static var_info _cm_vtab_fresnel_physical[] = { // System Design - /*X*/ /*System Design*/{ SSC_INPUT, SSC_NUMBER, "solar_mult_in", "Solar multiple Input", "", "", "System_Design", "", "", "" }, - /*X*/ /*System Design*/{ SSC_INPUT, SSC_NUMBER, "total_Ap_in", "Field aperture Input", "m3", "", "System_Design", "", "", "" }, - /*X*/ /*System Design*/{ SSC_INPUT, SSC_NUMBER, "solar_mult_or_Ap", "Design using specified solar mult or field aperture", "m3", "", "System_Design", "", "", "" }, + /*X*/ /*System Design*/{ SSC_INPUT, SSC_NUMBER, "solar_mult_in", "Solar multiple Input", "", "", "System_Design", "*", "", "" }, + /*X*/ /*System Design*/{ SSC_INPUT, SSC_NUMBER, "total_Ap_in", "Field aperture Input", "m3", "", "System_Design", "*", "", "" }, + /*X*/ /*System Design*/{ SSC_INPUT, SSC_NUMBER, "solar_mult_or_Ap", "Design using specified solar mult or field aperture", "m3", "", "System_Design", "*", "", "" }, /*X*/ /*System Design*/{ SSC_INPUT, SSC_NUMBER, "T_loop_in_des", "Design loop inlet temperature", "C", "", "System_Design", "*", "", "" }, /*X*/ /*System Design*/{ SSC_INPUT, SSC_NUMBER, "T_loop_out", "Target loop outlet temperature", "C", "", "System_Design", "*", "", "" }, /*X*/ /*System Design*/{ SSC_INPUT, SSC_NUMBER, "I_bn_des", "Solar irradiation at design", "W/m2", "", "System_Design", "*", "", "" }, @@ -158,7 +158,7 @@ static var_info _cm_vtab_fresnel_physical[] = { /*X*/ /*Power Cycle*/{ SSC_INPUT, SSC_NUMBER, "pb_pump_coef", "Pumping power to move 1kg of HTF through PB loop", "kW/kg", "", "Powerblock", "*", "", "" }, /*X*/ /*Power Cycle*/{ SSC_INPUT, SSC_NUMBER, "cycle_max_frac", "Maximum turbine over design operation fraction", "", "", "Powerblock", "*", "", "" }, /*X*/ /*Power Cycle*/{ SSC_INPUT, SSC_NUMBER, "cycle_cutoff_frac", "Minimum turbine operation fraction before shutdown", "", "", "Powerblock", "*", "", "" }, - /*X*/ /*startup script*/{ SSC_INPUT, SSC_NUMBER, "pc_config", "0: Steam Rankine (224), 1: user defined", "-", "", "Powerblock", "", "INTEGER", "" }, + /*X*/ /*startup script*/{ SSC_INPUT, SSC_NUMBER, "pc_config", "0: Steam Rankine (224), 1: user defined", "-", "", "Powerblock", "*", "INTEGER", "" }, @@ -210,7 +210,7 @@ static var_info _cm_vtab_fresnel_physical[] = { /*Sys Control*/{ SSC_INPUT, SSC_NUMBER, "is_dispatch", "Allow dispatch optimization?", /*TRUE=1*/ "-", "", "Sys_Control", "?=0", "", "" }, /*Sys Control*/{ SSC_INPUT, SSC_NUMBER, "is_dispatch_series", "Use time-series dispatch factors", "", "", "Sys_Control", "?=1", "", "" }, - /*Sys Control*/{ SSC_INPUT, SSC_ARRAY, "dispatch_series", "Time series dispatch factors", "", "", "Sys_Control", "", "", "" }, + /*Sys Control*/{ SSC_INPUT, SSC_ARRAY, "dispatch_series", "Time series dispatch factors", "", "", "Sys_Control", "*", "", "" }, /*Sys Control*/{ SSC_INPUT, SSC_NUMBER, "disp_frequency", "Frequency for dispatch optimization calculations", "hour", "", "Sys_Control", "is_dispatch=1", "", "" }, /*Sys Control*/{ SSC_INPUT, SSC_NUMBER, "disp_horizon", "Time horizon for dispatch optimization", "hour", "", "Sys_Control", "is_dispatch=1", "", "" }, /*Sys Control*/{ SSC_INPUT, SSC_NUMBER, "disp_max_iter", "Max. no. dispatch optimization iterations", "-", "", "Sys_Control", "is_dispatch=1", "", "" }, diff --git a/ssc/cmod_fresnel_physical_iph.cpp b/ssc/cmod_fresnel_physical_iph.cpp index 5a3ded020..e70c81447 100644 --- a/ssc/cmod_fresnel_physical_iph.cpp +++ b/ssc/cmod_fresnel_physical_iph.cpp @@ -57,9 +57,9 @@ static var_info _cm_vtab_fresnel_physical_iph[] = { // System Design - /*System Design*/{ SSC_INPUT, SSC_NUMBER, "solar_mult_in", "Solar multiple Input", "", "", "System_Design", "", "", "" }, - /*System Design*/{ SSC_INPUT, SSC_NUMBER, "total_Ap_in", "Field aperture Input", "m3", "", "System_Design", "", "", "" }, - /*System Design*/{ SSC_INPUT, SSC_NUMBER, "solar_mult_or_Ap", "Design using specified solar mult or field aperture", "m3", "", "System_Design", "", "", "" }, + /*System Design*/{ SSC_INPUT, SSC_NUMBER, "solar_mult_in", "Solar multiple Input", "", "", "System_Design", "*", "", "" }, + /*System Design*/{ SSC_INPUT, SSC_NUMBER, "total_Ap_in", "Field aperture Input", "m3", "", "System_Design", "*", "", "" }, + /*System Design*/{ SSC_INPUT, SSC_NUMBER, "solar_mult_or_Ap", "Design using specified solar mult or field aperture", "m3", "", "System_Design", "*", "", "" }, /*System Design*/{ SSC_INPUT, SSC_NUMBER, "T_loop_in_des", "Design loop inlet temperature", "C", "", "System_Design", "*", "", "" }, /*System Design*/{ SSC_INPUT, SSC_NUMBER, "T_loop_out", "Target loop outlet temperature", "C", "", "System_Design", "*", "", "" }, /*System Design*/{ SSC_INPUT, SSC_NUMBER, "I_bn_des", "Solar irradiation at design", "W/m2", "", "System_Design", "*", "", "" }, From ee6125a6af04efdd9fd5fb6f53071c7a3c2c868f Mon Sep 17 00:00:00 2001 From: tyneises Date: Mon, 18 Mar 2024 13:17:42 -0500 Subject: [PATCH 76/79] remove lat and replace with weather reader parameter --- ssc/cmod_trough_physical.cpp | 8 ++------ ssc/cmod_trough_physical_iph.cpp | 10 ++++------ 2 files changed, 6 insertions(+), 12 deletions(-) diff --git a/ssc/cmod_trough_physical.cpp b/ssc/cmod_trough_physical.cpp index e4ef53fa2..ea2db589b 100644 --- a/ssc/cmod_trough_physical.cpp +++ b/ssc/cmod_trough_physical.cpp @@ -344,11 +344,6 @@ static var_info _cm_vtab_trough_physical[] = { { SSC_INPUT, SSC_NUMBER, "disp_pen_delta_w", "Dispatch cycle production change penalty", "$/kWe-change", "", "tou", "", "", "SIMULATION_PARAMETER" }, { SSC_INPUT, SSC_NUMBER, "P_boil", "Boiler operating pressure", "bar", "", "powerblock", "", "", "SIMULATION_PARAMETER" }, - - // ADDED For Design Point - { SSC_INPUT, SSC_NUMBER, "lat", "Latitude", "degree", "", "", "*", "", "" }, - - // Direct Capital Costs { SSC_INPUT, SSC_NUMBER, "csp.dtr.cost.site_improvements.cost_per_m2", "Site Improvement Cost per m2", "$/m2", "", "Capital_Costs", "?=0", "", "" }, { SSC_INPUT, SSC_NUMBER, "csp.dtr.cost.solar_field.cost_per_m2", "Solar Field Cost per m2", "$/m2", "", "Capital_Costs", "?=0", "", "" }, @@ -813,6 +808,8 @@ class cm_trough_physical : public compute_module weather_reader.init(); if (weather_reader.has_error()) throw exec_error("trough_physical", weather_reader.get_error()); + double lat = weather_reader.ms_solved_params.m_lat; //[deg] + // Set up ssc output arrays // Set steps per hour C_csp_solver::S_sim_setup sim_setup; @@ -1846,7 +1843,6 @@ class cm_trough_physical : public compute_module vector L_SCA = as_vector_double("L_SCA"); vector ColperSCA = as_vector_double("ColperSCA"); vector Ave_Focal_Length = as_vector_double("Ave_Focal_Length"); - double lat = as_double("lat"); util::matrix_t csp_dtr_sca_ap_lengths; { diff --git a/ssc/cmod_trough_physical_iph.cpp b/ssc/cmod_trough_physical_iph.cpp index f527fb80a..5d5792668 100644 --- a/ssc/cmod_trough_physical_iph.cpp +++ b/ssc/cmod_trough_physical_iph.cpp @@ -278,10 +278,6 @@ static var_info _cm_vtab_trough_physical_iph[] = { { SSC_INPUT, SSC_NUMBER, "P_boil", "Boiler operating pressure", "bar", "", "powerblock", "", "", "SIMULATION_PARAMETER" }, - // ADDED For Design Point - { SSC_INPUT, SSC_NUMBER, "lat", "Latitude", "degree", "", "", "*", "", "" }, - - // Direct Capital Costs { SSC_INPUT, SSC_NUMBER, "csp.dtr.cost.site_improvements.cost_per_m2", "Site Improvement Cost per m2", "$/m2", "", "Capital_Costs", "?=0", "", "" }, { SSC_INPUT, SSC_NUMBER, "csp.dtr.cost.solar_field.cost_per_m2", "Solar Field Cost per m2", "$/m2", "", "Capital_Costs", "?=0", "", "" }, @@ -692,6 +688,7 @@ class cm_trough_physical_iph : public compute_module C_csp_weatherreader weather_reader; C_csp_solver::S_sim_setup sim_setup; int n_steps_fixed; + double lat = std::numeric_limits::quiet_NaN(); int steps_per_hour; { if (is_assigned("file_name")) { @@ -723,6 +720,8 @@ class cm_trough_physical_iph : public compute_module int n_wf_records = (int)weather_reader.m_weather_data_provider->nrecords(); steps_per_hour = n_wf_records / 8760; //[-] + lat = weather_reader.ms_solved_params.m_lat; //[deg] + n_steps_fixed = steps_per_hour * 8760; //[-] sim_setup.m_report_step = 3600.0 / (double)steps_per_hour; //[s] } @@ -1536,8 +1535,7 @@ class cm_trough_physical_iph : public compute_module vector L_SCA = as_vector_double("L_SCA"); vector ColperSCA = as_vector_double("ColperSCA"); vector Ave_Focal_Length = as_vector_double("Ave_Focal_Length"); - double lat = as_double("lat"); - + util::matrix_t csp_dtr_sca_ap_lengths; { size_t n = L_SCA.size(); From ae0272ea42a9e7c0d2d93462d1c4082a769c0a87 Mon Sep 17 00:00:00 2001 From: Brian Mirletz Date: Wed, 20 Mar 2024 13:41:37 -0600 Subject: [PATCH 77/79] Modify index to year 1 index to correctly utilize tou demand function. Add unit test that captures the issue --- shared/lib_utility_rate_equations.cpp | 3 +- ...ib_battery_dispatch_automatic_btm_test.cpp | 51 +++++++++++++++++++ 2 files changed, 53 insertions(+), 1 deletion(-) diff --git a/shared/lib_utility_rate_equations.cpp b/shared/lib_utility_rate_equations.cpp index 1f08b23f5..d27c26c50 100644 --- a/shared/lib_utility_rate_equations.cpp +++ b/shared/lib_utility_rate_equations.cpp @@ -1352,6 +1352,7 @@ void forecast_setup::setup(rate_data* rate, std::vector& P_pv_ac, std::v } for (size_t idx = 0; idx < num_recs && idx < array_size; idx++) { + size_t year_one_index = util::yearOneIndex(1.0 / _steps_per_hour, idx); double grid_power = P_pv_ac[idx] - P_load_ac[idx]; gross_load_during_month += P_load_ac[idx] * _dt_hour; @@ -1367,7 +1368,7 @@ void forecast_setup::setup(rate_data* rate, std::vector& P_pv_ac, std::v } if (rate->dc_enabled) { - int dc_tou_period = rate->get_dc_tou_row(idx, curr_month - 1); + int dc_tou_period = rate->get_dc_tou_row(year_one_index, curr_month - 1); size_t month_idx = year * 12 + (curr_month - 1); double peak = monthly_peaks.at(month_idx, dc_tou_period) - peak_offset; // Peak for dispatch calcs in battery: peak minus battery capacity if (-1.0 * grid_power > peak) { diff --git a/test/shared_test/lib_battery_dispatch_automatic_btm_test.cpp b/test/shared_test/lib_battery_dispatch_automatic_btm_test.cpp index 8cca9533a..fd290c3b0 100644 --- a/test/shared_test/lib_battery_dispatch_automatic_btm_test.cpp +++ b/test/shared_test/lib_battery_dispatch_automatic_btm_test.cpp @@ -1619,3 +1619,54 @@ TEST_F(AutoBTMTest_lib_battery_dispatch, DispatchAutoBTMGridOutageFuelCellCharge EXPECT_NEAR(batteryPower->powerCritLoadUnmet, expectedCritLoadUnmet[h], 0.1) << " error in crit load at hour " << h; } } + +TEST_F(AutoBTMTest_lib_battery_dispatch, DispatchAutoBTMSetupRateForecastMultiYear) { + double dtHour = 1; + CreateBattery(dtHour); + + util_rate = new rate_data(); + util_rate->dc_enabled = true; + set_up_default_commercial_rate_data(*util_rate); + + double defaultEff = 0.96; + size_t nyears = 25; + + dispatchAutoBTM = new dispatch_automatic_behind_the_meter_t(batteryModel, dtHour, SOC_min, SOC_max, currentChoice, + max_current, + max_current, max_power * defaultEff, max_power / defaultEff, max_power, max_power, + 0, dispatch_t::BTM_MODES::RETAIL_RATE, dispatch_t::WEATHER_FORECAST_CHOICE::WF_LOOK_AHEAD, 0, nyears, 24, 1, true, + true, false, false, util_rate, replacementCost, cyclingChoice, cyclingCost, omCost, interconnection_limit, chargeOnlySystemExceedLoad, + dischargeOnlyLoadExceedSystem, dischargeToGrid, min_outage_soc, dispatch_t::LOAD_FORECAST_CHOICE::LOAD_LOOK_AHEAD); + + // Setup pv and load signal for peak shaving algorithm + for (size_t h = 0; h < 8760 * nyears; h++) { + if (h % 24 > 6 && h % 24 < 16) { + pv_prediction.push_back(700); + } + else if (h % 24 == 18) { + pv_prediction.push_back(750); + } + else { + pv_prediction.push_back(0); + } + + if (h % 24 == 6) { + load_prediction.push_back(600); + } + else if (h % 24 > 16) { + load_prediction.push_back(700); + } + else { + load_prediction.push_back(50); + } + } + + dispatchAutoBTM->update_load_data(load_prediction); + dispatchAutoBTM->update_pv_data(pv_prediction); + dispatchAutoBTM->setup_rate_forecast(); + + // Testing to make sure there are no errors thrown + + delete util_rate; + +} From 848d8316389263b8c0ee968f188c6fa1d506d150 Mon Sep 17 00:00:00 2001 From: Darice L Guittet Date: Thu, 28 Mar 2024 11:14:42 -0600 Subject: [PATCH 78/79] fix adjustment factor use for pvwatts (#1113) * fix adjustment factor use for pvwatts * add failing NonAnnualSummerStart test * change so test is passing * update test values for NonAnnualSummerStart --- ssc/cmod_pvwattsv7.cpp | 6 ++--- ssc/cmod_pvwattsv8.cpp | 6 ++--- test/input_cases/weather_inputs.cpp | 36 +++++++++++++-------------- test/input_cases/weather_inputs.h | 2 +- test/ssc_test/cmod_pvwattsv8_test.cpp | 25 +++++++++++++++++++ 5 files changed, 50 insertions(+), 25 deletions(-) diff --git a/ssc/cmod_pvwattsv7.cpp b/ssc/cmod_pvwattsv7.cpp index 2603d36cc..e714e96d1 100644 --- a/ssc/cmod_pvwattsv7.cpp +++ b/ssc/cmod_pvwattsv7.cpp @@ -1261,7 +1261,7 @@ class cm_pvwattsv7 : public compute_module p_tpoa[idx] = (ssc_number_t)tpoa; // W/m2 p_tmod[idx] = (ssc_number_t)tmod; p_dc[idx] = (ssc_number_t)dc; // power, Watts - p_ac[idx] = (ssc_number_t)(ac * haf(hour_of_year)); // power, Watts + p_ac[idx] = (ssc_number_t)(ac * haf(wdprov->annualSimulation() ? hour_of_year : idx)); // power, Watts // accumulate hourly energy (kWh) (was initialized to zero when allocated) p_gen[idx_life] = (ssc_number_t)(p_ac[idx]* util::watt_to_kilowatt); @@ -1270,8 +1270,8 @@ class cm_pvwattsv7 : public compute_module annual_kwh += p_gen[idx] / step_per_hour; } - if (y == 0 && wdprov->annualSimulation()) ld("ac_loss_adjustments") += ac * (1.0 - haf(hour_of_year)) * ts_hour; //ts_hour required to correctly convert to Wh for subhourly data - if (y == 0 && wdprov->annualSimulation()) ld("ac_delivered") += ac * haf(hour_of_year) * ts_hour; //ts_hour required to correctly convert to Wh for subhourly data + if (y == 0 && wdprov->annualSimulation()) ld("ac_loss_adjustments") += ac * (1.0 - haf(wdprov->annualSimulation() ? hour_of_year : idx)) * ts_hour; //ts_hour required to correctly convert to Wh for subhourly data + if (y == 0 && wdprov->annualSimulation()) ld("ac_delivered") += ac * haf(wdprov->annualSimulation() ? hour_of_year : idx) * ts_hour; //ts_hour required to correctly convert to Wh for subhourly data idx_life++; } diff --git a/ssc/cmod_pvwattsv8.cpp b/ssc/cmod_pvwattsv8.cpp index 1632e7c9e..fed4accc2 100644 --- a/ssc/cmod_pvwattsv8.cpp +++ b/ssc/cmod_pvwattsv8.cpp @@ -1359,7 +1359,7 @@ class cm_pvwattsv8 : public compute_module p_tmod[idx] = (ssc_number_t)tmod; p_dc[idx] = (ssc_number_t)dc; // power, Watts p_ac_pre_adjust[idx] = (ssc_number_t)ac; //power, Watts - p_ac[idx] = (ssc_number_t)(ac * haf(hour_of_year)); // power, Watts + p_ac[idx] = (ssc_number_t)(ac * haf(wdprov->annualSimulation() ? hour_of_year : idx)); // power, Watts // accumulate hourly energy (kWh) (was initialized to zero when allocated) p_gen[idx_life] = (ssc_number_t)(p_ac[idx] * util::watt_to_kilowatt); @@ -1369,8 +1369,8 @@ class cm_pvwattsv8 : public compute_module annual_kwh += p_gen[idx] / step_per_hour; } - if (y == 0 && wdprov->annualSimulation()) ld("ac_loss_adjustments") += ac * (1.0 - haf(hour_of_year)) * ts_hour; //ts_hour required to correctly convert to Wh for subhourly data - if (y == 0 && wdprov->annualSimulation()) ld("ac_delivered") += ac * haf(hour_of_year) * ts_hour; //ts_hour required to correctly convert to Wh for subhourly data + if (y == 0 && wdprov->annualSimulation()) ld("ac_loss_adjustments") += ac * (1.0 - haf(wdprov->annualSimulation() ? hour_of_year : idx)) * ts_hour; //ts_hour required to correctly convert to Wh for subhourly data + if (y == 0 && wdprov->annualSimulation()) ld("ac_delivered") += ac * haf(wdprov->annualSimulation() ? hour_of_year : idx) * ts_hour; //ts_hour required to correctly convert to Wh for subhourly data idx_life++; } diff --git a/test/input_cases/weather_inputs.cpp b/test/input_cases/weather_inputs.cpp index 1cbfe71ad..61defeb72 100644 --- a/test/input_cases/weather_inputs.cpp +++ b/test/input_cases/weather_inputs.cpp @@ -81,7 +81,7 @@ void free_weatherdata_array(var_table* data){ } //creates a weather data array of input "length" -var_table* create_weatherdata_array(size_t length){ +var_table* create_weatherdata_array(size_t length, size_t start_idx){ var_table* vt = new var_table; vt->assign("lat", -34.82); @@ -119,23 +119,23 @@ var_table* create_weatherdata_array(size_t length){ double alb_values[8760] = { 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.17, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.16, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.19, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.18, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.15, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14, 0.14 }; for (int idx = 0; idx < length; idx++) { - int i = idx % 8760; //if length is greater than 8760, just loop through the arrays above - year[i] = 1988; - month[i] = (double)month_values[i]; - day[i] = (double)day_values[i]; - hour[i] = (double)(i % 24); - minute[i] = 30.f; - dn[i] = (double)beam_values[i]; - df[i] = (double)diffuse_values[i]; - tdry[i] = (double)tdry_values[i]; - tdew[i] = (double)tdew_values[i]; - rhum[i] = 85.f; - pres[i] = (double)pres_values[i]; - wdir[i] = (double)wdir_values[i]; - wspd[i] = (double)wspd_values[i]; - aod[i] = (double)aod_values[i]; - pwp[i] = 99.9f; - alb[i] = (double)alb_values[i]; + int i = (idx + start_idx) % 8760; //if length is greater than 8760, just loop through the arrays above + year[idx] = 1988; + month[idx] = (double)month_values[i]; + day[idx] = (double)day_values[i]; + hour[idx] = (double)(i % 24); + minute[idx] = 30.f; + dn[idx] = (double)beam_values[i]; + df[idx] = (double)diffuse_values[i]; + tdry[idx] = (double)tdry_values[i]; + tdew[idx] = (double)tdew_values[i]; + rhum[idx] = 85.f; + pres[idx] = (double)pres_values[i]; + wdir[idx] = (double)wdir_values[i]; + wspd[idx] = (double)wspd_values[i]; + aod[idx] = (double)aod_values[i]; + pwp[idx] = 99.9f; + alb[idx] = (double)alb_values[i]; } return vt; } diff --git a/test/input_cases/weather_inputs.h b/test/input_cases/weather_inputs.h index af7d06a46..612313625 100644 --- a/test/input_cases/weather_inputs.h +++ b/test/input_cases/weather_inputs.h @@ -40,7 +40,7 @@ OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. * Creates resources as var_data, as opposed to resources from files, for testing use through SDK */ -var_table* create_weatherdata_array(size_t length); +var_table* create_weatherdata_array(size_t length, size_t start_idx=0); void free_weatherdata_array(var_table* data); diff --git a/test/ssc_test/cmod_pvwattsv8_test.cpp b/test/ssc_test/cmod_pvwattsv8_test.cpp index 5fb771901..b3208563f 100644 --- a/test/ssc_test/cmod_pvwattsv8_test.cpp +++ b/test/ssc_test/cmod_pvwattsv8_test.cpp @@ -503,6 +503,31 @@ TEST_F(CMPvwattsv8Integration_cmod_pvwattsv8, NonAnnual) free_weatherdata_array(weather_data); } +TEST_F(CMPvwattsv8Integration_cmod_pvwattsv8, NonAnnualSummerStart) +{ + //set up a weather data array and unassign the solar resource file + + auto weather_data = create_weatherdata_array(24, 24 * 180); + + ssc_data_unassign(data, "solar_resource_file"); + ssc_data_set_table(data, "solar_resource_data", weather_data); + + //run the tests + EXPECT_FALSE(run_module(data, "pvwattsv8")); + + ssc_number_t dc, gen, ac; + dc = ssc_data_get_array(data, "dc", nullptr)[12]; + EXPECT_NEAR(dc, 769.13, 1.) << "DC Energy at noon"; + + ac = ssc_data_get_array(data, "ac", nullptr)[12]; + EXPECT_NEAR(ac, 726.023, 1.) << "AC Energy at noon"; + + gen = ssc_data_get_array(data, "gen", nullptr)[12]; + EXPECT_NEAR(gen, 0.726, 0.01) << "Gen at noon"; + + free_weatherdata_array(weather_data); +} + TEST_F(CMPvwattsv8Integration_cmod_pvwattsv8, IntermediateOutputTesting) { From eef35d135e470a882efb32265a4745d4978a0c6c Mon Sep 17 00:00:00 2001 From: tyneises Date: Fri, 5 Apr 2024 09:41:33 -0500 Subject: [PATCH 79/79] add sample --- ..._ca_34.865371_-116.783023_psmv3_60_tmy.csv | 8763 ++++++++++++++++ .../mspt-import-maps/dispatch_factors_ts.csv | 8760 ++++++++++++++++ .../mspt-import-maps/eta_map_for_import.csv | 44 + .../mspt-import-maps/flux_maps_for_import.csv | 44 + samples/mspt-import-maps/grid_curtailment.csv | 8760 ++++++++++++++++ samples/mspt-import-maps/helio_positions.csv | 9339 +++++++++++++++++ samples/mspt-import-maps/mspt-default.lk | 648 ++ samples/mspt-import-maps/sscapi.h | 88 + ...ith imported flux and efficiency maps.docx | Bin 0 -> 17355 bytes samples/mspt-import-maps/ud_ind_od.csv | 180 + samples/mspt-import-maps/wlim_series.csv | 8760 ++++++++++++++++ 11 files changed, 45386 insertions(+) create mode 100644 samples/mspt-import-maps/daggett_ca_34.865371_-116.783023_psmv3_60_tmy.csv create mode 100644 samples/mspt-import-maps/dispatch_factors_ts.csv create mode 100644 samples/mspt-import-maps/eta_map_for_import.csv create mode 100644 samples/mspt-import-maps/flux_maps_for_import.csv create mode 100644 samples/mspt-import-maps/grid_curtailment.csv create mode 100644 samples/mspt-import-maps/helio_positions.csv create mode 100644 samples/mspt-import-maps/mspt-default.lk create mode 100644 samples/mspt-import-maps/sscapi.h create mode 100644 samples/mspt-import-maps/steps to run MSPT with imported flux and efficiency maps.docx create mode 100644 samples/mspt-import-maps/ud_ind_od.csv create mode 100644 samples/mspt-import-maps/wlim_series.csv diff --git a/samples/mspt-import-maps/daggett_ca_34.865371_-116.783023_psmv3_60_tmy.csv b/samples/mspt-import-maps/daggett_ca_34.865371_-116.783023_psmv3_60_tmy.csv new file mode 100644 index 000000000..1831753fc --- /dev/null +++ b/samples/mspt-import-maps/daggett_ca_34.865371_-116.783023_psmv3_60_tmy.csv @@ -0,0 +1,8763 @@ +Source,Location ID,City,State,Country,Latitude,Longitude,Time Zone,Elevation,Local Time Zone,Dew Point Units,DHI Units,DNI Units,GHI Units,Temperature Units,Pressure Units,Wind Direction Units,Wind Speed,Surface Albedo Units,Version +NSRDB,91486,-,-,-,34.85,-116.78,-8,561,-8,c,w/m2,w/m2,w/m2,c,mbar,Degrees,m/s,N/A,v3.0.0 +Year,Month,Day,Hour,Minute,DNI,DHI,GHI,Dew Point,Temperature,Pressure,Wind Direction,Wind Speed,Surface Albedo,,,,,, +2008,1,1,0,30,0,0,0,-11,-1,950,182.5,3.4,0.216,,,,,, +2008,1,1,1,30,0,0,0,-11,-1,950,180.9,3.1,0.216,,,,,, +2008,1,1,2,30,0,0,0,-11,-1,950,180.7,3,0.216,,,,,, +2008,1,1,3,30,0,0,0,-11,-2,950,179.4,3.3,0.216,,,,,, +2008,1,1,4,30,0,0,0,-11,-2,960,178.4,3.6,0.216,,,,,, +2008,1,1,5,30,0,0,0,-11,-2,960,179.2,3.6,0.216,,,,,, +2008,1,1,6,30,0,0,0,-11,-1,960,181.6,4,0.216,,,,,, +2008,1,1,7,30,176,33,50,-11,1,960,181.2,4.8,0.216,,,,,, +2008,1,1,8,30,492,73,201,-12,3,960,178.2,5.1,0.216,,,,,, +2008,1,1,9,30,862,56,396,-13,6,960,188.5,5.1,0.216,,,,,, +2008,1,1,10,30,749,97,461,-14,8,960,192.9,5,0.216,,,,,, +2008,1,1,11,30,761,104,507,-15,9,950,191.6,4.8,0.216,,,,,, +2008,1,1,12,30,844,82,522,-15,10,950,191,4.6,0.216,,,,,, +2008,1,1,13,30,676,108,421,-15,10,950,190,4.4,0.216,,,,,, +2008,1,1,14,30,481,114,286,-14,9,950,185.6,3.5,0.216,,,,,, +2008,1,1,15,30,501,55,162,-11,7,950,175.7,2.6,0.216,,,,,, +2008,1,1,16,30,0,21,21,-9,5,950,167.2,2.7,0.216,,,,,, +2008,1,1,17,30,0,0,0,-10,4,950,168.5,2.7,0.216,,,,,, +2008,1,1,18,30,0,0,0,-10,4,950,179.3,2.5,0.216,,,,,, +2008,1,1,19,30,0,0,0,-9,3,950,189.1,2.2,0.216,,,,,, +2008,1,1,20,30,0,0,0,-9,3,950,201.7,2,0.216,,,,,, +2008,1,1,21,30,0,0,0,-8,3,950,205.1,1.9,0.216,,,,,, +2008,1,1,22,30,0,0,0,-8,2,950,203.9,1.9,0.216,,,,,, +2008,1,1,23,30,0,0,0,-8,2,950,203.6,2,0.216,,,,,, +2008,1,2,0,30,0,0,0,-8,2,950,202.6,2,0.216,,,,,, +2008,1,2,1,30,0,0,0,-8,2,950,199.1,2,0.216,,,,,, +2008,1,2,2,30,0,0,0,-8,2,950,197.2,2,0.216,,,,,, +2008,1,2,3,30,0,0,0,-8,2,950,198.5,2,0.216,,,,,, +2008,1,2,4,30,0,0,0,-8,1,950,201.3,2,0.216,,,,,, +2008,1,2,5,30,0,0,0,-9,1,950,201.5,2,0.216,,,,,, +2008,1,2,6,30,0,0,0,-9,1,950,201.9,2,0.216,,,,,, +2008,1,2,7,30,289,31,59,-8,3,950,204,2.5,0.216,,,,,, +2008,1,2,8,30,262,97,166,-9,5,950,206.2,3.2,0.216,,,,,, +2008,1,2,9,30,860,65,405,-10,8,950,212.2,3.2,0.216,,,,,, +2008,1,2,10,30,888,80,512,-11,11,950,244.1,3.2,0.216,,,,,, +2008,1,2,11,30,909,84,565,-11,13,950,268.7,3,0.216,,,,,, +2008,1,2,12,30,712,120,492,-11,14,950,276.8,2.3,0.216,,,,,, +2008,1,2,13,30,512,154,392,-10,13,950,276.1,1.8,0.216,,,,,, +2008,1,2,14,30,207,150,224,-8,12,950,269.6,1.2,0.216,,,,,, +2008,1,2,15,30,357,69,146,-2,9,950,259.6,0.7,0.216,,,,,, +2008,1,2,16,30,0,20,20,-3,7,950,238.7,0.8,0.216,,,,,, +2008,1,2,17,30,0,0,0,-4,7,950,226.9,0.9,0.216,,,,,, +2008,1,2,18,30,0,0,0,-4,6,950,218.8,1,0.216,,,,,, +2008,1,2,19,30,0,0,0,-4,6,950,217.5,1.1,0.216,,,,,, +2008,1,2,20,30,0,0,0,-5,6,950,221.7,1.2,0.216,,,,,, +2008,1,2,21,30,0,0,0,-5,6,950,219.5,1.2,0.216,,,,,, +2008,1,2,22,30,0,0,0,-5,6,950,225.8,1.3,0.216,,,,,, +2008,1,2,23,30,0,0,0,-5,6,950,238.6,1.2,0.216,,,,,, +2008,1,3,0,30,0,0,0,-5,6,950,240.5,1.2,0.216,,,,,, +2008,1,3,1,30,0,0,0,-5,5,950,241.9,1.3,0.216,,,,,, +2008,1,3,2,30,0,0,0,-5,5,950,247.8,1.3,0.216,,,,,, +2008,1,3,3,30,0,0,0,-6,5,950,253.8,1.3,0.216,,,,,, +2008,1,3,4,30,0,0,0,-6,4,950,259.5,1.3,0.216,,,,,, +2008,1,3,5,30,0,0,0,-6,4,950,262.3,1.3,0.216,,,,,, +2008,1,3,6,30,0,0,0,-6,4,950,262.1,1.2,0.216,,,,,, +2008,1,3,7,30,348,32,65,-5,5,950,263.2,1.4,0.216,,,,,, +2008,1,3,8,30,108,105,133,-3,7,950,257.5,1.8,0.216,,,,,, +2008,1,3,9,30,151,172,232,-4,8,950,281.5,1.8,0.216,,,,,, +2008,1,3,10,30,28,193,207,-5,10,950,311,1.5,0.216,,,,,, +2008,1,3,11,30,0,147,147,-5,11,950,332.9,1.1,0.216,,,,,, +2008,1,3,12,30,50,224,250,-5,11,940,353.4,1,0.216,,,,,, +2008,1,3,13,30,28,186,199,-2,10,940,14.7,0.7,0.216,,,,,, +2008,1,3,14,30,0,70,70,0,9,940,18.3,0.4,0.216,,,,,, +2008,1,3,15,30,0,65,65,0,8,940,359.4,0.2,0.216,,,,,, +2008,1,3,16,30,0,9,9,-1,7,940,15.7,0.2,0.216,,,,,, +2008,1,3,17,30,0,0,0,-1,7,940,37.8,0.3,0.216,,,,,, +2008,1,3,18,30,0,0,0,-1,6,940,57.3,0.3,0.216,,,,,, +2008,1,3,19,30,0,0,0,-1,5,940,74.5,0.1,0.216,,,,,, +2008,1,3,20,30,0,0,0,-1,4,940,19.3,0.2,0.216,,,,,, +2008,1,3,21,30,0,0,0,0,3,940,346.7,0.5,0.216,,,,,, +2008,1,3,22,30,0,0,0,0,3,940,358.1,0.7,0.216,,,,,, +2008,1,3,23,30,0,0,0,2,3,940,13.6,0.7,0.216,,,,,, +2008,1,4,0,30,0,0,0,2,3,940,29.5,0.7,0.216,,,,,, +2008,1,4,1,30,0,0,0,4,4,940,36.3,0.7,0.216,,,,,, +2008,1,4,2,30,0,0,0,4,4,940,32.4,0.6,0.216,,,,,, +2008,1,4,3,30,0,0,0,4,4,940,11.5,0.6,0.216,,,,,, +2008,1,4,4,30,0,0,0,4,4,940,351.8,0.8,0.216,,,,,, +2008,1,4,5,30,0,0,0,4,4,940,346.5,0.9,0.216,,,,,, +2008,1,4,6,30,0,0,0,4,4,940,351.9,0.9,0.216,,,,,, +2008,1,4,7,30,362,30,64,4,5,940,354.4,1.4,0.216,,,,,, +2008,1,4,8,30,214,101,157,4,8,940,355.3,2.2,0.216,,,,,, +2008,1,4,9,30,400,144,302,4,10,940,22.2,2.9,0.216,,,,,, +2008,1,4,10,30,201,221,320,4,11,940,27.4,3.5,0.216,,,,,, +2008,1,4,11,30,60,233,266,4,12,940,27.9,3.7,0.216,,,,,, +2008,1,4,12,30,511,183,452,5,11,940,20.1,3.6,0.216,,,,,, +2008,1,4,13,30,0,62,62,5,10,940,19,2.9,0.216,,,,,, +2008,1,4,14,30,0,31,31,6,9,940,27.1,1.8,0.216,,,,,, +2008,1,4,15,30,27,82,88,6,8,940,34.5,1.1,0.216,,,,,, +2008,1,4,16,30,0,12,12,6,7,940,33.7,1.1,0.216,,,,,, +2008,1,4,17,30,0,0,0,6,7,940,26.9,1.2,0.216,,,,,, +2008,1,4,18,30,0,0,0,6,7,940,19.8,1.5,0.216,,,,,, +2008,1,4,19,30,0,0,0,6,7,940,21.2,1.7,0.216,,,,,, +2008,1,4,20,30,0,0,0,6,7,940,22.4,1.8,0.216,,,,,, +2008,1,4,21,30,0,0,0,6,7,940,32.9,1.9,0.216,,,,,, +2008,1,4,22,30,0,0,0,6,8,940,33.6,1.9,0.216,,,,,, +2008,1,4,23,30,0,0,0,7,8,940,35.4,2.1,0.216,,,,,, +2008,1,5,0,30,0,0,0,8,9,940,30.2,2.3,0.216,,,,,, +2008,1,5,1,30,0,0,0,8,9,940,23.9,2.5,0.216,,,,,, +2008,1,5,2,30,0,0,0,9,9,940,15.8,2.5,0.216,,,,,, +2008,1,5,3,30,0,0,0,8,8,940,10.9,2.6,0.216,,,,,, +2008,1,5,4,30,0,0,0,7,7,940,11.5,2.6,0.216,,,,,, +2008,1,5,5,30,0,0,0,6,6,940,8.6,2.6,0.216,,,,,, +2008,1,5,6,30,0,0,0,6,6,940,6.6,3,0.216,,,,,, +2008,1,5,7,30,0,9,9,6,7,940,6.5,3.7,0.216,,,,,, +2008,1,5,8,30,0,14,14,6,8,940,4.9,4.4,0.216,,,,,, +2008,1,5,9,30,9,142,146,6,8,940,11,5.3,0.216,,,,,, +2008,1,5,10,30,0,48,48,6,9,940,21,6.2,0.216,,,,,, +2008,1,5,11,30,0,147,147,6,10,940,27,6.7,0.216,,,,,, +2008,1,5,12,30,0,111,111,5,10,940,31.7,6.5,0.216,,,,,, +2008,1,5,13,30,2,155,156,5,10,940,34.5,5.4,0.216,,,,,, +2008,1,5,14,30,0,28,28,5,9,940,35.5,4,0.216,,,,,, +2008,1,5,15,30,174,85,124,5,8,940,35.7,3,0.216,,,,,, +2008,1,5,16,30,0,20,20,5,7,940,38.5,2.6,0.216,,,,,, +2008,1,5,17,30,0,0,0,5,6,940,42,2.4,0.216,,,,,, +2008,1,5,18,30,0,0,0,5,6,940,44.6,2.3,0.216,,,,,, +2008,1,5,19,30,0,0,0,5,6,940,48.5,2.4,0.216,,,,,, +2008,1,5,20,30,0,0,0,4,5,940,54,2.3,0.216,,,,,, +2008,1,5,21,30,0,0,0,4,5,940,56.3,2.1,0.216,,,,,, +2008,1,5,22,30,0,0,0,4,5,940,55.1,2,0.216,,,,,, +2008,1,5,23,30,0,0,0,4,5,940,53.6,1.9,0.216,,,,,, +2008,1,6,0,30,0,0,0,4,5,940,51,1.8,0.216,,,,,, +2008,1,6,1,30,0,0,0,4,5,940,49.4,1.8,0.216,,,,,, +2008,1,6,2,30,0,0,0,4,5,940,52.5,1.7,0.216,,,,,, +2008,1,6,3,30,0,0,0,4,5,940,55.1,1.6,0.216,,,,,, +2008,1,6,4,30,0,0,0,4,5,940,54.3,1.5,0.216,,,,,, +2008,1,6,5,30,0,0,0,4,5,940,52.2,1.5,0.216,,,,,, +2008,1,6,6,30,0,0,0,4,5,940,48,1.6,0.216,,,,,, +2008,1,6,7,30,0,30,30,4,5,940,43.2,2.4,0.216,,,,,, +2008,1,6,8,30,719,53,242,4,6,940,37.6,3.6,0.216,,,,,, +2008,1,6,9,30,115,173,219,3,8,940,47.2,4.5,0.216,,,,,, +2008,1,6,10,30,807,84,480,2,9,940,55.1,5.2,0.216,,,,,, +2008,1,6,11,30,655,145,497,2,9,940,58.1,5.7,0.216,,,,,, +2008,1,6,12,30,565,170,470,1,9,940,58.5,5.9,0.216,,,,,, +2008,1,6,13,30,791,88,462,1,9,940,62,5.8,0.216,,,,,, +2008,1,6,14,30,469,123,296,1,8,940,65.9,4.8,0.216,,,,,, +2008,1,6,15,30,0,7,7,0,7,940,67.8,3.1,0.216,,,,,, +2008,1,6,16,30,0,33,33,0,5,940,68.5,2.2,0.216,,,,,, +2008,1,6,17,30,0,0,0,1,4,940,72.7,1.9,0.216,,,,,, +2008,1,6,18,30,0,0,0,1,4,940,75.4,1.7,0.216,,,,,, +2008,1,6,19,30,0,0,0,2,3,940,69.4,1.6,0.216,,,,,, +2008,1,6,20,30,0,0,0,2,3,940,59.4,1.6,0.216,,,,,, +2008,1,6,21,30,0,0,0,2,3,940,50.2,1.6,0.216,,,,,, +2008,1,6,22,30,0,0,0,2,2,940,41.6,1.5,0.216,,,,,, +2008,1,6,23,30,0,0,0,1,1,940,26.6,1.4,0.216,,,,,, +2008,1,7,0,30,0,0,0,1,1,930,8.2,1.3,0.216,,,,,, +2008,1,7,1,30,0,0,0,1,1,930,1,1.1,0.216,,,,,, +2008,1,7,2,30,0,0,0,1,1,930,12.4,0.9,0.216,,,,,, +2008,1,7,3,30,0,0,0,1,1,940,59.9,1,0.216,,,,,, +2008,1,7,4,30,0,0,0,1,1,940,130.5,1.5,0.216,,,,,, +2008,1,7,5,30,0,0,0,0,0,940,156.8,2.4,0.216,,,,,, +2008,1,7,6,30,0,0,0,0,0,940,159.7,3.2,0.216,,,,,, +2008,1,7,7,30,0,21,21,0,1,940,158.3,3.6,0.216,,,,,, +2008,1,7,8,30,0,44,44,1,4,940,157.9,3.7,0.216,,,,,, +2008,1,7,9,30,862,65,408,1,6,940,154.3,3.7,0.216,,,,,, +2008,1,7,10,30,507,174,424,1,7,940,147.7,3.8,0.216,,,,,, +2008,1,7,11,30,909,87,576,0,9,940,144.2,3.6,0.216,,,,,, +2008,1,7,12,30,917,83,571,0,10,940,142.4,3.2,0.216,,,,,, +2008,1,7,13,30,891,77,500,-1,10,940,141.2,2.7,0.216,,,,,, +2008,1,7,14,30,487,118,299,-1,9,940,134.8,2,0.216,,,,,, +2008,1,7,15,30,311,78,149,0,7,940,128.6,1.1,0.216,,,,,, +2008,1,7,16,30,0,13,13,0,5,940,120.3,0.6,0.216,,,,,, +2008,1,7,17,30,0,0,0,0,3,940,120.2,0.5,0.216,,,,,, +2008,1,7,18,30,0,0,0,0,2,940,126.9,0.6,0.216,,,,,, +2008,1,7,19,30,0,0,0,0,1,950,133.1,0.8,0.216,,,,,, +2008,1,7,20,30,0,0,0,0,1,950,136.2,1.1,0.216,,,,,, +2008,1,7,21,30,0,0,0,0,1,950,137.1,1.2,0.216,,,,,, +2008,1,7,22,30,0,0,0,0,1,950,142.2,1.3,0.216,,,,,, +2008,1,7,23,30,0,0,0,0,0,950,151.3,1.3,0.216,,,,,, +2008,1,8,0,30,0,0,0,0,0,950,158.6,1.2,0.216,,,,,, +2008,1,8,1,30,0,0,0,0,0,950,166.8,1.1,0.216,,,,,, +2008,1,8,2,30,0,0,0,0,0,950,161,1.2,0.216,,,,,, +2008,1,8,3,30,0,0,0,0,0,950,160.5,1.3,0.216,,,,,, +2008,1,8,4,30,0,0,0,0,1,950,192.6,1.3,0.216,,,,,, +2008,1,8,5,30,0,0,0,0,1,950,212.5,1.2,0.216,,,,,, +2008,1,8,6,30,0,0,0,0,1,950,224.8,1.1,0.216,,,,,, +2008,1,8,7,30,366,31,65,0,2,950,237.5,1.3,0.216,,,,,, +2008,1,8,8,30,674,60,237,0,4,950,243.5,1.6,0.216,,,,,, +2008,1,8,9,30,526,122,332,0,6,950,275.5,1.6,0.216,,,,,, +2008,1,8,10,30,902,75,520,0,9,950,323.6,1.6,0.216,,,,,, +2008,1,8,11,30,17,201,210,-2,10,950,357.9,1.4,0.216,,,,,, +2008,1,8,12,30,175,249,343,-2,11,950,11.2,1.1,0.216,,,,,, +2008,1,8,13,30,529,156,409,-3,10,950,2.4,0.8,0.216,,,,,, +2008,1,8,14,30,239,158,248,-1,9,950,1.2,0.5,0.216,,,,,, +2008,1,8,15,30,54,90,103,1,8,950,322.2,0.4,0.216,,,,,, +2008,1,8,16,30,0,13,13,0,6,950,275.5,0.5,0.215,,,,,, +2008,1,8,17,30,0,0,0,0,4,950,268.3,0.7,0.215,,,,,, +2008,1,8,18,30,0,0,0,0,4,950,274.8,0.7,0.215,,,,,, +2008,1,8,19,30,0,0,0,1,3,950,281.8,0.3,0.215,,,,,, +2008,1,8,20,30,0,0,0,1,3,950,256.9,0.3,0.215,,,,,, +2008,1,8,21,30,0,0,0,2,2,950,128.4,0.7,0.215,,,,,, +2008,1,8,22,30,0,0,0,2,2,950,129,0.9,0.215,,,,,, +2008,1,8,23,30,0,0,0,2,2,950,131.8,1,0.215,,,,,, +2008,1,9,0,30,0,0,0,2,2,940,137.8,1.1,0.215,,,,,, +2008,1,9,1,30,0,0,0,2,2,940,142.2,1.1,0.215,,,,,, +2008,1,9,2,30,0,0,0,1,1,940,143.5,1.1,0.215,,,,,, +2008,1,9,3,30,0,0,0,1,1,940,151,1.2,0.215,,,,,, +2008,1,9,4,30,0,0,0,1,1,940,159.2,1.2,0.215,,,,,, +2008,1,9,5,30,0,0,0,0,0,950,162.1,1.2,0.215,,,,,, +2008,1,9,6,30,0,0,0,0,0,950,163.9,1.2,0.215,,,,,, +2008,1,9,7,30,433,29,70,2,2,950,164.1,1.9,0.215,,,,,, +2008,1,9,8,30,732,56,249,3,4,950,163.1,2.9,0.215,,,,,, +2008,1,9,9,30,859,69,412,3,6,950,165.6,3.2,0.215,,,,,, +2008,1,9,10,30,909,78,528,2,8,950,170.8,3.1,0.215,,,,,, +2008,1,9,11,30,936,80,586,2,9,950,167,3,0.215,,,,,, +2008,1,9,12,30,933,78,579,2,10,950,164.4,3,0.215,,,,,, +2008,1,9,13,30,897,76,507,1,10,950,166.9,2.9,0.215,,,,,, +2008,1,9,14,30,823,69,379,1,9,950,173,2.2,0.215,,,,,, +2008,1,9,15,30,332,79,156,2,8,950,182.4,1.2,0.215,,,,,, +2008,1,9,16,30,111,21,28,2,7,950,195.4,0.9,0.215,,,,,, +2008,1,9,17,30,0,0,0,1,6,950,197.3,1,0.215,,,,,, +2008,1,9,18,30,0,0,0,1,5,950,199.2,1,0.215,,,,,, +2008,1,9,19,30,0,0,0,1,4,950,190.5,1.2,0.215,,,,,, +2008,1,9,20,30,0,0,0,1,3,950,175.7,1.3,0.215,,,,,, +2008,1,9,21,30,0,0,0,1,3,950,164.6,1.4,0.215,,,,,, +2008,1,9,22,30,0,0,0,1,3,950,163.8,1.5,0.215,,,,,, +2008,1,9,23,30,0,0,0,1,2,950,163.9,1.6,0.215,,,,,, +2008,1,10,0,30,0,0,0,1,1,950,166.2,1.8,0.215,,,,,, +2008,1,10,1,30,0,0,0,1,1,950,167.5,2.1,0.215,,,,,, +2008,1,10,2,30,0,0,0,1,1,950,167.2,2.3,0.215,,,,,, +2008,1,10,3,30,0,0,0,1,1,950,167.9,2.2,0.215,,,,,, +2008,1,10,4,30,0,0,0,1,1,950,169.2,2.1,0.215,,,,,, +2008,1,10,5,30,0,0,0,1,1,950,169.7,2.1,0.215,,,,,, +2008,1,10,6,30,0,0,0,1,1,950,170.3,2.3,0.215,,,,,, +2008,1,10,7,30,446,26,68,1,3,950,176.5,3,0.215,,,,,, +2008,1,10,8,30,739,48,243,1,6,950,183.8,3.3,0.215,,,,,, +2008,1,10,9,30,858,59,403,1,9,950,195.3,3.3,0.215,,,,,, +2008,1,10,10,30,914,64,518,-1,12,950,201.6,3,0.215,,,,,, +2008,1,10,11,30,935,67,576,-2,13,950,202.7,2.7,0.215,,,,,, +2008,1,10,12,30,931,68,569,-2,14,950,200.6,2.4,0.215,,,,,, +2008,1,10,13,30,888,70,499,-2,14,950,194.2,2.4,0.215,,,,,, +2008,1,10,14,30,823,62,375,-1,13,950,187.1,1.9,0.215,,,,,, +2008,1,10,15,30,685,49,210,0,11,950,182.9,1.3,0.215,,,,,, +2008,1,10,16,30,332,20,41,0,9,950,177.8,1.1,0.215,,,,,, +2008,1,10,17,30,0,0,0,0,8,950,173.8,1.2,0.215,,,,,, +2008,1,10,18,30,0,0,0,0,7,950,170,1.3,0.215,,,,,, +2008,1,10,19,30,0,0,0,-1,7,950,165.2,1.4,0.215,,,,,, +2008,1,10,20,30,0,0,0,-1,7,950,161.8,1.5,0.215,,,,,, +2008,1,10,21,30,0,0,0,-1,6,950,160,1.5,0.215,,,,,, +2008,1,10,22,30,0,0,0,-1,6,950,160.3,1.5,0.215,,,,,, +2008,1,10,23,30,0,0,0,-1,6,950,163.4,1.5,0.215,,,,,, +2008,1,11,0,30,0,0,0,0,5,950,169,1.5,0.215,,,,,, +2008,1,11,1,30,0,0,0,0,4,950,176.4,1.5,0.215,,,,,, +2008,1,11,2,30,0,0,0,0,3,950,182.5,1.5,0.215,,,,,, +2008,1,11,3,30,0,0,0,1,3,950,185.6,1.5,0.215,,,,,, +2008,1,11,4,30,0,0,0,1,3,950,184.7,1.5,0.215,,,,,, +2008,1,11,5,30,0,0,0,2,2,950,182.2,1.6,0.215,,,,,, +2008,1,11,6,30,0,0,0,2,2,950,183.2,1.7,0.215,,,,,, +2008,1,11,7,30,390,30,67,2,4,950,181.1,2.6,0.215,,,,,, +2008,1,11,8,30,704,58,244,2,6,950,176.4,3.3,0.215,,,,,, +2008,1,11,9,30,841,70,408,3,9,950,170.9,3.1,0.215,,,,,, +2008,1,11,10,30,895,79,525,2,12,950,173,3,0.215,,,,,, +2008,1,11,11,30,919,82,584,2,14,950,174,2.8,0.215,,,,,, +2008,1,11,12,30,919,81,578,2,15,940,172.3,2.6,0.215,,,,,, +2008,1,11,13,30,351,199,369,2,15,940,172.3,2.4,0.215,,,,,, +2008,1,11,14,30,835,66,385,2,14,940,173.8,1.6,0.215,,,,,, +2008,1,11,15,30,699,51,218,3,12,940,172.1,0.9,0.215,,,,,, +2008,1,11,16,30,340,22,44,3,9,940,171.5,0.8,0.215,,,,,, +2008,1,11,17,30,0,0,0,2,8,950,170.7,0.9,0.215,,,,,, +2008,1,11,18,30,0,0,0,1,7,950,160.1,1.1,0.215,,,,,, +2008,1,11,19,30,0,0,0,1,7,950,145.8,1.4,0.215,,,,,, +2008,1,11,20,30,0,0,0,1,6,950,139.8,1.5,0.215,,,,,, +2008,1,11,21,30,0,0,0,1,6,950,143,1.5,0.215,,,,,, +2008,1,11,22,30,0,0,0,0,5,950,147.1,1.5,0.215,,,,,, +2008,1,11,23,30,0,0,0,0,5,950,154.2,1.6,0.215,,,,,, +2008,1,12,0,30,0,0,0,0,5,950,164,1.6,0.215,,,,,, +2008,1,12,1,30,0,0,0,0,4,950,171.4,1.6,0.215,,,,,, +2008,1,12,2,30,0,0,0,-1,4,950,177.3,1.6,0.215,,,,,, +2008,1,12,3,30,0,0,0,-2,4,950,181.4,1.6,0.215,,,,,, +2008,1,12,4,30,0,0,0,-2,4,950,186.5,1.7,0.215,,,,,, +2008,1,12,5,30,0,0,0,-3,4,950,192.2,1.8,0.215,,,,,, +2008,1,12,6,30,0,0,0,-4,4,950,195.9,2.2,0.215,,,,,, +2008,1,12,7,30,0,25,25,-3,6,950,200.7,3.2,0.215,,,,,, +2008,1,12,8,30,152,106,147,-1,9,950,204.3,3.5,0.215,,,,,, +2008,1,12,9,30,249,169,270,-3,11,950,213.5,3,0.215,,,,,, +2008,1,12,10,30,566,154,437,-3,14,950,221,2.7,0.215,,,,,, +2008,1,12,11,30,349,233,424,-3,15,950,221.1,2.4,0.215,,,,,, +2008,1,12,12,30,680,137,507,-2,15,950,213.7,2.1,0.215,,,,,, +2008,1,12,13,30,790,87,473,-2,15,950,200.5,1.8,0.215,,,,,, +2008,1,12,14,30,313,152,273,-2,14,950,189.3,1.2,0.215,,,,,, +2008,1,12,15,30,3,86,87,1,11,950,178.4,1.1,0.215,,,,,, +2008,1,12,16,30,339,22,45,0,9,950,172,1.4,0.215,,,,,, +2008,1,12,17,30,0,0,0,-1,7,950,173,1.7,0.215,,,,,, +2008,1,12,18,30,0,0,0,-1,7,950,173.7,2,0.215,,,,,, +2008,1,12,19,30,0,0,0,-2,7,950,171.8,2.2,0.215,,,,,, +2008,1,12,20,30,0,0,0,-2,7,950,167.7,2.3,0.215,,,,,, +2008,1,12,21,30,0,0,0,-3,6,950,163.1,2.5,0.215,,,,,, +2008,1,12,22,30,0,0,0,-3,5,950,160.6,2.7,0.215,,,,,, +2008,1,12,23,30,0,0,0,-3,4,950,160.7,2.9,0.215,,,,,, +2008,1,13,0,30,0,0,0,-4,3,950,161.6,3.1,0.215,,,,,, +2008,1,13,1,30,0,0,0,-4,2,950,163.6,3.3,0.215,,,,,, +2008,1,13,2,30,0,0,0,-4,2,950,165,3.4,0.215,,,,,, +2008,1,13,3,30,0,0,0,-3,2,950,165.2,3.3,0.215,,,,,, +2008,1,13,4,30,0,0,0,-2,1,950,164.5,3.2,0.215,,,,,, +2008,1,13,5,30,0,0,0,-1,1,950,163.9,3.1,0.215,,,,,, +2008,1,13,6,30,0,0,0,-1,1,950,163.6,3.5,0.215,,,,,, +2008,1,13,7,30,472,29,74,-1,4,950,161.1,4.5,0.215,,,,,, +2008,1,13,8,30,771,53,259,-1,8,950,158.4,5.5,0.215,,,,,, +2008,1,13,9,30,888,66,426,-1,11,950,169.9,6.2,0.215,,,,,, +2008,1,13,10,30,937,75,545,-3,12,950,177.4,6.4,0.215,,,,,, +2008,1,13,11,30,958,79,606,-5,14,950,176.2,6.5,0.215,,,,,, +2008,1,13,12,30,957,78,601,-6,15,950,175.4,7,0.215,,,,,, +2008,1,13,13,30,933,74,532,-7,15,950,178.9,7.2,0.215,,,,,, +2008,1,13,14,30,871,66,404,-7,14,950,180.4,6.4,0.215,,,,,, +2008,1,13,15,30,741,51,233,-5,10,950,180.3,4.6,0.215,,,,,, +2008,1,13,16,30,394,23,51,-2,7,950,180,3.2,0.215,,,,,, +2008,1,13,17,30,0,0,0,-4,6,950,179.9,3,0.215,,,,,, +2008,1,13,18,30,0,0,0,-5,6,950,177.8,3.1,0.215,,,,,, +2008,1,13,19,30,0,0,0,-5,5,950,177.5,3.3,0.215,,,,,, +2008,1,13,20,30,0,0,0,-5,4,950,178.4,3.6,0.215,,,,,, +2008,1,13,21,30,0,0,0,-5,3,950,176.8,4,0.215,,,,,, +2008,1,13,22,30,0,0,0,-6,3,950,175.5,4,0.215,,,,,, +2008,1,13,23,30,0,0,0,-6,2,950,176.9,3.7,0.215,,,,,, +2008,1,14,0,30,0,0,0,-6,2,950,179.7,3.4,0.215,,,,,, +2008,1,14,1,30,0,0,0,-6,2,950,178.6,3.3,0.215,,,,,, +2008,1,14,2,30,0,0,0,-6,1,950,175.9,3.3,0.215,,,,,, +2008,1,14,3,30,0,0,0,-6,0,950,176.5,3.3,0.215,,,,,, +2008,1,14,4,30,0,0,0,-6,0,950,176,3.6,0.215,,,,,, +2008,1,14,5,30,0,0,0,-6,0,950,176.3,4,0.215,,,,,, +2008,1,14,6,30,0,0,0,-6,0,950,174.5,4.7,0.215,,,,,, +2008,1,14,7,30,519,27,78,-5,3,950,173.7,5.4,0.215,,,,,, +2008,1,14,8,30,808,50,266,-5,7,950,172.2,5.8,0.215,,,,,, +2008,1,14,9,30,920,62,436,-6,10,950,183.8,6.1,0.215,,,,,, +2008,1,14,10,30,961,73,557,-7,12,950,193.8,6.3,0.215,,,,,, +2008,1,14,11,30,978,78,618,-7,13,950,192.7,6.3,0.215,,,,,, +2008,1,14,12,30,974,78,613,-8,14,950,191.1,6.3,0.215,,,,,, +2008,1,14,13,30,934,78,540,-8,14,950,190.7,6.1,0.215,,,,,, +2008,1,14,14,30,864,71,409,-8,13,950,189,5.3,0.215,,,,,, +2008,1,14,15,30,722,56,235,-2,10,950,185.5,3.7,0.215,,,,,, +2008,1,14,16,30,385,25,53,-3,8,950,178.6,2.7,0.215,,,,,, +2008,1,14,17,30,0,0,0,-5,7,950,173.4,2.7,0.215,,,,,, +2008,1,14,18,30,0,0,0,-6,7,950,168.5,2.9,0.215,,,,,, +2008,1,14,19,30,0,0,0,-7,7,950,164.5,3,0.215,,,,,, +2008,1,14,20,30,0,0,0,-7,6,950,165.3,2.9,0.215,,,,,, +2008,1,14,21,30,0,0,0,-7,6,950,167.9,2.8,0.215,,,,,, +2008,1,14,22,30,0,0,0,-7,6,950,171.7,2.5,0.215,,,,,, +2008,1,14,23,30,0,0,0,-7,5,950,177.9,2.2,0.215,,,,,, +2008,1,15,0,30,0,0,0,-7,5,950,182.2,1.9,0.215,,,,,, +2008,1,15,1,30,0,0,0,-8,5,950,187.9,1.8,0.215,,,,,, +2008,1,15,2,30,0,0,0,-8,5,950,189.2,1.7,0.215,,,,,, +2008,1,15,3,30,0,0,0,-8,4,950,189.6,1.6,0.215,,,,,, +2008,1,15,4,30,0,0,0,-8,4,950,192.2,1.4,0.215,,,,,, +2008,1,15,5,30,0,0,0,-8,4,950,191.8,1.3,0.215,,,,,, +2008,1,15,6,30,0,0,0,-8,4,950,189.1,1.2,0.215,,,,,, +2008,1,15,7,30,446,29,73,-6,5,950,186,1.5,0.215,,,,,, +2008,1,15,8,30,743,55,255,-4,8,950,183.3,1.6,0.215,,,,,, +2008,1,15,9,30,863,69,421,-6,11,950,183.5,0.9,0.215,,,,,, +2008,1,15,10,30,923,76,543,-7,13,950,144.5,0.8,0.215,,,,,, +2008,1,15,11,30,947,81,606,-7,14,950,115.9,1.1,0.215,,,,,, +2008,1,15,12,30,949,80,604,-6,15,940,127.6,1.3,0.215,,,,,, +2008,1,15,13,30,925,75,535,-6,15,940,132.6,1.3,0.215,,,,,, +2008,1,15,14,30,865,66,408,-6,14,940,135.1,1,0.215,,,,,, +2008,1,15,15,30,730,52,236,-2,11,940,143.6,0.6,0.215,,,,,, +2008,1,15,16,30,383,24,54,-1,8,940,155.7,0.4,0.215,,,,,, +2008,1,15,17,30,0,0,0,-4,6,940,128.7,0.4,0.215,,,,,, +2008,1,15,18,30,0,0,0,-4,5,940,93.3,0.8,0.215,,,,,, +2008,1,15,19,30,0,0,0,-4,4,940,107.4,1.3,0.215,,,,,, +2008,1,15,20,30,0,0,0,-5,3,940,118.5,1.5,0.215,,,,,, +2008,1,15,21,30,0,0,0,-6,3,940,126.6,1.7,0.215,,,,,, +2008,1,15,22,30,0,0,0,-8,2,940,137.9,2,0.215,,,,,, +2008,1,15,23,30,0,0,0,-9,1,940,153.5,2.4,0.215,,,,,, +2008,1,16,0,30,0,0,0,-9,0,940,162.7,3,0.215,,,,,, +2008,1,16,1,30,0,0,0,-10,0,940,163.9,3.6,0.215,,,,,, +2008,1,16,2,30,0,0,0,-10,0,940,163.4,4.4,0.215,,,,,, +2008,1,16,3,30,0,0,0,-10,0,940,162.3,5.2,0.215,,,,,, +2008,1,16,4,30,0,0,0,-10,0,940,164.4,5.3,0.215,,,,,, +2008,1,16,5,30,0,0,0,-10,-1,940,167.3,5.1,0.215,,,,,, +2008,1,16,6,30,0,0,0,-10,0,940,169.4,5.4,0.215,,,,,, +2008,1,16,7,30,48,35,40,-10,1,950,170.7,6,0.215,,,,,, +2008,1,16,8,30,571,66,221,-11,2,950,172.4,6.7,0.215,,,,,, +2008,1,16,9,30,297,171,293,-12,4,950,180.2,7.3,0.215,,,,,, +2008,1,16,10,30,584,152,448,-13,5,950,184.2,7.8,0.215,,,,,, +2008,1,16,11,30,580,174,497,-14,5,940,185.4,8.2,0.215,,,,,, +2008,1,16,12,30,466,210,468,-15,5,940,186.2,8.5,0.215,,,,,, +2008,1,16,13,30,424,191,404,-15,5,940,185.9,8.7,0.215,,,,,, +2008,1,16,14,30,694,84,360,-15,5,940,184,8.5,0.215,,,,,, +2008,1,16,15,30,451,75,190,-14,4,950,181.4,8.1,0.215,,,,,, +2008,1,16,16,30,159,30,43,-13,1,950,180.3,7.7,0.219,,,,,, +2008,1,16,17,30,0,0,0,-12,0,950,180.4,7.6,0.219,,,,,, +2008,1,16,18,30,0,0,0,-11,0,950,181,7.7,0.219,,,,,, +2008,1,16,19,30,0,0,0,-12,-1,950,181.2,7.8,0.219,,,,,, +2008,1,16,20,30,0,0,0,-12,-1,950,181.3,7.7,0.219,,,,,, +2008,1,16,21,30,0,0,0,-13,-2,950,179.9,7.3,0.219,,,,,, +2008,1,16,22,30,0,0,0,-13,-3,950,177.8,6.6,0.219,,,,,, +2008,1,16,23,30,0,0,0,-14,-3,950,173.2,5.8,0.219,,,,,, +2008,1,17,0,30,0,0,0,-14,-3,950,169.4,5.5,0.219,,,,,, +2008,1,17,1,30,0,0,0,-14,-3,950,167.3,5.6,0.219,,,,,, +2008,1,17,2,30,0,0,0,-15,-3,950,165.9,5.6,0.219,,,,,, +2008,1,17,3,30,0,0,0,-15,-3,950,165.3,5.4,0.219,,,,,, +2008,1,17,4,30,0,0,0,-15,-3,950,164.4,5.3,0.219,,,,,, +2008,1,17,5,30,0,0,0,-15,-3,950,164.7,5.2,0.219,,,,,, +2008,1,17,6,30,0,0,0,-14,-2,950,165.5,5.4,0.219,,,,,, +2008,1,17,7,30,488,29,78,-14,0,950,167.8,6.1,0.219,,,,,, +2008,1,17,8,30,257,103,173,-15,2,950,174.1,6.8,0.219,,,,,, +2008,1,17,9,30,889,66,432,-15,3,950,185.2,7.2,0.219,,,,,, +2008,1,17,10,30,937,74,552,-14,4,950,187.2,7.3,0.219,,,,,, +2008,1,17,11,30,957,78,614,-13,5,950,185.7,7.4,0.219,,,,,, +2008,1,17,12,30,953,79,610,-12,6,950,183.5,7.3,0.219,,,,,, +2008,1,17,13,30,909,81,539,-11,7,950,181.9,6.9,0.219,,,,,, +2008,1,17,14,30,844,73,411,-10,7,950,180.1,6.1,0.219,,,,,, +2008,1,17,15,30,709,58,240,-9,5,950,179.4,4.1,0.219,,,,,, +2008,1,17,16,30,389,27,59,-6,2,950,178.6,2.5,0.219,,,,,, +2008,1,17,17,30,0,0,0,-8,1,950,176.4,2.3,0.219,,,,,, +2008,1,17,18,30,0,0,0,-8,1,950,173,2.5,0.219,,,,,, +2008,1,17,19,30,0,0,0,-8,1,950,167.6,2.8,0.219,,,,,, +2008,1,17,20,30,0,0,0,-8,0,950,162.9,3.1,0.219,,,,,, +2008,1,17,21,30,0,0,0,-9,0,950,160.7,3.4,0.219,,,,,, +2008,1,17,22,30,0,0,0,-8,0,950,160,3.7,0.219,,,,,, +2008,1,17,23,30,0,0,0,-8,-1,950,159.4,3.8,0.219,,,,,, +2008,1,18,0,30,0,0,0,-8,-1,950,158.6,3.7,0.219,,,,,, +2008,1,18,1,30,0,0,0,-8,-1,950,159,3.5,0.219,,,,,, +2008,1,18,2,30,0,0,0,-8,-1,950,161.2,3.2,0.219,,,,,, +2008,1,18,3,30,0,0,0,-8,-1,950,163,3,0.219,,,,,, +2008,1,18,4,30,0,0,0,-8,-1,950,163.8,2.9,0.219,,,,,, +2008,1,18,5,30,0,0,0,-8,-1,950,165.3,2.9,0.219,,,,,, +2008,1,18,6,30,0,0,0,-8,0,950,167.2,3.5,0.219,,,,,, +2008,1,18,7,30,466,29,76,-7,2,950,168.4,4.5,0.219,,,,,, +2008,1,18,8,30,188,108,160,-7,5,950,178.6,5.1,0.219,,,,,, +2008,1,18,9,30,875,66,429,-7,7,950,193.6,5,0.219,,,,,, +2008,1,18,10,30,923,76,549,-6,8,950,196.6,4.8,0.219,,,,,, +2008,1,18,11,30,943,81,611,-6,9,950,197.8,4.7,0.219,,,,,, +2008,1,18,12,30,938,82,608,-5,10,950,198.8,4.7,0.219,,,,,, +2008,1,18,13,30,914,78,542,-5,11,950,198.5,4.6,0.219,,,,,, +2008,1,18,14,30,854,71,416,-5,10,950,196.8,4,0.219,,,,,, +2008,1,18,15,30,725,56,246,-4,7,950,193.4,2.5,0.219,,,,,, +2008,1,18,16,30,407,28,63,-3,4,950,182.9,1.6,0.219,,,,,, +2008,1,18,17,30,0,0,0,-4,3,950,170.9,1.8,0.219,,,,,, +2008,1,18,18,30,0,0,0,-4,3,950,165.1,2.1,0.219,,,,,, +2008,1,18,19,30,0,0,0,-5,2,950,166.4,2.3,0.219,,,,,, +2008,1,18,20,30,0,0,0,-5,2,950,174.7,2.5,0.219,,,,,, +2008,1,18,21,30,0,0,0,-6,1,950,184.4,2.6,0.219,,,,,, +2008,1,18,22,30,0,0,0,-6,0,950,189.9,2.5,0.219,,,,,, +2008,1,18,23,30,0,0,0,-6,0,950,196.7,2.5,0.219,,,,,, +2008,1,19,0,30,0,0,0,-6,0,950,202.5,2.4,0.219,,,,,, +2008,1,19,1,30,0,0,0,-6,0,950,200.6,2.2,0.219,,,,,, +2008,1,19,2,30,0,0,0,-7,0,950,192.9,2.2,0.219,,,,,, +2008,1,19,3,30,0,0,0,-7,0,950,188.1,2.3,0.219,,,,,, +2008,1,19,4,30,0,0,0,-7,-1,950,187,2.3,0.219,,,,,, +2008,1,19,5,30,0,0,0,-7,-1,950,188.7,2.2,0.219,,,,,, +2008,1,19,6,30,0,0,0,-7,0,950,191.4,2.7,0.219,,,,,, +2008,1,19,7,30,484,30,80,-6,2,950,191.1,3.3,0.219,,,,,, +2008,1,19,8,30,780,55,270,-6,5,950,189.4,2.9,0.219,,,,,, +2008,1,19,9,30,899,67,442,-7,8,950,199.8,2.2,0.219,,,,,, +2008,1,19,10,30,943,78,565,-7,10,950,197.9,1.8,0.219,,,,,, +2008,1,19,11,30,967,82,629,-8,11,950,195.3,1.6,0.219,,,,,, +2008,1,19,12,30,967,82,627,-8,12,950,193.2,1.6,0.219,,,,,, +2008,1,19,13,30,933,81,557,-8,12,950,199.7,1.5,0.219,,,,,, +2008,1,19,14,30,872,72,428,-7,11,950,206.1,1.1,0.219,,,,,, +2008,1,19,15,30,743,58,254,-4,9,950,206.2,0.7,0.219,,,,,, +2008,1,19,16,30,428,29,67,-4,7,950,209.1,0.5,0.219,,,,,, +2008,1,19,17,30,0,0,0,-6,6,950,197.7,0.4,0.219,,,,,, +2008,1,19,18,30,0,0,0,-7,6,950,174.9,0.6,0.219,,,,,, +2008,1,19,19,30,0,0,0,-7,5,950,175.6,0.9,0.219,,,,,, +2008,1,19,20,30,0,0,0,-7,4,950,182.7,1.2,0.219,,,,,, +2008,1,19,21,30,0,0,0,-7,3,950,187.4,1.3,0.219,,,,,, +2008,1,19,22,30,0,0,0,-7,2,950,189.5,1.4,0.219,,,,,, +2008,1,19,23,30,0,0,0,-8,1,940,189.8,1.4,0.219,,,,,, +2008,1,20,0,30,0,0,0,-8,0,940,189.4,1.4,0.219,,,,,, +2008,1,20,1,30,0,0,0,-7,0,940,190.1,1.4,0.219,,,,,, +2008,1,20,2,30,0,0,0,-7,0,940,191.1,1.3,0.219,,,,,, +2008,1,20,3,30,0,0,0,-7,0,940,193.1,1.2,0.219,,,,,, +2008,1,20,4,30,0,0,0,-7,0,940,198.2,1.1,0.219,,,,,, +2008,1,20,5,30,0,0,0,-7,0,940,205.4,1,0.219,,,,,, +2008,1,20,6,30,0,0,0,-7,0,940,213.8,0.7,0.219,,,,,, +2008,1,20,7,30,99,38,48,-5,3,940,227.1,0.5,0.219,,,,,, +2008,1,20,8,30,216,109,169,-5,6,940,250.9,0.6,0.219,,,,,, +2008,1,20,9,30,395,158,324,-4,9,940,346.4,1.3,0.219,,,,,, +2008,1,20,10,30,935,78,562,-5,12,940,7,1.9,0.219,,,,,, +2008,1,20,11,30,955,82,625,-5,13,940,22.4,2.1,0.219,,,,,, +2008,1,20,12,30,949,82,620,-5,13,940,28.9,2.4,0.219,,,,,, +2008,1,20,13,30,919,79,550,-5,13,940,27.2,2.6,0.219,,,,,, +2008,1,20,14,30,855,70,422,-4,12,940,21.5,2.3,0.219,,,,,, +2008,1,20,15,30,723,56,250,-3,10,940,14.8,1.6,0.219,,,,,, +2008,1,20,16,30,406,28,66,-2,7,940,1.6,1.4,0.219,,,,,, +2008,1,20,17,30,0,0,0,-1,5,940,1.5,1.6,0.219,,,,,, +2008,1,20,18,30,0,0,0,0,4,940,8.4,1.9,0.219,,,,,, +2008,1,20,19,30,0,0,0,1,3,940,16.9,2.5,0.219,,,,,, +2008,1,20,20,30,0,0,0,2,3,940,25.5,3.1,0.219,,,,,, +2008,1,20,21,30,0,0,0,2,2,940,33.2,3.3,0.219,,,,,, +2008,1,20,22,30,0,0,0,2,2,940,40,3,0.219,,,,,, +2008,1,20,23,30,0,0,0,2,2,940,44.4,2.5,0.219,,,,,, +2008,1,21,0,30,0,0,0,2,2,940,46.9,2.1,0.219,,,,,, +2008,1,21,1,30,0,0,0,2,2,940,49.9,1.8,0.219,,,,,, +2008,1,21,2,30,0,0,0,2,2,940,54.8,1.6,0.219,,,,,, +2008,1,21,3,30,0,0,0,2,2,940,58.8,1.4,0.219,,,,,, +2008,1,21,4,30,0,0,0,2,2,940,62.3,1.2,0.219,,,,,, +2008,1,21,5,30,0,0,0,1,1,940,63.8,1.1,0.219,,,,,, +2008,1,21,6,30,0,0,0,1,1,940,58.6,1.1,0.219,,,,,, +2008,1,21,7,30,3,36,36,0,3,940,40.1,1.3,0.219,,,,,, +2008,1,21,8,30,44,110,122,0,5,940,38.7,1.9,0.219,,,,,, +2008,1,21,9,30,341,166,310,-1,6,940,61.1,2.5,0.219,,,,,, +2008,1,21,10,30,943,76,567,-3,8,940,49.4,3.2,0.219,,,,,, +2008,1,21,11,30,971,77,631,-4,9,940,36.4,4.1,0.219,,,,,, +2008,1,21,12,30,969,76,628,-4,9,940,32.2,4.6,0.219,,,,,, +2008,1,21,13,30,916,82,555,-4,9,940,30.5,4.6,0.219,,,,,, +2008,1,21,14,30,852,73,427,-3,8,940,29.5,4.4,0.219,,,,,, +2008,1,21,15,30,718,59,254,-2,6,940,28.1,3.1,0.219,,,,,, +2008,1,21,16,30,394,31,69,-1,4,940,25.5,1.8,0.219,,,,,, +2008,1,21,17,30,0,0,0,0,2,940,22.7,1.5,0.219,,,,,, +2008,1,21,18,30,0,0,0,0,2,940,22.9,1.4,0.219,,,,,, +2008,1,21,19,30,0,0,0,1,1,940,24.8,1.3,0.219,,,,,, +2008,1,21,20,30,0,0,0,0,0,940,28.3,1.3,0.219,,,,,, +2008,1,21,21,30,0,0,0,0,0,940,33.2,1.3,0.219,,,,,, +2008,1,21,22,30,0,0,0,0,0,940,39.2,1.2,0.219,,,,,, +2008,1,21,23,30,0,0,0,0,0,940,49.2,1.1,0.219,,,,,, +2008,1,22,0,30,0,0,0,0,0,940,63.4,1.1,0.219,,,,,, +2008,1,22,1,30,0,0,0,0,0,940,81.2,1.1,0.219,,,,,, +2008,1,22,2,30,0,0,0,0,0,940,98.7,1.1,0.219,,,,,, +2008,1,22,3,30,0,0,0,0,0,940,122.9,1.1,0.219,,,,,, +2008,1,22,4,30,0,0,0,0,0,940,140,1.1,0.219,,,,,, +2008,1,22,5,30,0,0,0,0,0,950,153.7,1.1,0.219,,,,,, +2008,1,22,6,30,0,0,0,0,0,950,164,1.1,0.219,,,,,, +2008,1,22,7,30,0,19,19,0,1,950,166.7,1.4,0.219,,,,,, +2008,1,22,8,30,0,65,65,0,3,950,163.9,1.2,0.219,,,,,, +2008,1,22,9,30,403,156,327,0,6,950,93,0.8,0.219,,,,,, +2008,1,22,10,30,908,81,556,0,8,950,58.1,0.9,0.219,,,,,, +2008,1,22,11,30,934,84,621,0,9,950,63,0.8,0.219,,,,,, +2008,1,22,12,30,937,83,620,-1,9,950,68,0.6,0.219,,,,,, +2008,1,22,13,30,906,81,552,-1,10,940,66.3,0.7,0.219,,,,,, +2008,1,22,14,30,844,73,426,-1,9,940,61.7,0.8,0.219,,,,,, +2008,1,22,15,30,714,59,256,-1,8,940,69.1,0.6,0.219,,,,,, +2008,1,22,16,30,394,32,72,0,6,940,88,0.4,0.219,,,,,, +2008,1,22,17,30,0,0,0,0,4,950,103.4,0.3,0.219,,,,,, +2008,1,22,18,30,0,0,0,0,3,950,135.6,0.4,0.219,,,,,, +2008,1,22,19,30,0,0,0,-1,3,950,165.4,0.5,0.219,,,,,, +2008,1,22,20,30,0,0,0,-1,3,950,172.1,0.6,0.219,,,,,, +2008,1,22,21,30,0,0,0,-1,3,950,163.4,0.7,0.219,,,,,, +2008,1,22,22,30,0,0,0,-2,2,950,159.7,0.9,0.219,,,,,, +2008,1,22,23,30,0,0,0,-2,2,940,168.1,1.1,0.219,,,,,, +2008,1,23,0,30,0,0,0,-2,2,940,177.1,1.2,0.219,,,,,, +2008,1,23,1,30,0,0,0,-2,2,940,186.3,1.3,0.219,,,,,, +2008,1,23,2,30,0,0,0,-2,1,940,193.7,1.3,0.219,,,,,, +2008,1,23,3,30,0,0,0,-2,1,940,200.9,1.3,0.219,,,,,, +2008,1,23,4,30,0,0,0,-2,0,940,205.2,1.3,0.219,,,,,, +2008,1,23,5,30,0,0,0,-2,0,940,206.4,1.2,0.219,,,,,, +2008,1,23,6,30,0,0,0,-2,0,940,204.2,1.2,0.219,,,,,, +2008,1,23,7,30,468,31,82,-2,2,940,207.4,1.7,0.219,,,,,, +2008,1,23,8,30,149,117,159,-1,5,940,211.5,1.6,0.219,,,,,, +2008,1,23,9,30,102,186,230,-1,8,940,247.7,1.4,0.219,,,,,, +2008,1,23,10,30,598,154,469,-1,9,940,323.2,1.9,0.219,,,,,, +2008,1,23,11,30,916,91,620,-1,10,940,341.6,2.4,0.219,,,,,, +2008,1,23,12,30,916,90,619,-1,11,940,352.3,2.7,0.219,,,,,, +2008,1,23,13,30,870,93,549,-1,11,940,355.4,2.9,0.219,,,,,, +2008,1,23,14,30,452,147,338,-1,10,940,356.7,2.8,0.219,,,,,, +2008,1,23,15,30,247,107,176,0,8,940,1,1.9,0.219,,,,,, +2008,1,23,16,30,0,27,27,0,6,940,8.5,1.2,0.219,,,,,, +2008,1,23,17,30,0,0,0,0,4,940,10.1,1.3,0.219,,,,,, +2008,1,23,18,30,0,0,0,0,4,940,2.8,1.4,0.219,,,,,, +2008,1,23,19,30,0,0,0,0,4,940,351.5,1.8,0.219,,,,,, +2008,1,23,20,30,0,0,0,1,4,940,346.5,2.4,0.219,,,,,, +2008,1,23,21,30,0,0,0,1,3,940,344,2.9,0.219,,,,,, +2008,1,23,22,30,0,0,0,2,3,940,343.9,3.3,0.219,,,,,, +2008,1,23,23,30,0,0,0,2,2,940,345.3,3,0.219,,,,,, +2008,1,24,0,30,0,0,0,2,2,940,344.5,2.5,0.219,,,,,, +2008,1,24,1,30,0,0,0,1,1,940,350.6,2.4,0.219,,,,,, +2008,1,24,2,30,0,0,0,0,0,940,2.2,2,0.219,,,,,, +2008,1,24,3,30,0,0,0,0,0,940,7.9,1.5,0.219,,,,,, +2008,1,24,4,30,0,0,0,0,0,940,13.4,1.3,0.219,,,,,, +2008,1,24,5,30,0,0,0,0,0,940,21.3,1.5,0.219,,,,,, +2008,1,24,6,30,0,0,0,0,0,940,35.3,1.8,0.219,,,,,, +2008,1,24,7,30,461,33,84,0,2,940,52.8,2.2,0.219,,,,,, +2008,1,24,8,30,748,58,272,0,4,940,67.2,2.8,0.219,,,,,, +2008,1,24,9,30,876,69,444,0,5,940,65.3,3.3,0.219,,,,,, +2008,1,24,10,30,926,77,567,-1,6,940,53.1,3.7,0.219,,,,,, +2008,1,24,11,30,941,83,630,-2,7,940,45.4,4,0.219,,,,,, +2008,1,24,12,30,934,85,627,-2,7,940,41.9,4.2,0.219,,,,,, +2008,1,24,13,30,908,81,560,-2,7,940,39.2,4.1,0.219,,,,,, +2008,1,24,14,30,841,75,433,-2,7,940,36.4,3.7,0.219,,,,,, +2008,1,24,15,30,704,63,262,-1,6,940,33,2.4,0.219,,,,,, +2008,1,24,16,30,395,34,77,0,4,940,26.4,1.2,0.217,,,,,, +2008,1,24,17,30,0,0,0,0,2,940,14.8,1.2,0.217,,,,,, +2008,1,24,18,30,0,0,0,0,2,940,1.9,1.2,0.217,,,,,, +2008,1,24,19,30,0,0,0,0,1,940,356.8,1.3,0.217,,,,,, +2008,1,24,20,30,0,0,0,0,1,940,359.6,1.3,0.217,,,,,, +2008,1,24,21,30,0,0,0,0,1,940,8.1,1.2,0.217,,,,,, +2008,1,24,22,30,0,0,0,1,1,940,17,1.1,0.217,,,,,, +2008,1,24,23,30,0,0,0,1,1,940,21.8,1,0.217,,,,,, +2008,1,25,0,30,0,0,0,1,1,940,20.8,0.8,0.217,,,,,, +2008,1,25,1,30,0,0,0,0,0,940,4.3,0.5,0.217,,,,,, +2008,1,25,2,30,0,0,0,0,0,940,296,0.7,0.217,,,,,, +2008,1,25,3,30,0,0,0,0,0,940,265,1.1,0.217,,,,,, +2008,1,25,4,30,0,0,0,0,0,940,259.9,1.2,0.217,,,,,, +2008,1,25,5,30,0,0,0,0,0,940,259.5,1.2,0.217,,,,,, +2008,1,25,6,30,0,0,0,0,0,950,259.1,1.2,0.217,,,,,, +2008,1,25,7,30,456,33,84,1,2,950,263.9,1.4,0.217,,,,,, +2008,1,25,8,30,222,113,177,2,4,950,262.5,2,0.217,,,,,, +2008,1,25,9,30,852,71,439,2,7,950,302.4,2.9,0.217,,,,,, +2008,1,25,10,30,481,198,454,2,9,950,315,3.2,0.217,,,,,, +2008,1,25,11,30,676,154,549,1,10,950,317.9,3.2,0.217,,,,,, +2008,1,25,12,30,568,190,521,0,10,950,318,3.2,0.217,,,,,, +2008,1,25,13,30,400,212,425,0,11,950,321.3,3.2,0.217,,,,,, +2008,1,25,14,30,560,127,367,0,10,950,327,2.8,0.217,,,,,, +2008,1,25,15,30,742,57,269,0,8,950,326.3,1.7,0.217,,,,,, +2008,1,25,16,30,455,32,82,1,5,950,318.6,1,0.217,,,,,, +2008,1,25,17,30,0,0,0,0,3,950,338.6,1,0.217,,,,,, +2008,1,25,18,30,0,0,0,0,3,950,351.2,0.7,0.217,,,,,, +2008,1,25,19,30,0,0,0,0,2,950,329.1,0.6,0.217,,,,,, +2008,1,25,20,30,0,0,0,0,2,950,273.7,0.9,0.217,,,,,, +2008,1,25,21,30,0,0,0,0,1,950,243.8,1.2,0.217,,,,,, +2008,1,25,22,30,0,0,0,0,1,950,242.3,1.4,0.217,,,,,, +2008,1,25,23,30,0,0,0,0,1,950,249.8,1.4,0.217,,,,,, +2008,1,26,0,30,0,0,0,0,1,950,249.3,1.4,0.217,,,,,, +2008,1,26,1,30,0,0,0,0,1,950,238,1.3,0.217,,,,,, +2008,1,26,2,30,0,0,0,0,0,950,229.2,1.3,0.217,,,,,, +2008,1,26,3,30,0,0,0,0,0,950,224.2,1.2,0.217,,,,,, +2008,1,26,4,30,0,0,0,0,0,950,225.3,1.2,0.217,,,,,, +2008,1,26,5,30,0,0,0,0,0,950,229.7,1.1,0.217,,,,,, +2008,1,26,6,30,0,0,0,0,1,950,230.4,1.1,0.217,,,,,, +2008,1,26,7,30,0,15,15,0,3,950,232.8,1.3,0.217,,,,,, +2008,1,26,8,30,22,109,116,0,6,950,235.8,1.5,0.217,,,,,, +2008,1,26,9,30,411,160,338,1,9,950,260.1,1.8,0.217,,,,,, +2008,1,26,10,30,923,80,574,1,11,950,302.9,2.4,0.217,,,,,, +2008,1,26,11,30,956,80,642,1,12,950,300,2.5,0.217,,,,,, +2008,1,26,12,30,957,79,641,0,13,950,312.8,2.5,0.217,,,,,, +2008,1,26,13,30,910,84,571,0,13,950,320.4,2.5,0.217,,,,,, +2008,1,26,14,30,831,80,440,0,12,940,312.8,2.1,0.217,,,,,, +2008,1,26,15,30,361,100,204,0,10,940,317.3,1.3,0.217,,,,,, +2008,1,26,16,30,78,41,50,1,8,940,324,1,0.217,,,,,, +2008,1,26,17,30,0,0,0,1,7,950,324.6,1.1,0.217,,,,,, +2008,1,26,18,30,0,0,0,2,7,950,331.7,1.2,0.217,,,,,, +2008,1,26,19,30,0,0,0,2,6,950,336.6,1.1,0.217,,,,,, +2008,1,26,20,30,0,0,0,3,6,940,335.3,1.1,0.217,,,,,, +2008,1,26,21,30,0,0,0,4,6,940,321.4,1.1,0.217,,,,,, +2008,1,26,22,30,0,0,0,4,6,940,328.5,1.1,0.217,,,,,, +2008,1,26,23,30,0,0,0,4,6,940,336.2,1.2,0.217,,,,,, +2008,1,27,0,30,0,0,0,4,6,940,321.3,1.4,0.217,,,,,, +2008,1,27,1,30,0,0,0,4,6,940,305.4,1.9,0.217,,,,,, +2008,1,27,2,30,0,0,0,5,6,940,301,2.4,0.217,,,,,, +2008,1,27,3,30,0,0,0,6,6,940,300.2,2.7,0.217,,,,,, +2008,1,27,4,30,0,0,0,6,6,940,301,2.6,0.217,,,,,, +2008,1,27,5,30,0,0,0,6,6,940,302.3,2.7,0.217,,,,,, +2008,1,27,6,30,0,0,0,6,6,940,304.1,3.3,0.217,,,,,, +2008,1,27,7,30,443,33,85,6,7,940,311.3,4.1,0.217,,,,,, +2008,1,27,8,30,707,60,267,7,9,940,326.2,5,0.217,,,,,, +2008,1,27,9,30,844,70,439,8,10,940,337.5,5.6,0.217,,,,,, +2008,1,27,10,30,886,85,562,8,11,940,340.8,5.8,0.217,,,,,, +2008,1,27,11,30,212,281,406,6,12,940,347.5,5.8,0.217,,,,,, +2008,1,27,12,30,954,75,639,4,12,940,358.1,5.6,0.217,,,,,, +2008,1,27,13,30,943,68,576,3,11,940,7.7,5.1,0.217,,,,,, +2008,1,27,14,30,888,62,450,2,10,940,17.2,4.5,0.217,,,,,, +2008,1,27,15,30,489,88,231,3,9,940,25.7,3.4,0.217,,,,,, +2008,1,27,16,30,291,38,73,4,7,940,28.4,2.6,0.217,,,,,, +2008,1,27,17,30,0,0,0,5,7,940,29.5,2.6,0.217,,,,,, +2008,1,27,18,30,0,0,0,6,7,940,35.3,2.6,0.217,,,,,, +2008,1,27,19,30,0,0,0,5,6,940,34.4,2.7,0.217,,,,,, +2008,1,27,20,30,0,0,0,4,5,940,33.2,3,0.217,,,,,, +2008,1,27,21,30,0,0,0,4,4,940,33.5,3.3,0.217,,,,,, +2008,1,27,22,30,0,0,0,3,4,940,32.5,3.4,0.217,,,,,, +2008,1,27,23,30,0,0,0,2,3,940,29.5,3,0.217,,,,,, +2008,1,28,0,30,0,0,0,2,3,940,30.7,2.4,0.217,,,,,, +2008,1,28,1,30,0,0,0,2,3,940,36,1.9,0.217,,,,,, +2008,1,28,2,30,0,0,0,3,4,940,32,1.8,0.217,,,,,, +2008,1,28,3,30,0,0,0,3,4,940,26.4,1.8,0.217,,,,,, +2008,1,28,4,30,0,0,0,4,4,940,21.4,1.9,0.217,,,,,, +2008,1,28,5,30,0,0,0,4,5,940,19.8,2.1,0.217,,,,,, +2008,1,28,6,30,0,0,0,4,5,940,17.4,3.1,0.217,,,,,, +2008,1,28,7,30,419,37,87,3,5,940,14.6,4.7,0.217,,,,,, +2008,1,28,8,30,698,66,273,3,5,940,19.2,6.3,0.217,,,,,, +2008,1,28,9,30,162,196,267,2,6,940,31.1,7.4,0.217,,,,,, +2008,1,28,10,30,0,36,36,1,7,940,38.6,7.9,0.217,,,,,, +2008,1,28,11,30,194,284,400,0,8,940,45.8,8,0.217,,,,,, +2008,1,28,12,30,184,285,394,0,8,940,53.5,7.9,0.217,,,,,, +2008,1,28,13,30,165,260,350,-1,8,940,62,7.8,0.217,,,,,, +2008,1,28,14,30,817,89,448,-1,7,940,71.9,7.5,0.217,,,,,, +2008,1,28,15,30,709,68,279,-1,6,940,84.1,6.4,0.217,,,,,, +2008,1,28,16,30,442,37,91,-1,5,940,94.6,4.5,0.217,,,,,, +2008,1,28,17,30,0,0,0,-1,3,940,101.2,3,0.217,,,,,, +2008,1,28,18,30,0,0,0,0,2,940,108.2,2.3,0.217,,,,,, +2008,1,28,19,30,0,0,0,0,0,940,112.5,2.1,0.217,,,,,, +2008,1,28,20,30,0,0,0,0,0,940,116.5,2.1,0.217,,,,,, +2008,1,28,21,30,0,0,0,-1,0,950,121.2,2,0.217,,,,,, +2008,1,28,22,30,0,0,0,-1,-1,950,123.9,2,0.217,,,,,, +2008,1,28,23,30,0,0,0,-2,-1,950,125.1,2.1,0.217,,,,,, +2008,1,29,0,30,0,0,0,-3,-1,950,126.5,2.3,0.217,,,,,, +2008,1,29,1,30,0,0,0,-4,-1,950,130,2.7,0.217,,,,,, +2008,1,29,2,30,0,0,0,-5,-1,950,135.9,2.9,0.217,,,,,, +2008,1,29,3,30,0,0,0,-6,-1,950,142.4,2.9,0.217,,,,,, +2008,1,29,4,30,0,0,0,-7,-1,950,149.3,2.6,0.217,,,,,, +2008,1,29,5,30,0,0,0,-8,0,950,155.3,2.3,0.217,,,,,, +2008,1,29,6,30,0,0,0,-9,0,950,160.7,2.6,0.217,,,,,, +2008,1,29,7,30,537,32,98,-6,1,950,170.4,3.2,0.217,,,,,, +2008,1,29,8,30,422,96,222,-10,3,950,190.1,2.9,0.217,,,,,, +2008,1,29,9,30,910,64,467,-12,5,950,217.2,1.8,0.217,,,,,, +2008,1,29,10,30,955,72,593,-12,7,950,230.7,0.7,0.217,,,,,, +2008,1,29,11,30,973,77,659,-11,8,950,74.3,0.9,0.217,,,,,, +2008,1,29,12,30,964,80,657,-11,9,950,81,1.7,0.217,,,,,, +2008,1,29,13,30,930,79,587,-10,9,950,86.8,2,0.217,,,,,, +2008,1,29,14,30,872,71,458,-8,9,940,88.1,2,0.217,,,,,, +2008,1,29,15,30,760,57,286,-7,7,940,93,1.2,0.217,,,,,, +2008,1,29,16,30,370,38,84,-3,4,940,103.2,0.3,0.217,,,,,, +2008,1,29,17,30,0,0,0,-3,3,940,102.5,0.2,0.217,,,,,, +2008,1,29,18,30,0,0,0,-2,2,940,315.5,0.4,0.217,,,,,, +2008,1,29,19,30,0,0,0,-2,1,940,357.8,0.8,0.217,,,,,, +2008,1,29,20,30,0,0,0,-1,1,940,40.1,1.1,0.217,,,,,, +2008,1,29,21,30,0,0,0,-1,0,940,54.1,1.2,0.217,,,,,, +2008,1,29,22,30,0,0,0,-1,0,940,59.5,1.4,0.217,,,,,, +2008,1,29,23,30,0,0,0,-1,0,940,64.5,1.5,0.217,,,,,, +2008,1,30,0,30,0,0,0,-1,0,940,69.8,1.6,0.217,,,,,, +2008,1,30,1,30,0,0,0,0,0,940,73.7,1.7,0.217,,,,,, +2008,1,30,2,30,0,0,0,0,0,940,76.6,1.7,0.217,,,,,, +2008,1,30,3,30,0,0,0,0,0,940,79.3,1.7,0.217,,,,,, +2008,1,30,4,30,0,0,0,0,0,940,81.2,1.7,0.217,,,,,, +2008,1,30,5,30,0,0,0,0,0,940,80.6,1.6,0.217,,,,,, +2008,1,30,6,30,0,0,0,0,0,940,80.7,2,0.217,,,,,, +2008,1,30,7,30,510,35,99,0,1,940,85.3,3.4,0.217,,,,,, +2008,1,30,8,30,769,61,293,-2,3,950,110,5.2,0.217,,,,,, +2008,1,30,9,30,886,73,468,-4,6,950,131.5,5.9,0.217,,,,,, +2008,1,30,10,30,929,84,593,-6,7,950,145.7,5.6,0.217,,,,,, +2008,1,30,11,30,951,87,659,-7,8,950,154.3,5.4,0.217,,,,,, +2008,1,30,12,30,949,87,658,-7,9,940,155.4,5.3,0.217,,,,,, +2008,1,30,13,30,915,87,590,-8,9,940,151.8,5.5,0.217,,,,,, +2008,1,30,14,30,86,198,237,-8,9,940,148.7,5.1,0.217,,,,,, +2008,1,30,15,30,76,126,149,-8,7,950,148.2,3.5,0.217,,,,,, +2008,1,30,16,30,102,47,60,-4,4,950,146.5,2,0.217,,,,,, +2008,1,30,17,30,0,0,0,-5,2,950,146.3,1.8,0.217,,,,,, +2008,1,30,18,30,0,0,0,-6,2,950,146.8,1.6,0.217,,,,,, +2008,1,30,19,30,0,0,0,-6,1,950,153.8,1.6,0.217,,,,,, +2008,1,30,20,30,0,0,0,-8,1,950,164.3,1.6,0.217,,,,,, +2008,1,30,21,30,0,0,0,-8,1,950,175.2,1.6,0.217,,,,,, +2008,1,30,22,30,0,0,0,-9,0,950,182.9,1.7,0.217,,,,,, +2008,1,30,23,30,0,0,0,-10,0,950,186.5,1.7,0.217,,,,,, +2008,1,31,0,30,0,0,0,-10,0,950,188.3,1.7,0.217,,,,,, +2008,1,31,1,30,0,0,0,-10,0,950,187.5,1.7,0.217,,,,,, +2008,1,31,2,30,0,0,0,-10,0,950,183.9,1.7,0.217,,,,,, +2008,1,31,3,30,0,0,0,-10,0,950,183.1,1.7,0.217,,,,,, +2008,1,31,4,30,0,0,0,-10,0,950,187.2,1.6,0.217,,,,,, +2008,1,31,5,30,0,0,0,-10,0,950,191.4,1.5,0.217,,,,,, +2008,1,31,6,30,0,0,0,-10,0,950,191.8,1.8,0.217,,,,,, +2008,1,31,7,30,565,31,103,-7,2,950,195.5,2.6,0.217,,,,,, +2008,1,31,8,30,362,106,216,-11,4,950,208.6,3.1,0.217,,,,,, +2008,1,31,9,30,916,61,473,-12,6,950,238.8,3,0.217,,,,,, +2008,1,31,10,30,963,69,600,-12,7,950,246,2.4,0.217,,,,,, +2008,1,31,11,30,982,73,668,-12,8,950,253.5,1.6,0.217,,,,,, +2008,1,31,12,30,980,74,668,-12,9,950,268.6,0.8,0.217,,,,,, +2008,1,31,13,30,951,73,600,-11,10,950,293.5,0.3,0.217,,,,,, +2008,1,31,14,30,454,161,367,-10,10,950,340.4,0.1,0.217,,,,,, +2008,1,31,15,30,484,91,240,-8,8,950,77.2,0.1,0.217,,,,,, +2009,1,31,16,30,507,38,106,0,12,950,185.5,0.7,0.223,,,,,, +2009,1,31,17,30,0,0,0,-2,11,950,176.9,0.7,0.223,,,,,, +2009,1,31,18,30,0,0,0,-3,10,950,154.3,0.9,0.223,,,,,, +2009,1,31,19,30,0,0,0,-3,10,950,141.3,1.2,0.223,,,,,, +2009,1,31,20,30,0,0,0,-4,9,950,138.5,1.4,0.223,,,,,, +2009,1,31,21,30,0,0,0,-4,8,950,140.8,1.5,0.223,,,,,, +2009,1,31,22,30,0,0,0,-4,7,950,145.9,1.5,0.223,,,,,, +2009,1,31,23,30,0,0,0,-4,6,950,152.9,1.5,0.223,,,,,, +2009,2,1,0,30,0,0,0,-4,6,950,159.7,1.5,0.223,,,,,, +2009,2,1,1,30,0,0,0,-4,5,950,163.5,1.5,0.223,,,,,, +2009,2,1,2,30,0,0,0,-4,4,950,166.2,1.5,0.223,,,,,, +2009,2,1,3,30,0,0,0,-4,4,950,168.1,1.7,0.223,,,,,, +2009,2,1,4,30,0,0,0,-4,3,950,169.5,1.8,0.223,,,,,, +2009,2,1,5,30,0,0,0,-5,2,950,170,1.7,0.223,,,,,, +2009,2,1,6,30,0,0,0,-5,3,950,168.9,2.1,0.223,,,,,, +2009,2,1,7,30,173,48,71,-4,7,950,165.4,3.1,0.223,,,,,, +2009,2,1,8,30,816,57,310,-5,10,950,165.5,3.9,0.223,,,,,, +2009,2,1,9,30,930,67,491,-5,12,950,174.5,4.1,0.223,,,,,, +2009,2,1,10,30,968,79,620,-5,15,950,181.1,4.3,0.223,,,,,, +2009,2,1,11,30,983,86,688,-6,16,950,185.5,4.5,0.223,,,,,, +2009,2,1,12,30,976,89,688,-7,17,950,188.6,5,0.223,,,,,, +2009,2,1,13,30,942,90,618,-7,18,950,189.2,5.4,0.223,,,,,, +2009,2,1,14,30,882,84,489,-8,17,950,187,5.1,0.223,,,,,, +2009,2,1,15,30,764,70,310,-6,14,950,183.6,3.6,0.223,,,,,, +2009,2,1,16,30,547,39,114,-1,10,950,176.5,2.5,0.213,,,,,, +2009,2,1,17,30,0,0,0,-3,8,950,171.1,2.8,0.213,,,,,, +2009,2,1,18,30,0,0,0,-4,8,950,168.4,3.1,0.213,,,,,, +2009,2,1,19,30,0,0,0,-5,7,950,168.7,3.4,0.213,,,,,, +2009,2,1,20,30,0,0,0,-5,7,950,176.8,3.5,0.213,,,,,, +2009,2,1,21,30,0,0,0,-6,6,950,187.1,3.2,0.213,,,,,, +2009,2,1,22,30,0,0,0,-6,6,950,189.6,2.8,0.213,,,,,, +2009,2,1,23,30,0,0,0,-7,6,950,187.3,2.5,0.213,,,,,, +2009,2,2,0,30,0,0,0,-7,5,950,188.6,2.4,0.213,,,,,, +2009,2,2,1,30,0,0,0,-7,4,950,189.8,2.4,0.213,,,,,, +2009,2,2,2,30,0,0,0,-7,3,950,188.9,2.4,0.213,,,,,, +2009,2,2,3,30,0,0,0,-7,2,950,189.5,2.4,0.213,,,,,, +2009,2,2,4,30,0,0,0,-7,2,950,189.8,2.3,0.213,,,,,, +2009,2,2,5,30,0,0,0,-8,1,950,188.7,2.2,0.213,,,,,, +2009,2,2,6,30,0,0,0,-8,2,950,186.6,2.9,0.213,,,,,, +2009,2,2,7,30,543,37,110,-5,6,950,185.9,3.7,0.213,,,,,, +2009,2,2,8,30,804,59,311,-7,9,950,183.4,3.7,0.213,,,,,, +2009,2,2,9,30,912,71,490,-8,12,950,191.4,3.7,0.213,,,,,, +2009,2,2,10,30,966,77,620,-9,15,950,199.6,3.6,0.213,,,,,, +2009,2,2,11,30,987,80,688,-10,16,950,206.6,3.3,0.213,,,,,, +2009,2,2,12,30,986,80,689,-10,17,950,212.1,2.9,0.213,,,,,, +2009,2,2,13,30,962,78,621,-10,18,950,215,2.6,0.213,,,,,, +2009,2,2,14,30,911,71,493,-10,17,950,215.5,1.8,0.213,,,,,, +2009,2,2,15,30,806,59,316,-6,15,950,210.9,1,0.213,,,,,, +2009,2,2,16,30,569,37,117,-2,12,950,191.8,1.1,0.213,,,,,, +2009,2,2,17,30,0,0,0,-5,10,950,176.3,1.4,0.213,,,,,, +2009,2,2,18,30,0,0,0,-6,9,950,171.1,1.6,0.213,,,,,, +2009,2,2,19,30,0,0,0,-6,9,950,171.3,1.7,0.213,,,,,, +2009,2,2,20,30,0,0,0,-7,8,950,172.3,1.7,0.213,,,,,, +2009,2,2,21,30,0,0,0,-7,7,950,172.3,1.8,0.213,,,,,, +2009,2,2,22,30,0,0,0,-7,6,950,172,2,0.213,,,,,, +2009,2,2,23,30,0,0,0,-8,6,950,172.5,2.1,0.213,,,,,, +2009,2,3,0,30,0,0,0,-8,6,950,175.2,2.1,0.213,,,,,, +2009,2,3,1,30,0,0,0,-8,5,950,177.2,2.2,0.213,,,,,, +2009,2,3,2,30,0,0,0,-8,4,950,180.5,2.2,0.213,,,,,, +2009,2,3,3,30,0,0,0,-8,3,950,184.1,2.3,0.213,,,,,, +2009,2,3,4,30,0,0,0,-8,3,950,187.4,2.3,0.213,,,,,, +2009,2,3,5,30,0,0,0,-8,3,950,189.8,2.3,0.213,,,,,, +2009,2,3,6,30,0,0,0,-7,4,950,191,2.8,0.213,,,,,, +2009,2,3,7,30,250,48,82,-4,7,950,192,3.5,0.213,,,,,, +2009,2,3,8,30,795,57,309,-6,11,950,193.4,3.6,0.213,,,,,, +2009,2,3,9,30,899,69,485,-5,14,950,205.7,3.1,0.213,,,,,, +2009,2,3,10,30,940,80,612,-5,17,950,214.9,2.4,0.213,,,,,, +2009,2,3,11,30,961,84,680,-5,18,950,227.5,1.7,0.213,,,,,, +2009,2,3,12,30,958,85,681,-4,19,950,241.6,1.3,0.213,,,,,, +2009,2,3,13,30,933,83,614,-4,20,950,244.9,1.2,0.213,,,,,, +2009,2,3,14,30,878,77,487,-4,19,950,244.4,0.8,0.213,,,,,, +2009,2,3,15,30,769,64,312,-1,17,950,243.2,0.4,0.213,,,,,, +2009,2,3,16,30,523,40,116,1,13,950,230.4,0.3,0.213,,,,,, +2009,2,3,17,30,0,0,0,-1,11,950,158.5,0.5,0.213,,,,,, +2009,2,3,18,30,0,0,0,-2,11,950,148.2,1,0.213,,,,,, +2009,2,3,19,30,0,0,0,-2,11,950,154.3,1.4,0.213,,,,,, +2009,2,3,20,30,0,0,0,-3,10,950,162.7,1.6,0.213,,,,,, +2009,2,3,21,30,0,0,0,-4,10,950,172.5,1.7,0.213,,,,,, +2009,2,3,22,30,0,0,0,-4,10,950,182.4,1.7,0.213,,,,,, +2009,2,3,23,30,0,0,0,-4,9,950,194.2,1.7,0.213,,,,,, +2009,2,4,0,30,0,0,0,-5,9,950,204.4,1.6,0.213,,,,,, +2009,2,4,1,30,0,0,0,-5,8,950,210.7,1.5,0.213,,,,,, +2009,2,4,2,30,0,0,0,-5,6,950,212.8,1.5,0.213,,,,,, +2009,2,4,3,30,0,0,0,-5,6,950,212.7,1.4,0.213,,,,,, +2009,2,4,4,30,0,0,0,-5,6,950,213.8,1.4,0.213,,,,,, +2009,2,4,5,30,0,0,0,-5,5,950,214.8,1.4,0.213,,,,,, +2009,2,4,6,30,0,0,0,-4,6,950,215.4,1.2,0.213,,,,,, +2009,2,4,7,30,248,51,86,-2,8,950,221.4,1.5,0.213,,,,,, +2009,2,4,8,30,733,71,306,-2,11,950,225.8,1.5,0.213,,,,,, +2009,2,4,9,30,849,87,483,-3,14,950,271.1,1.9,0.213,,,,,, +2009,2,4,10,30,923,90,616,-4,17,950,310.5,2.8,0.213,,,,,, +2009,2,4,11,30,953,92,687,-5,19,950,323.2,2.9,0.213,,,,,, +2009,2,4,12,30,953,92,688,-6,20,950,329.4,2.6,0.213,,,,,, +2009,2,4,13,30,921,92,620,-7,20,950,332.1,2.4,0.213,,,,,, +2009,2,4,14,30,864,85,492,-7,19,940,337.7,1.7,0.213,,,,,, +2009,2,4,15,30,753,70,316,-3,15,940,346.6,1.1,0.213,,,,,, +2009,2,4,16,30,116,54,71,0,11,940,356.4,1.1,0.213,,,,,, +2009,2,4,17,30,0,0,0,-2,9,950,358.2,1.2,0.213,,,,,, +2009,2,4,18,30,0,0,0,-2,8,950,9.2,1.1,0.213,,,,,, +2009,2,4,19,30,0,0,0,-2,8,950,14.9,0.9,0.213,,,,,, +2009,2,4,20,30,0,0,0,-2,7,950,352.2,0.8,0.213,,,,,, +2009,2,4,21,30,0,0,0,-2,7,950,325.7,0.9,0.213,,,,,, +2009,2,4,22,30,0,0,0,-2,7,950,321,1,0.213,,,,,, +2009,2,4,23,30,0,0,0,-2,8,950,327,0.9,0.213,,,,,, +2009,2,5,0,30,0,0,0,-2,8,950,335.7,0.7,0.213,,,,,, +2009,2,5,1,30,0,0,0,-3,8,940,334.3,0.7,0.213,,,,,, +2009,2,5,2,30,0,0,0,-3,8,940,318.5,0.9,0.213,,,,,, +2009,2,5,3,30,0,0,0,-3,8,940,309.4,1.2,0.213,,,,,, +2009,2,5,4,30,0,0,0,-3,7,940,313.6,1.3,0.213,,,,,, +2009,2,5,5,30,0,0,0,-4,6,940,323.9,1.4,0.213,,,,,, +2009,2,5,6,30,0,0,0,-4,6,940,334.1,1.4,0.213,,,,,, +2009,2,5,7,30,298,46,89,-2,9,940,336.2,1.7,0.213,,,,,, +2009,2,5,8,30,168,133,188,-3,12,940,336.9,2.5,0.213,,,,,, +2009,2,5,9,30,883,78,493,-6,15,940,341.4,3.2,0.213,,,,,, +2009,2,5,10,30,910,94,616,-6,16,940,345.1,4,0.213,,,,,, +2009,2,5,11,30,939,93,684,-6,17,940,353.7,4.8,0.213,,,,,, +2009,2,5,12,30,943,90,683,-5,17,940,3.8,5.3,0.213,,,,,, +2009,2,5,13,30,920,84,615,-3,16,940,10.8,5.4,0.213,,,,,, +2009,2,5,14,30,866,75,487,0,15,940,17.8,4.9,0.213,,,,,, +2009,2,5,15,30,145,138,186,1,12,940,26.4,3.3,0.213,,,,,, +2009,2,5,16,30,200,54,84,3,10,940,35.4,2,0.213,,,,,, +2009,2,5,17,30,0,0,0,4,8,940,45,2.1,0.213,,,,,, +2009,2,5,18,30,0,0,0,5,8,940,54.2,2.6,0.213,,,,,, +2009,2,5,19,30,0,0,0,6,8,940,58.4,2.8,0.213,,,,,, +2009,2,5,20,30,0,0,0,6,7,940,58.5,2.4,0.213,,,,,, +2009,2,5,21,30,0,0,0,6,7,940,58.8,1.9,0.213,,,,,, +2009,2,5,22,30,0,0,0,6,6,940,59.9,1.5,0.213,,,,,, +2009,2,5,23,30,0,0,0,6,6,940,58.7,1.3,0.213,,,,,, +2009,2,6,0,30,0,0,0,6,6,940,51.1,1.3,0.213,,,,,, +2009,2,6,1,30,0,0,0,6,6,940,45.5,1.3,0.213,,,,,, +2009,2,6,2,30,0,0,0,6,6,940,44.7,1.2,0.213,,,,,, +2009,2,6,3,30,0,0,0,6,6,940,46.4,1.2,0.213,,,,,, +2009,2,6,4,30,0,0,0,4,5,940,46,1.3,0.213,,,,,, +2009,2,6,5,30,0,0,0,4,5,940,46.5,1.2,0.213,,,,,, +2009,2,6,6,30,0,0,0,6,6,940,43.3,1.4,0.213,,,,,, +2009,2,6,7,30,520,38,115,6,8,940,33.8,2.3,0.213,,,,,, +2009,2,6,8,30,760,61,309,6,9,940,32.9,3.2,0.213,,,,,, +2009,2,6,9,30,864,74,483,6,11,940,25.3,3.9,0.213,,,,,, +2009,2,6,10,30,899,87,607,5,12,940,16,4.4,0.213,,,,,, +2009,2,6,11,30,919,92,674,5,13,940,13.5,4.8,0.213,,,,,, +2009,2,6,12,30,444,257,539,4,13,940,15,4.9,0.213,,,,,, +2009,2,6,13,30,448,228,488,4,14,940,17.2,4.8,0.213,,,,,, +2009,2,6,14,30,849,79,486,4,13,940,19,4.5,0.213,,,,,, +2009,2,6,15,30,367,119,242,4,12,940,20.9,3.2,0.213,,,,,, +2009,2,6,16,30,490,39,116,4,9,940,23.1,1.7,0.213,,,,,, +2009,2,6,17,30,0,0,0,5,7,940,24.1,1.3,0.213,,,,,, +2009,2,6,18,30,0,0,0,5,6,940,24.3,1.3,0.213,,,,,, +2009,2,6,19,30,0,0,0,4,5,940,28.4,1.5,0.213,,,,,, +2009,2,6,20,30,0,0,0,4,5,940,33.9,1.6,0.213,,,,,, +2009,2,6,21,30,0,0,0,4,5,940,39.7,1.5,0.213,,,,,, +2009,2,6,22,30,0,0,0,4,4,940,42.8,1.2,0.213,,,,,, +2009,2,6,23,30,0,0,0,4,4,940,42.2,1.1,0.213,,,,,, +2009,2,7,0,30,0,0,0,4,4,940,34.8,1,0.213,,,,,, +2009,2,7,1,30,0,0,0,4,4,940,24.5,1,0.213,,,,,, +2009,2,7,2,30,0,0,0,4,4,940,12.4,1,0.213,,,,,, +2009,2,7,3,30,0,0,0,2,3,940,357.8,1,0.213,,,,,, +2009,2,7,4,30,0,0,0,2,3,940,340.5,1,0.213,,,,,, +2009,2,7,5,30,0,0,0,2,3,940,327.2,0.9,0.213,,,,,, +2009,2,7,6,30,0,0,0,2,3,940,320.8,1.1,0.213,,,,,, +2009,2,7,7,30,0,3,3,3,4,940,324.4,1.7,0.213,,,,,, +2009,2,7,8,30,208,134,203,4,6,940,340.4,2.1,0.213,,,,,, +2009,2,7,9,30,0,65,65,4,7,940,352.7,2.4,0.213,,,,,, +2009,2,7,10,30,30,233,251,4,7,940,0.5,2.5,0.213,,,,,, +2009,2,7,11,30,14,224,234,4,8,940,4,2.5,0.213,,,,,, +2009,2,7,12,30,31,260,280,3,8,940,8.7,2.2,0.213,,,,,, +2009,2,7,13,30,0,159,159,3,8,940,19.6,1.8,0.213,,,,,, +2009,2,7,14,30,32,193,208,3,7,940,45.5,1.6,0.213,,,,,, +2009,2,7,15,30,128,142,185,3,7,940,61.7,1.2,0.213,,,,,, +2009,2,7,16,30,345,49,104,4,6,940,88.6,0.7,0.213,,,,,, +2009,2,7,17,30,0,0,0,3,6,940,127.8,0.6,0.213,,,,,, +2009,2,7,18,30,0,0,0,3,5,940,167.1,0.5,0.213,,,,,, +2009,2,7,19,30,0,0,0,3,5,940,228.6,0.6,0.213,,,,,, +2009,2,7,20,30,0,0,0,3,5,940,245.6,0.7,0.213,,,,,, +2009,2,7,21,30,0,0,0,3,4,940,251.3,0.7,0.213,,,,,, +2009,2,7,22,30,0,0,0,3,4,940,262.5,0.6,0.213,,,,,, +2009,2,7,23,30,0,0,0,2,3,940,281,0.5,0.213,,,,,, +2009,2,8,0,30,0,0,0,2,3,940,308,0.4,0.213,,,,,, +2009,2,8,1,30,0,0,0,2,2,940,333.9,0.6,0.213,,,,,, +2009,2,8,2,30,0,0,0,2,2,940,350.6,0.9,0.213,,,,,, +2009,2,8,3,30,0,0,0,2,2,940,5.6,1.1,0.213,,,,,, +2009,2,8,4,30,0,0,0,2,2,940,14.8,1.2,0.213,,,,,, +2009,2,8,5,30,0,0,0,2,2,940,19.1,1.2,0.213,,,,,, +2009,2,8,6,30,0,0,0,2,3,940,23.5,1.4,0.213,,,,,, +2009,2,8,7,30,362,55,111,2,3,940,22.6,1.7,0.213,,,,,, +2009,2,8,8,30,603,98,299,3,5,940,44,2.4,0.213,,,,,, +2009,2,8,9,30,752,113,475,3,7,940,51.2,3,0.213,,,,,, +2009,2,8,10,30,843,114,609,3,8,940,50.3,3.6,0.213,,,,,, +2009,2,8,11,30,885,113,680,2,9,940,50.7,4,0.213,,,,,, +2009,2,8,12,30,900,107,685,2,10,940,51.8,4.3,0.213,,,,,, +2009,2,8,13,30,841,118,614,2,10,940,53,4.4,0.213,,,,,, +2009,2,8,14,30,795,103,491,1,10,940,52.2,4.4,0.213,,,,,, +2009,2,8,15,30,430,124,271,1,9,940,48.9,3.4,0.213,,,,,, +2009,2,8,16,30,263,62,105,2,7,940,40.3,2.2,0.213,,,,,, +2009,2,8,17,30,0,0,0,2,5,940,29.6,2.2,0.213,,,,,, +2009,2,8,18,30,0,0,0,3,5,940,30.7,2.5,0.213,,,,,, +2009,2,8,19,30,0,0,0,3,4,940,34.9,2.8,0.213,,,,,, +2009,2,8,20,30,0,0,0,4,4,940,39.9,2.8,0.213,,,,,, +2009,2,8,21,30,0,0,0,4,4,940,41,2.6,0.213,,,,,, +2009,2,8,22,30,0,0,0,4,4,940,40.2,2.5,0.213,,,,,, +2009,2,8,23,30,0,0,0,4,4,940,39.9,2.3,0.213,,,,,, +2009,2,9,0,30,0,0,0,2,3,940,37.2,2,0.213,,,,,, +2009,2,9,1,30,0,0,0,2,3,940,31.9,1.7,0.213,,,,,, +2009,2,9,2,30,0,0,0,2,3,940,24.6,1.7,0.213,,,,,, +2009,2,9,3,30,0,0,0,2,3,940,17.6,1.8,0.213,,,,,, +2009,2,9,4,30,0,0,0,2,3,940,10.5,2.1,0.213,,,,,, +2009,2,9,5,30,0,0,0,2,3,940,1.2,2.8,0.213,,,,,, +2009,2,9,6,30,0,0,0,2,3,940,359,3.8,0.213,,,,,, +2009,2,9,7,30,445,49,119,2,3,940,5.5,5.1,0.213,,,,,, +2009,2,9,8,30,730,74,320,2,4,940,19.5,6.5,0.213,,,,,, +2009,2,9,9,30,730,101,455,0,5,940,31.9,7.3,0.213,,,,,, +2009,2,9,10,30,0,120,120,0,6,940,39.3,7.1,0.213,,,,,, +2009,2,9,11,30,2,163,164,-1,7,940,46.4,6.7,0.213,,,,,, +2009,2,9,12,30,0,57,57,-2,7,930,53.4,6.4,0.213,,,,,, +2009,2,9,13,30,16,216,225,-1,6,930,58.5,5.9,0.213,,,,,, +2009,2,9,14,30,288,212,353,-1,5,930,60.5,5.2,0.213,,,,,, +2009,2,9,15,30,450,112,268,0,3,930,59.3,4.1,0.213,,,,,, +2009,2,9,16,30,253,61,103,-1,2,930,53.5,3.1,0.213,,,,,, +2009,2,9,17,30,0,0,0,-2,1,930,44,3.4,0.213,,,,,, +2009,2,9,18,30,0,0,0,-3,1,930,51.3,4.1,0.213,,,,,, +2009,2,9,19,30,0,0,0,-3,1,940,77.2,4.2,0.213,,,,,, +2009,2,9,20,30,0,0,0,-3,1,940,101.7,3.4,0.213,,,,,, +2009,2,9,21,30,0,0,0,-3,1,940,110,2.8,0.213,,,,,, +2009,2,9,22,30,0,0,0,-3,0,940,106.7,3.6,0.213,,,,,, +2009,2,9,23,30,0,0,0,-3,0,940,107.9,3.9,0.213,,,,,, +2009,2,10,0,30,0,0,0,-3,0,940,111.7,3.7,0.213,,,,,, +2009,2,10,1,30,0,0,0,-4,-1,940,118.7,3.7,0.213,,,,,, +2009,2,10,2,30,0,0,0,-4,-1,940,121.4,3.6,0.213,,,,,, +2009,2,10,3,30,0,0,0,-4,-2,940,120.1,3.4,0.213,,,,,, +2009,2,10,4,30,0,0,0,-3,-3,940,117.3,3.3,0.213,,,,,, +2009,2,10,5,30,0,0,0,-3,-3,940,113.8,3.4,0.213,,,,,, +2009,2,10,6,30,0,0,0,-3,-1,940,111.4,4.2,0.213,,,,,, +2009,2,10,7,30,14,57,59,-3,1,940,109.9,5,0.213,,,,,, +2009,2,10,8,30,845,61,349,-5,4,940,113.5,5.3,0.213,,,,,, +2009,2,10,9,30,942,72,532,-9,6,940,123.9,5.4,0.213,,,,,, +2009,2,10,10,30,971,84,661,-11,8,940,124.2,5,0.213,,,,,, +2009,2,10,11,30,985,89,729,-12,9,940,122,4.8,0.213,,,,,, +2009,2,10,12,30,980,90,727,-13,9,940,120.3,4.6,0.213,,,,,, +2009,2,10,13,30,944,92,656,-13,10,940,121.2,4.2,0.213,,,,,, +2009,2,10,14,30,883,87,524,-13,9,940,122.2,3.6,0.213,,,,,, +2009,2,10,15,30,477,115,282,-12,7,940,120.5,2.3,0.213,,,,,, +2009,2,10,16,30,226,60,98,-6,4,950,115.3,1.2,0.213,,,,,, +2009,2,10,17,30,0,0,0,-7,2,950,108.2,1,0.213,,,,,, +2009,2,10,18,30,0,0,0,-7,1,950,101.7,1,0.213,,,,,, +2009,2,10,19,30,0,0,0,-7,1,950,96.4,1,0.213,,,,,, +2009,2,10,20,30,0,0,0,-7,0,950,92.3,0.9,0.213,,,,,, +2009,2,10,21,30,0,0,0,-7,0,950,89.4,0.8,0.213,,,,,, +2009,2,10,22,30,0,0,0,-6,0,950,84.4,0.7,0.213,,,,,, +2009,2,10,23,30,0,0,0,-6,0,950,75.6,0.5,0.213,,,,,, +2009,2,11,0,30,0,0,0,-6,-1,950,70.9,0.2,0.213,,,,,, +2009,2,11,1,30,0,0,0,-6,-1,950,68.2,0.1,0.213,,,,,, +2009,2,11,2,30,0,0,0,-5,-1,950,272.6,0.4,0.213,,,,,, +2009,2,11,3,30,0,0,0,-5,-1,950,276.9,0.7,0.213,,,,,, +2009,2,11,4,30,0,0,0,-5,-1,950,280.5,0.8,0.213,,,,,, +2009,2,11,5,30,0,0,0,-5,-1,950,282.7,0.9,0.213,,,,,, +2009,2,11,6,30,0,0,0,-5,0,950,282.8,0.9,0.213,,,,,, +2009,2,11,7,30,31,59,64,-4,2,950,284.7,1.1,0.213,,,,,, +2009,2,11,8,30,799,52,327,-4,5,950,291.6,1.8,0.213,,,,,, +2009,2,11,9,30,868,69,498,-4,8,950,330.7,2.3,0.213,,,,,, +2009,2,11,10,30,932,92,649,-4,10,950,335.6,2.3,0.213,,,,,, +2009,2,11,11,30,953,94,717,-3,12,940,341,2,0.213,,,,,, +2009,2,11,12,30,953,93,718,-2,13,940,350.3,1.6,0.213,,,,,, +2009,2,11,13,30,910,98,646,-2,13,940,353.9,1.2,0.213,,,,,, +2009,2,11,14,30,856,89,517,-1,12,940,347.6,1,0.213,,,,,, +2009,2,11,15,30,68,146,170,-1,10,940,329.8,0.7,0.213,,,,,, +2009,2,11,16,30,185,63,95,0,7,940,295.8,0.6,0.213,,,,,, +2009,2,11,17,30,0,0,0,1,5,940,266.1,0.8,0.213,,,,,, +2009,2,11,18,30,0,0,0,1,4,940,251.6,0.7,0.213,,,,,, +2009,2,11,19,30,0,0,0,1,4,940,240.7,0.5,0.213,,,,,, +2009,2,11,20,30,0,0,0,1,4,940,221.4,0.3,0.213,,,,,, +2009,2,11,21,30,0,0,0,2,3,940,150.5,0.4,0.213,,,,,, +2009,2,11,22,30,0,0,0,2,3,940,132.2,0.7,0.213,,,,,, +2009,2,11,23,30,0,0,0,2,2,940,134.9,0.9,0.213,,,,,, +2009,2,12,0,30,0,0,0,2,2,940,141,1,0.213,,,,,, +2009,2,12,1,30,0,0,0,2,2,940,142.3,1,0.213,,,,,, +2009,2,12,2,30,0,0,0,1,1,940,135.3,1.1,0.213,,,,,, +2009,2,12,3,30,0,0,0,0,0,940,126.6,1.2,0.213,,,,,, +2009,2,12,4,30,0,0,0,0,0,940,121.9,1.2,0.213,,,,,, +2009,2,12,5,30,0,0,0,0,0,940,116.7,1.4,0.213,,,,,, +2009,2,12,6,30,0,0,0,0,1,940,111.7,2.3,0.213,,,,,, +2009,2,12,7,30,80,62,76,0,3,940,107.4,3.9,0.213,,,,,, +2009,2,12,8,30,815,64,349,0,5,940,105.4,4.9,0.213,,,,,, +2009,2,12,9,30,921,74,532,-2,7,940,101.9,5.1,0.213,,,,,, +2009,2,12,10,30,957,86,663,-4,9,940,100.3,5.2,0.213,,,,,, +2009,2,12,11,30,977,90,733,-5,10,940,102,5.2,0.213,,,,,, +2009,2,12,12,30,975,92,734,-5,10,940,104.6,5,0.213,,,,,, +2009,2,12,13,30,943,93,665,-6,10,940,107.4,4.7,0.213,,,,,, +2009,2,12,14,30,894,84,535,-6,10,940,111,4.1,0.213,,,,,, +2009,2,12,15,30,797,71,355,-6,8,940,115.1,2.6,0.213,,,,,, +2009,2,12,16,30,574,47,150,-4,5,940,118.9,1.4,0.213,,,,,, +2009,2,12,17,30,0,0,0,-3,3,940,123.6,1.2,0.213,,,,,, +2009,2,12,18,30,0,0,0,-3,2,950,127.9,1.1,0.213,,,,,, +2009,2,12,19,30,0,0,0,-2,1,950,130.4,1.1,0.213,,,,,, +2009,2,12,20,30,0,0,0,-2,0,950,131.1,1.1,0.213,,,,,, +2009,2,12,21,30,0,0,0,-2,0,950,135.4,1.1,0.213,,,,,, +2009,2,12,22,30,0,0,0,-2,0,950,143.2,1.1,0.213,,,,,, +2009,2,12,23,30,0,0,0,-1,0,950,149.3,1.2,0.213,,,,,, +2009,2,13,0,30,0,0,0,-1,0,950,152.3,1.3,0.213,,,,,, +2009,2,13,1,30,0,0,0,-1,0,950,153.3,1.4,0.213,,,,,, +2009,2,13,2,30,0,0,0,-1,0,940,156.3,1.4,0.213,,,,,, +2009,2,13,3,30,0,0,0,-1,-1,940,162.1,1.4,0.213,,,,,, +2009,2,13,4,30,0,0,0,-1,-1,940,167.9,1.4,0.213,,,,,, +2009,2,13,5,30,0,0,0,-1,-1,940,174.7,1.2,0.213,,,,,, +2009,2,13,6,30,0,0,0,0,0,940,190.7,1.1,0.213,,,,,, +2009,2,13,7,30,416,49,120,0,2,940,205.1,0.6,0.213,,,,,, +2009,2,13,8,30,257,141,232,0,5,940,203.1,1.1,0.213,,,,,, +2009,2,13,9,30,648,128,453,0,7,940,19.3,2.4,0.213,,,,,, +2009,2,13,10,30,543,205,535,0,8,940,25.4,3,0.213,,,,,, +2009,2,13,11,30,497,249,578,-2,9,940,29.6,3.4,0.213,,,,,, +2009,2,13,12,30,370,297,543,-2,9,940,30.5,3.8,0.213,,,,,, +2009,2,13,13,30,356,272,490,-1,8,940,27.9,4.3,0.213,,,,,, +2009,2,13,14,30,614,140,452,0,6,940,24.9,4.6,0.213,,,,,, +2009,2,13,15,30,0,105,105,0,5,940,25.6,4.1,0.213,,,,,, +2009,2,13,16,30,117,68,89,1,3,940,29.6,3.2,0.213,,,,,, +2009,2,13,17,30,0,0,0,1,3,940,36.9,3,0.213,,,,,, +2009,2,13,18,30,0,0,0,2,2,940,47.6,3.1,0.213,,,,,, +2009,2,13,19,30,0,0,0,2,2,940,52.2,2.8,0.213,,,,,, +2009,2,13,20,30,0,0,0,1,1,940,51.9,2.6,0.213,,,,,, +2009,2,13,21,30,0,0,0,1,1,940,51.4,2.3,0.213,,,,,, +2009,2,13,22,30,0,0,0,0,0,940,51.4,1.9,0.213,,,,,, +2009,2,13,23,30,0,0,0,0,0,940,53.3,1.6,0.213,,,,,, +2009,2,14,0,30,0,0,0,0,0,940,58.3,1.5,0.213,,,,,, +2009,2,14,1,30,0,0,0,0,0,940,65,1.4,0.213,,,,,, +2009,2,14,2,30,0,0,0,0,0,940,73.9,1.3,0.213,,,,,, +2009,2,14,3,30,0,0,0,-1,-1,940,85.5,1.3,0.213,,,,,, +2009,2,14,4,30,0,0,0,-1,-1,940,97.8,1.3,0.213,,,,,, +2009,2,14,5,30,0,0,0,-2,-2,940,111.3,1.2,0.213,,,,,, +2009,2,14,6,30,0,0,0,-1,-1,940,128.7,1.8,0.213,,,,,, +2009,2,14,7,30,563,48,147,-1,1,940,147.1,2.9,0.213,,,,,, +2009,2,14,8,30,789,73,355,-1,3,940,150.6,3.2,0.213,,,,,, +2009,2,14,9,30,889,87,537,-3,6,940,150.1,2.7,0.213,,,,,, +2009,2,14,10,30,924,101,666,-4,8,940,151.4,1.9,0.213,,,,,, +2009,2,14,11,30,951,102,736,-6,9,940,141.3,1.3,0.213,,,,,, +2009,2,14,12,30,960,98,739,-6,10,940,110.5,1,0.213,,,,,, +2009,2,14,13,30,944,91,672,-7,10,940,115.6,1,0.213,,,,,, +2009,2,14,14,30,901,82,543,-7,10,940,133.2,1.1,0.213,,,,,, +2009,2,14,15,30,810,68,364,-8,9,940,160,0.8,0.213,,,,,, +2009,2,14,16,30,602,46,157,-5,7,940,201.2,0.5,0.213,,,,,, +2009,2,14,17,30,0,0,0,-6,5,940,248.4,0.6,0.213,,,,,, +2009,2,14,18,30,0,0,0,-6,4,940,281.7,0.4,0.213,,,,,, +2009,2,14,19,30,0,0,0,-6,2,940,317.9,0.1,0.213,,,,,, +2009,2,14,20,30,0,0,0,-6,1,940,51.2,0.1,0.213,,,,,, +2009,2,14,21,30,0,0,0,-6,0,940,81,0.1,0.213,,,,,, +2009,2,14,22,30,0,0,0,-6,0,940,205.8,0.4,0.213,,,,,, +2009,2,14,23,30,0,0,0,-6,0,940,229.6,0.7,0.213,,,,,, +2009,2,15,0,30,0,0,0,-6,0,940,238.4,0.9,0.213,,,,,, +2009,2,15,1,30,0,0,0,-6,0,940,233.7,1.1,0.213,,,,,, +2009,2,15,2,30,0,0,0,-6,0,940,222.9,1.2,0.213,,,,,, +2009,2,15,3,30,0,0,0,-6,0,940,220.4,1.2,0.213,,,,,, +2009,2,15,4,30,0,0,0,-6,0,940,223.4,1.2,0.213,,,,,, +2009,2,15,5,30,0,0,0,-6,0,940,229.5,1.2,0.213,,,,,, +2009,2,15,6,30,0,0,0,-6,0,940,236.3,1.3,0.213,,,,,, +2009,2,15,7,30,353,56,119,-4,2,940,243.5,1.8,0.213,,,,,, +2009,2,15,8,30,365,140,272,-6,5,940,250.4,2.1,0.213,,,,,, +2009,2,15,9,30,674,124,467,-6,7,940,265.1,2.3,0.213,,,,,, +2009,2,15,10,30,661,170,578,-6,8,940,290.6,2.6,0.213,,,,,, +2009,2,15,11,30,551,234,603,-6,9,940,302.6,2.4,0.213,,,,,, +2009,2,15,12,30,360,301,543,-6,10,940,306.5,2.1,0.213,,,,,, +2009,2,15,13,30,219,295,432,-6,10,940,312.1,1.6,0.213,,,,,, +2009,2,15,14,30,217,234,346,-6,9,940,317.1,0.9,0.213,,,,,, +2009,2,15,15,30,313,142,258,-5,8,940,319.6,0.8,0.213,,,,,, +2009,2,15,16,30,344,67,132,-3,5,940,321.3,1,0.213,,,,,, +2009,2,15,17,30,0,0,0,-3,3,940,323.7,1.1,0.213,,,,,, +2009,2,15,18,30,0,0,0,-3,2,940,323.4,1.1,0.213,,,,,, +2009,2,15,19,30,0,0,0,-3,1,940,330.1,1.1,0.213,,,,,, +2009,2,15,20,30,0,0,0,-3,1,940,327.9,1.1,0.213,,,,,, +2009,2,15,21,30,0,0,0,-3,1,940,324,1,0.213,,,,,, +2009,2,15,22,30,0,0,0,-3,0,940,328.3,0.9,0.213,,,,,, +2009,2,15,23,30,0,0,0,-3,0,940,337.9,0.9,0.213,,,,,, +2009,2,16,0,30,0,0,0,-3,0,940,348.3,0.9,0.213,,,,,, +2009,2,16,1,30,0,0,0,-2,0,940,4.3,0.7,0.213,,,,,, +2009,2,16,2,30,0,0,0,-1,0,940,21.4,0.4,0.213,,,,,, +2009,2,16,3,30,0,0,0,-1,0,940,20.7,0.1,0.213,,,,,, +2009,2,16,4,30,0,0,0,-1,0,940,333,0.3,0.213,,,,,, +2009,2,16,5,30,0,0,0,-1,0,940,301.8,0.6,0.213,,,,,, +2009,2,16,6,30,0,0,0,-1,1,940,310.1,1.1,0.213,,,,,, +2009,2,16,7,30,0,4,4,0,4,940,321,2,0.213,,,,,, +2009,2,16,8,30,0,66,66,1,6,940,339.1,3.1,0.213,,,,,, +2009,2,16,9,30,95,232,281,2,7,940,358.6,3.9,0.213,,,,,, +2009,2,16,10,30,16,224,235,3,8,940,0.5,4.5,0.213,,,,,, +2009,2,16,11,30,6,190,194,4,9,940,0.8,5.4,0.213,,,,,, +2009,2,16,12,30,930,95,724,4,9,930,3.1,6.2,0.213,,,,,, +2009,2,16,13,30,0,142,142,4,9,930,8.8,6.5,0.213,,,,,, +2009,2,16,14,30,234,235,357,4,8,930,15.2,5.8,0.213,,,,,, +2009,2,16,15,30,45,150,166,4,7,940,18.4,4.4,0.213,,,,,, +2009,2,16,16,30,164,75,106,4,5,940,20.1,3.1,0.213,,,,,, +2009,2,16,17,30,0,0,0,3,4,940,25.3,2.6,0.213,,,,,, +2009,2,16,18,30,0,0,0,2,3,940,36.5,2.6,0.213,,,,,, +2009,2,16,19,30,0,0,0,2,2,940,45.6,2.2,0.213,,,,,, +2009,2,16,20,30,0,0,0,2,2,940,50.7,1.9,0.213,,,,,, +2009,2,16,21,30,0,0,0,1,1,940,55.7,1.7,0.213,,,,,, +2009,2,16,22,30,0,0,0,1,1,940,60,1.5,0.213,,,,,, +2009,2,16,23,30,0,0,0,0,0,940,66.3,1.3,0.213,,,,,, +2009,2,17,0,30,0,0,0,0,0,940,75.4,1.3,0.213,,,,,, +2009,2,17,1,30,0,0,0,0,0,940,84.1,1.3,0.213,,,,,, +2009,2,17,2,30,0,0,0,0,0,940,88.9,1.3,0.213,,,,,, +2009,2,17,3,30,0,0,0,0,0,940,92.4,1.2,0.213,,,,,, +2009,2,17,4,30,0,0,0,0,0,940,94.4,1.2,0.213,,,,,, +2009,2,17,5,30,0,0,0,0,0,940,94.3,1.1,0.213,,,,,, +2009,2,17,6,30,0,0,0,0,1,940,97.7,1.4,0.213,,,,,, +2009,2,17,7,30,149,70,98,1,3,940,100,2,0.213,,,,,, +2009,2,17,8,30,102,156,194,1,5,940,89.8,2.5,0.213,,,,,, +2009,2,17,9,30,841,98,535,1,7,940,80.7,2.6,0.213,,,,,, +2009,2,17,10,30,872,117,662,0,8,940,75.1,2.5,0.213,,,,,, +2009,2,17,11,30,215,337,484,0,9,940,67.4,2.6,0.213,,,,,, +2009,2,17,12,30,899,120,732,0,9,940,62.3,2.9,0.213,,,,,, +2009,2,17,13,30,841,131,659,0,9,940,62,3.1,0.213,,,,,, +2009,2,17,14,30,0,22,22,0,9,940,61.9,3.1,0.213,,,,,, +2009,2,17,15,30,0,15,15,0,8,940,62.8,2.3,0.213,,,,,, +2009,2,17,16,30,472,62,155,1,6,940,63.5,1.3,0.212,,,,,, +2009,2,17,17,30,0,0,0,1,4,940,63.8,1.2,0.212,,,,,, +2009,2,17,18,30,0,0,0,1,3,950,64.6,1.3,0.212,,,,,, +2009,2,17,19,30,0,0,0,1,2,950,68,1.3,0.212,,,,,, +2009,2,17,20,30,0,0,0,2,2,950,77.6,1.4,0.212,,,,,, +2009,2,17,21,30,0,0,0,1,1,950,92.4,1.5,0.212,,,,,, +2009,2,17,22,30,0,0,0,1,1,950,108.7,1.7,0.212,,,,,, +2009,2,17,23,30,0,0,0,0,0,950,122.2,1.8,0.212,,,,,, +2009,2,18,0,30,0,0,0,0,0,950,132.8,2,0.212,,,,,, +2009,2,18,1,30,0,0,0,0,0,950,141.1,2.1,0.212,,,,,, +2009,2,18,2,30,0,0,0,0,0,950,146.8,2.1,0.212,,,,,, +2009,2,18,3,30,0,0,0,0,0,950,150.1,2.1,0.212,,,,,, +2009,2,18,4,30,0,0,0,0,0,950,152.9,2.1,0.212,,,,,, +2009,2,18,5,30,0,0,0,0,0,950,156.2,2.3,0.212,,,,,, +2009,2,18,6,30,0,0,0,0,0,950,159.3,3.2,0.212,,,,,, +2009,2,18,7,30,573,52,162,0,2,950,160.7,4,0.212,,,,,, +2009,2,18,8,30,793,76,372,0,4,950,176.4,3.9,0.212,,,,,, +2009,2,18,9,30,905,84,558,0,7,950,192.4,3.5,0.212,,,,,, +2009,2,18,10,30,946,94,690,-2,10,950,199.9,3.1,0.212,,,,,, +2009,2,18,11,30,961,100,758,-3,11,950,204,2.9,0.212,,,,,, +2009,2,18,12,30,955,103,758,-3,12,950,202,2.8,0.212,,,,,, +2009,2,18,13,30,911,108,684,-4,12,950,196.9,2.8,0.212,,,,,, +2009,2,18,14,30,867,96,554,-4,12,950,195.6,2.8,0.212,,,,,, +2009,2,18,15,30,775,79,374,-4,11,950,193.6,2,0.212,,,,,, +2009,2,18,16,30,565,54,167,-1,8,950,187.8,1.3,0.212,,,,,, +2009,2,18,17,30,0,0,0,-2,5,950,177.3,1.4,0.212,,,,,, +2009,2,18,18,30,0,0,0,-2,5,950,168.6,1.6,0.212,,,,,, +2009,2,18,19,30,0,0,0,-3,4,950,162.6,1.7,0.212,,,,,, +2009,2,18,20,30,0,0,0,-3,4,950,161,1.9,0.212,,,,,, +2009,2,18,21,30,0,0,0,-3,3,950,161.7,2.1,0.212,,,,,, +2009,2,18,22,30,0,0,0,-3,2,950,164.5,2.3,0.212,,,,,, +2009,2,18,23,30,0,0,0,-4,1,950,169.2,2.6,0.212,,,,,, +2009,2,19,0,30,0,0,0,-4,0,950,173.9,2.8,0.212,,,,,, +2009,2,19,1,30,0,0,0,-4,0,950,176.6,2.9,0.212,,,,,, +2009,2,19,2,30,0,0,0,-4,0,950,177.9,2.8,0.212,,,,,, +2009,2,19,3,30,0,0,0,-4,0,950,179.3,2.8,0.212,,,,,, +2009,2,19,4,30,0,0,0,-5,0,950,181.3,2.7,0.212,,,,,, +2009,2,19,5,30,0,0,0,-5,0,950,184,2.8,0.212,,,,,, +2009,2,19,6,30,0,0,0,-5,2,950,185.3,3.7,0.212,,,,,, +2009,2,19,7,30,577,54,167,-4,5,950,186.1,4.1,0.212,,,,,, +2009,2,19,8,30,795,79,380,-5,9,950,187.1,3.8,0.212,,,,,, +2009,2,19,9,30,895,93,566,-7,12,950,209.7,3.6,0.212,,,,,, +2009,2,19,10,30,935,106,699,-8,13,950,229,3.3,0.212,,,,,, +2009,2,19,11,30,959,110,771,-9,14,950,227.2,2.9,0.212,,,,,, +2009,2,19,12,30,962,109,773,-10,15,950,220.1,2.6,0.212,,,,,, +2009,2,19,13,30,928,111,702,-10,16,950,212.1,2.4,0.212,,,,,, +2009,2,19,14,30,885,99,570,-10,15,950,204.5,2,0.212,,,,,, +2009,2,19,15,30,792,82,387,-10,13,950,192.1,1.4,0.212,,,,,, +2009,2,19,16,30,586,56,175,-3,9,950,167.1,1.2,0.212,,,,,, +2009,2,19,17,30,0,0,0,-4,7,950,156.1,1.5,0.212,,,,,, +2009,2,19,18,30,0,0,0,-5,6,950,158.7,1.6,0.212,,,,,, +2009,2,19,19,30,0,0,0,-6,6,950,162.4,1.8,0.212,,,,,, +2009,2,19,20,30,0,0,0,-6,5,950,164.9,1.9,0.212,,,,,, +2009,2,19,21,30,0,0,0,-7,4,950,167.3,2,0.212,,,,,, +2009,2,19,22,30,0,0,0,-7,3,950,170.5,2.1,0.212,,,,,, +2009,2,19,23,30,0,0,0,-7,2,950,179.4,2.2,0.212,,,,,, +2009,2,20,0,30,0,0,0,-7,2,950,187.8,2.2,0.212,,,,,, +2009,2,20,1,30,0,0,0,-8,1,950,185.6,2.3,0.212,,,,,, +2009,2,20,2,30,0,0,0,-8,1,950,182.2,2.4,0.212,,,,,, +2009,2,20,3,30,0,0,0,-8,1,950,182.7,2.6,0.212,,,,,, +2009,2,20,4,30,0,0,0,-8,0,950,182.2,2.7,0.212,,,,,, +2009,2,20,5,30,0,0,0,-8,0,950,181.4,2.9,0.212,,,,,, +2009,2,20,6,30,0,0,0,-7,2,950,179.1,3.7,0.212,,,,,, +2009,2,20,7,30,567,57,170,-5,6,950,175.6,4.2,0.212,,,,,, +2009,2,20,8,30,564,105,321,-7,9,950,170.3,4.1,0.212,,,,,, +2009,2,20,9,30,612,149,475,-8,12,950,180.8,3.9,0.212,,,,,, +2009,2,20,10,30,916,91,676,-8,14,950,185.1,3.2,0.212,,,,,, +2009,2,20,11,30,905,135,763,-8,15,950,180,2.7,0.212,,,,,, +2009,2,20,12,30,907,134,765,-8,15,950,176.6,2.5,0.212,,,,,, +2009,2,20,13,30,846,146,688,-8,15,950,177.3,2.5,0.212,,,,,, +2009,2,20,14,30,833,86,533,-8,14,950,172,2.2,0.212,,,,,, +2009,2,20,15,30,619,116,356,-7,12,950,166.7,1.5,0.212,,,,,, +2009,2,20,16,30,191,76,116,-1,9,950,172.4,1.1,0.212,,,,,, +2009,2,20,17,30,0,0,0,-2,8,950,182.1,1.3,0.212,,,,,, +2009,2,20,18,30,0,0,0,-3,7,950,187.1,1.4,0.212,,,,,, +2009,2,20,19,30,0,0,0,-4,7,950,183.3,1.5,0.212,,,,,, +2009,2,20,20,30,0,0,0,-5,7,950,174.2,1.6,0.212,,,,,, +2009,2,20,21,30,0,0,0,-6,7,950,168.1,1.6,0.212,,,,,, +2009,2,20,22,30,0,0,0,-6,6,950,169,1.7,0.212,,,,,, +2009,2,20,23,30,0,0,0,-6,6,950,169.9,1.9,0.212,,,,,, +2009,2,21,0,30,0,0,0,-6,5,950,170.3,2,0.212,,,,,, +2009,2,21,1,30,0,0,0,-7,4,950,174.1,2,0.212,,,,,, +2009,2,21,2,30,0,0,0,-7,4,950,172.1,2,0.212,,,,,, +2009,2,21,3,30,0,0,0,-7,3,950,170.4,2.1,0.212,,,,,, +2009,2,21,4,30,0,0,0,-7,3,950,171.6,2.2,0.212,,,,,, +2009,2,21,5,30,0,0,0,-6,4,950,173.9,2.3,0.212,,,,,, +2009,2,21,6,30,0,0,0,-6,5,950,173.1,3.2,0.212,,,,,, +2009,2,21,7,30,2,71,72,-3,7,950,175.2,3.8,0.212,,,,,, +2009,2,21,8,30,315,150,272,-6,10,950,181.6,3.3,0.212,,,,,, +2009,2,21,9,30,703,124,501,-6,13,950,200,2.7,0.212,,,,,, +2009,2,21,10,30,667,179,608,-7,15,950,212.5,2,0.212,,,,,, +2009,2,21,11,30,593,233,647,-7,16,950,228,1.2,0.212,,,,,, +2009,2,21,12,30,514,259,619,-7,17,950,249.4,0.8,0.212,,,,,, +2009,2,21,13,30,581,209,584,-7,17,950,272.5,0.7,0.212,,,,,, +2009,2,21,14,30,592,159,479,-6,16,950,285.6,0.6,0.212,,,,,, +2009,2,21,15,30,630,94,341,-1,14,950,291.4,0.4,0.212,,,,,, +2009,2,21,16,30,44,79,88,1,12,950,246.5,0.4,0.212,,,,,, +2009,2,21,17,30,0,0,0,0,10,950,222.2,0.6,0.212,,,,,, +2009,2,21,18,30,0,0,0,0,10,950,197.7,0.7,0.212,,,,,, +2009,2,21,19,30,0,0,0,0,10,950,208.9,0.8,0.212,,,,,, +2009,2,21,20,30,0,0,0,0,9,950,206.4,0.8,0.212,,,,,, +2009,2,21,21,30,0,0,0,0,9,950,191.3,0.7,0.212,,,,,, +2009,2,21,22,30,0,0,0,-1,9,950,205,0.5,0.212,,,,,, +2009,2,21,23,30,0,0,0,0,8,950,218.7,0.4,0.212,,,,,, +2009,2,22,0,30,0,0,0,0,8,950,205.6,0.4,0.212,,,,,, +2009,2,22,1,30,0,0,0,0,8,950,151,0.8,0.212,,,,,, +2009,2,22,2,30,0,0,0,1,8,950,156.5,1,0.212,,,,,, +2009,2,22,3,30,0,0,0,1,8,950,189.1,1,0.212,,,,,, +2009,2,22,4,30,0,0,0,1,8,950,227.5,1,0.212,,,,,, +2009,2,22,5,30,0,0,0,1,8,950,250.3,0.9,0.212,,,,,, +2009,2,22,6,30,0,0,0,2,9,950,270.7,0.6,0.212,,,,,, +2009,2,22,7,30,169,78,113,3,11,950,293.2,0.5,0.212,,,,,, +2009,2,22,8,30,696,79,351,4,12,950,301.4,0.7,0.212,,,,,, +2009,2,22,9,30,0,111,111,4,14,950,302.7,1.2,0.212,,,,,, +2009,2,22,10,30,0,105,105,6,15,950,301,1.5,0.212,,,,,, +2009,2,22,11,30,37,296,323,7,16,950,313.5,1.5,0.212,,,,,, +2009,2,22,12,30,25,276,294,7,16,950,320.4,1.2,0.212,,,,,, +2009,2,22,13,30,76,300,349,8,16,950,308,0.9,0.212,,,,,, +2009,2,22,14,30,92,247,297,8,16,950,312.6,0.6,0.212,,,,,, +2009,2,22,15,30,297,157,275,9,15,950,309.3,0.6,0.212,,,,,, +2009,2,22,16,30,0,55,55,9,14,950,270.1,1,0.212,,,,,, +2009,2,22,17,30,0,0,0,8,13,950,262,1.2,0.212,,,,,, +2009,2,22,18,30,0,0,0,8,13,950,269.8,1.2,0.212,,,,,, +2009,2,22,19,30,0,0,0,7,12,950,283,1.1,0.212,,,,,, +2009,2,22,20,30,0,0,0,7,12,950,298.8,1,0.212,,,,,, +2009,2,22,21,30,0,0,0,6,11,950,325.7,0.9,0.212,,,,,, +2009,2,22,22,30,0,0,0,6,11,950,4.3,0.8,0.212,,,,,, +2009,2,22,23,30,0,0,0,6,11,950,34.1,0.5,0.212,,,,,, +2009,2,23,0,30,0,0,0,5,10,950,8.7,0.5,0.212,,,,,, +2009,2,23,1,30,0,0,0,5,9,950,273.1,0.9,0.212,,,,,, +2009,2,23,2,30,0,0,0,4,8,950,277,1.1,0.212,,,,,, +2009,2,23,3,30,0,0,0,4,8,950,279.7,1.1,0.212,,,,,, +2009,2,23,4,30,0,0,0,3,8,950,276.8,1.1,0.212,,,,,, +2009,2,23,5,30,0,0,0,3,8,950,275.9,1,0.212,,,,,, +2009,2,23,6,30,0,0,0,3,9,950,276.7,1,0.212,,,,,, +2009,2,23,7,30,0,49,49,5,12,950,267.9,0.7,0.212,,,,,, +2009,2,23,8,30,0,106,106,5,14,950,238.5,0.5,0.212,,,,,, +2009,2,23,9,30,271,243,391,4,17,950,3,1,0.212,,,,,, +2009,2,23,10,30,890,102,682,4,19,950,24,1.9,0.212,,,,,, +2009,2,23,11,30,369,318,579,4,20,950,39.2,2.5,0.212,,,,,, +2009,2,23,12,30,314,332,555,4,20,950,53.8,2.4,0.212,,,,,, +2009,2,23,13,30,427,269,548,5,20,950,55.8,2.1,0.212,,,,,, +2009,2,23,14,30,67,241,278,5,19,950,45.9,1.8,0.212,,,,,, +2009,2,23,15,30,763,77,381,6,18,950,29.2,1.2,0.212,,,,,, +2009,2,23,16,30,593,52,181,7,15,950,353.2,1,0.212,,,,,, +2009,2,23,17,30,0,0,0,7,12,950,346.4,1.2,0.212,,,,,, +2009,2,23,18,30,0,0,0,7,11,950,354.3,1.2,0.212,,,,,, +2009,2,23,19,30,0,0,0,7,10,950,11.5,1.2,0.212,,,,,, +2009,2,23,20,30,0,0,0,7,9,950,32.2,1.3,0.212,,,,,, +2009,2,23,21,30,0,0,0,7,8,950,53.2,1.2,0.212,,,,,, +2009,2,23,22,30,0,0,0,7,7,950,75.9,1.2,0.212,,,,,, +2009,2,23,23,30,0,0,0,6,6,950,100.8,1.2,0.212,,,,,, +2009,2,24,0,30,0,0,0,6,6,950,120.3,1.2,0.212,,,,,, +2009,2,24,1,30,0,0,0,4,5,950,132.4,1.5,0.212,,,,,, +2009,2,24,2,30,0,0,0,4,5,950,140,1.9,0.212,,,,,, +2009,2,24,3,30,0,0,0,4,4,950,145.2,2.2,0.212,,,,,, +2009,2,24,4,30,0,0,0,4,4,950,146.7,2.3,0.212,,,,,, +2009,2,24,5,30,0,0,0,4,4,950,146.9,2.5,0.212,,,,,, +2009,2,24,6,30,0,0,0,5,6,950,148,3.3,0.212,,,,,, +2009,2,24,7,30,204,80,125,6,9,950,152.4,3.8,0.212,,,,,, +2009,2,24,8,30,851,69,410,6,12,950,155,3.2,0.212,,,,,, +2009,2,24,9,30,932,81,595,3,15,950,148.9,2.4,0.212,,,,,, +2009,2,24,10,30,949,99,723,2,18,950,142,1.7,0.212,,,,,, +2009,2,24,11,30,974,100,794,1,19,950,136.4,1.4,0.212,,,,,, +2009,2,24,12,30,974,99,793,0,20,950,131.4,1.4,0.212,,,,,, +2009,2,24,13,30,582,215,598,0,20,940,129,1.4,0.212,,,,,, +2009,2,24,14,30,746,122,535,0,19,940,135.7,1.1,0.212,,,,,, +2009,2,24,15,30,657,91,356,1,17,940,139.9,0.7,0.212,,,,,, +2009,2,24,16,30,636,54,194,5,14,940,152.6,0.3,0.212,,,,,, +2009,2,24,17,30,0,0,0,3,12,940,205.9,0.2,0.212,,,,,, +2009,2,24,18,30,0,0,0,3,11,940,201.1,0.1,0.212,,,,,, +2009,2,24,19,30,0,0,0,3,10,940,202.9,0.1,0.212,,,,,, +2009,2,24,20,30,0,0,0,3,9,940,146,0.2,0.212,,,,,, +2009,2,24,21,30,0,0,0,3,8,940,103.2,0.3,0.212,,,,,, +2009,2,24,22,30,0,0,0,3,7,940,68.6,0.3,0.212,,,,,, +2009,2,24,23,30,0,0,0,3,6,940,19.2,0.4,0.212,,,,,, +2009,2,25,0,30,0,0,0,3,6,940,9.4,0.4,0.212,,,,,, +2009,2,25,1,30,0,0,0,3,5,940,18.8,0.4,0.212,,,,,, +2009,2,25,2,30,0,0,0,3,5,940,76.1,0.5,0.212,,,,,, +2009,2,25,3,30,0,0,0,3,5,940,97.8,0.7,0.212,,,,,, +2009,2,25,4,30,0,0,0,3,4,940,105.7,0.9,0.212,,,,,, +2009,2,25,5,30,0,0,0,4,4,940,118,1,0.212,,,,,, +2009,2,25,6,30,0,0,0,4,5,940,126.9,1.3,0.212,,,,,, +2009,2,25,7,30,619,57,195,4,8,940,125,2,0.212,,,,,, +2009,2,25,8,30,806,81,408,4,11,940,117.8,2.3,0.212,,,,,, +2009,2,25,9,30,895,95,592,2,14,940,95.7,2.7,0.212,,,,,, +2009,2,25,10,30,973,88,732,1,15,940,74.3,3.4,0.212,,,,,, +2009,2,25,11,30,987,93,800,0,16,940,69.1,4.2,0.212,,,,,, +2009,2,25,12,30,974,97,796,0,16,940,69.7,4.7,0.212,,,,,, +2009,2,25,13,30,958,92,726,0,16,940,69.4,4.9,0.212,,,,,, +2009,2,25,14,30,912,85,593,0,15,940,68.5,4.7,0.212,,,,,, +2009,2,25,15,30,830,72,410,0,14,940,69.4,3.6,0.212,,,,,, +2009,2,25,16,30,665,50,199,1,11,940,69.7,2.4,0.217,,,,,, +2009,2,25,17,30,137,11,13,1,9,940,76.5,2.1,0.217,,,,,, +2009,2,25,18,30,0,0,0,2,7,940,87.8,2.1,0.217,,,,,, +2009,2,25,19,30,0,0,0,2,6,940,101.8,2.4,0.217,,,,,, +2009,2,25,20,30,0,0,0,3,6,940,114.5,2.6,0.217,,,,,, +2009,2,25,21,30,0,0,0,3,5,940,124.5,2.7,0.217,,,,,, +2009,2,25,22,30,0,0,0,3,5,940,130.2,2.6,0.217,,,,,, +2009,2,25,23,30,0,0,0,3,5,940,131.8,2.4,0.217,,,,,, +2009,2,26,0,30,0,0,0,4,5,940,131.2,2.2,0.217,,,,,, +2009,2,26,1,30,0,0,0,4,4,940,131.3,2.1,0.217,,,,,, +2009,2,26,2,30,0,0,0,4,4,940,131.1,2,0.217,,,,,, +2009,2,26,3,30,0,0,0,4,4,940,132.1,2.1,0.217,,,,,, +2009,2,26,4,30,0,0,0,3,4,940,132.8,2,0.217,,,,,, +2009,2,26,5,30,0,0,0,3,4,940,130.9,2.1,0.217,,,,,, +2009,2,26,6,30,103,12,14,3,5,940,130.7,2.8,0.217,,,,,, +2009,2,26,7,30,626,55,197,3,7,940,134.4,3.6,0.217,,,,,, +2009,2,26,8,30,827,71,410,3,9,950,136.8,4,0.217,,,,,, +2009,2,26,9,30,918,79,593,3,12,950,136.4,3.9,0.217,,,,,, +2009,2,26,10,30,940,94,721,1,15,950,127.3,3.3,0.217,,,,,, +2009,2,26,11,30,963,95,790,1,16,940,123,2.8,0.217,,,,,, +2009,2,26,12,30,967,94,791,0,17,940,109.6,2.6,0.217,,,,,, +2009,2,26,13,30,926,100,718,0,18,940,105.9,2.2,0.217,,,,,, +2009,2,26,14,30,885,91,587,0,17,940,104.1,1.7,0.217,,,,,, +2009,2,26,15,30,446,140,323,1,16,940,83.2,1.1,0.217,,,,,, +2009,2,26,16,30,423,72,168,2,13,940,54.4,0.9,0.217,,,,,, +2009,2,26,17,30,118,11,14,3,11,940,31.1,1.2,0.217,,,,,, +2009,2,26,18,30,0,0,0,3,9,940,24.1,1.3,0.217,,,,,, +2009,2,26,19,30,0,0,0,4,9,940,29.1,1.2,0.217,,,,,, +2009,2,26,20,30,0,0,0,4,8,940,40.1,1.2,0.217,,,,,, +2009,2,26,21,30,0,0,0,5,8,940,65.8,1.2,0.217,,,,,, +2009,2,26,22,30,0,0,0,5,7,940,100.4,1.3,0.217,,,,,, +2009,2,26,23,30,0,0,0,5,6,940,125.1,1.6,0.217,,,,,, +2009,2,27,0,30,0,0,0,5,6,940,138.5,1.9,0.217,,,,,, +2009,2,27,1,30,0,0,0,5,6,940,143.3,1.9,0.217,,,,,, +2009,2,27,2,30,0,0,0,4,5,940,141.9,1.7,0.217,,,,,, +2009,2,27,3,30,0,0,0,4,5,940,134.5,1.6,0.217,,,,,, +2009,2,27,4,30,0,0,0,4,4,940,127.6,1.5,0.217,,,,,, +2009,2,27,5,30,0,0,0,4,4,940,124.3,1.6,0.217,,,,,, +2009,2,27,6,30,124,13,16,4,5,940,125.9,2.6,0.217,,,,,, +2009,2,27,7,30,263,82,143,3,7,950,132.1,3.8,0.217,,,,,, +2009,2,27,8,30,819,74,413,2,11,950,147.3,4,0.217,,,,,, +2009,2,27,9,30,835,92,564,0,14,950,157.8,3.7,0.217,,,,,, +2009,2,27,10,30,928,100,723,-1,15,950,162.2,3.4,0.217,,,,,, +2009,2,27,11,30,935,109,788,-2,16,950,171.3,3.2,0.217,,,,,, +2009,2,27,12,30,946,104,790,-2,16,950,177.2,3,0.217,,,,,, +2009,2,27,13,30,639,202,630,-3,17,950,178.5,2.9,0.217,,,,,, +2009,2,27,14,30,641,152,515,-4,17,950,180.5,3,0.217,,,,,, +2009,2,27,15,30,635,99,363,-4,16,950,183.6,2.3,0.217,,,,,, +2009,2,27,16,30,293,80,147,1,13,950,188.8,1.4,0.217,,,,,, +2009,2,27,17,30,0,11,11,0,11,950,199,1.6,0.217,,,,,, +2009,2,27,18,30,0,0,0,-1,10,950,197.3,1.9,0.217,,,,,, +2009,2,27,19,30,0,0,0,-1,10,950,191.2,2.2,0.217,,,,,, +2009,2,27,20,30,0,0,0,-1,9,950,186.9,2.3,0.217,,,,,, +2009,2,27,21,30,0,0,0,-2,8,950,181.7,2.5,0.217,,,,,, +2009,2,27,22,30,0,0,0,-2,7,950,177.5,2.9,0.217,,,,,, +2009,2,27,23,30,0,0,0,-2,6,950,172.6,3.5,0.217,,,,,, +2009,2,28,0,30,0,0,0,-2,5,950,172.4,4.1,0.217,,,,,, +2009,2,28,1,30,0,0,0,-3,4,950,174.7,4.3,0.217,,,,,, +2009,2,28,2,30,0,0,0,-3,4,950,176.2,4.3,0.217,,,,,, +2009,2,28,3,30,0,0,0,-4,4,950,178.3,4.2,0.217,,,,,, +2009,2,28,4,30,0,0,0,-4,3,950,181,4.2,0.217,,,,,, +2009,2,28,5,30,0,0,0,-5,3,950,181.9,4.6,0.217,,,,,, +2009,2,28,6,30,0,15,15,-4,6,950,182,5,0.217,,,,,, +2009,2,28,7,30,403,72,167,-5,9,950,182.4,5.1,0.217,,,,,, +2009,2,28,8,30,55,176,200,-7,12,950,194.6,5.2,0.217,,,,,, +2009,2,28,9,30,740,123,544,-8,15,950,206,4.8,0.217,,,,,, +2009,2,28,10,30,874,130,721,-9,17,950,212.8,3.9,0.217,,,,,, +2009,2,28,11,30,857,143,769,-9,19,950,220.7,2.9,0.217,,,,,, +2009,2,28,12,30,694,208,715,-8,20,950,221.9,2.3,0.217,,,,,, +2009,2,28,13,30,718,173,657,-8,20,950,214.4,2,0.217,,,,,, +2009,2,28,14,30,837,92,568,-7,19,950,201.4,1.9,0.217,,,,,, +2009,2,28,15,30,841,58,410,-3,17,950,191.5,1.6,0.217,,,,,, +2012,2,28,16,30,487,75,189,-1,11,940,37.8,1.8,0.226,,,,,, +2012,2,28,17,30,51,13,15,0,9,940,28.6,1.7,0.226,,,,,, +2012,2,28,18,30,0,0,0,0,7,940,40.2,2,0.226,,,,,, +2012,2,28,19,30,0,0,0,1,6,940,65.9,2.2,0.226,,,,,, +2012,2,28,20,30,0,0,0,2,5,940,86.6,2.3,0.226,,,,,, +2012,2,28,21,30,0,0,0,3,5,940,95.5,2.5,0.226,,,,,, +2012,2,28,22,30,0,0,0,3,4,940,101.6,2.8,0.226,,,,,, +2012,2,28,23,30,0,0,0,3,4,940,103.1,2.9,0.226,,,,,, +2012,3,1,0,30,0,0,0,4,4,940,105.1,3.1,0.226,,,,,, +2012,3,1,1,30,0,0,0,4,4,940,109.7,2.8,0.226,,,,,, +2012,3,1,2,30,0,0,0,2,3,940,107.4,2.3,0.226,,,,,, +2012,3,1,3,30,0,0,0,2,3,940,100.6,2,0.226,,,,,, +2012,3,1,4,30,0,0,0,2,2,940,93.1,1.8,0.226,,,,,, +2012,3,1,5,30,0,0,0,2,2,940,85.9,1.8,0.226,,,,,, +2012,3,1,6,30,91,16,19,2,3,940,81.8,2.8,0.226,,,,,, +2012,3,1,7,30,575,67,206,1,6,940,84.1,3.9,0.226,,,,,, +2012,3,1,8,30,778,90,422,-1,9,940,92.4,4.1,0.226,,,,,, +2012,3,1,9,30,877,102,607,-3,12,940,92.3,3.9,0.226,,,,,, +2012,3,1,10,30,913,114,737,-4,13,940,87,3.9,0.226,,,,,, +2012,3,1,11,30,930,119,803,-5,14,940,85.2,4.2,0.226,,,,,, +2012,3,1,12,30,924,121,801,-5,15,940,86.4,4.6,0.226,,,,,, +2012,3,1,13,30,930,104,737,-5,14,940,83.5,5.1,0.226,,,,,, +2012,3,1,14,30,417,233,473,-4,13,940,82.4,5.5,0.226,,,,,, +2012,3,1,15,30,388,163,327,-4,11,940,84.3,5.1,0.226,,,,,, +2012,3,1,16,30,648,55,209,-4,9,940,87.2,4,0.226,,,,,, +2012,3,1,17,30,0,19,19,-3,7,940,90.8,3.7,0.226,,,,,, +2012,3,1,18,30,0,0,0,-1,5,940,101,4.3,0.226,,,,,, +2012,3,1,19,30,0,0,0,0,4,940,110.1,4.5,0.226,,,,,, +2012,3,1,20,30,0,0,0,0,4,940,111.6,4.2,0.226,,,,,, +2012,3,1,21,30,0,0,0,0,3,940,113.3,4.1,0.226,,,,,, +2012,3,1,22,30,0,0,0,0,2,940,117.2,3.8,0.226,,,,,, +2012,3,1,23,30,0,0,0,0,2,940,121,3.1,0.226,,,,,, +2012,3,2,0,30,0,0,0,0,2,950,126.3,3.1,0.226,,,,,, +2012,3,2,1,30,0,0,0,-3,2,950,140.6,3.8,0.226,,,,,, +2012,3,2,2,30,0,0,0,-7,3,950,158.3,4.4,0.226,,,,,, +2012,3,2,3,30,0,0,0,-11,2,950,168.2,4.5,0.226,,,,,, +2012,3,2,4,30,0,0,0,-15,1,950,171.5,4.6,0.226,,,,,, +2012,3,2,5,30,0,0,0,-16,1,950,169.7,5,0.226,,,,,, +2012,3,2,6,30,149,17,23,-17,2,950,167.1,6,0.226,,,,,, +2012,3,2,7,30,377,78,171,-17,5,950,172.3,7.7,0.226,,,,,, +2012,3,2,8,30,846,85,449,-17,7,950,188.1,8.6,0.226,,,,,, +2012,3,2,9,30,928,99,638,-18,9,950,191.6,8.4,0.226,,,,,, +2012,3,2,10,30,895,142,757,-19,10,950,192.5,8.1,0.226,,,,,, +2012,3,2,11,30,910,151,825,-19,12,950,192.2,7.7,0.226,,,,,, +2012,3,2,12,30,908,149,821,-19,13,950,191.4,7.5,0.226,,,,,, +2012,3,2,13,30,965,106,766,-19,13,950,191.1,7.2,0.226,,,,,, +2012,3,2,14,30,907,102,626,-19,13,950,190.2,6.8,0.226,,,,,, +2012,3,2,15,30,810,90,435,-19,12,950,189.5,5.8,0.226,,,,,, +2012,3,2,16,30,607,68,214,-17,9,950,190.4,3.5,0.226,,,,,, +2012,3,2,17,30,0,20,20,-14,6,950,192.1,1.8,0.226,,,,,, +2012,3,2,18,30,0,0,0,-15,6,950,193.8,1.7,0.226,,,,,, +2012,3,2,19,30,0,0,0,-15,6,950,190.4,1.7,0.226,,,,,, +2012,3,2,20,30,0,0,0,-16,6,950,185.5,1.6,0.226,,,,,, +2012,3,2,21,30,0,0,0,-16,5,950,180.4,1.6,0.226,,,,,, +2012,3,2,22,30,0,0,0,-17,5,950,175,1.6,0.226,,,,,, +2012,3,2,23,30,0,0,0,-17,4,950,172.1,1.6,0.226,,,,,, +2012,3,3,0,30,0,0,0,-17,4,950,172.8,1.6,0.226,,,,,, +2012,3,3,1,30,0,0,0,-17,3,950,174.1,1.7,0.226,,,,,, +2012,3,3,2,30,0,0,0,-16,2,950,179.8,1.8,0.226,,,,,, +2012,3,3,3,30,0,0,0,-16,1,950,186.7,1.9,0.226,,,,,, +2012,3,3,4,30,0,0,0,-16,0,950,190.7,2,0.226,,,,,, +2012,3,3,5,30,0,0,0,-15,0,950,191.6,2.1,0.226,,,,,, +2012,3,3,6,30,0,16,16,-15,3,950,190.6,3,0.226,,,,,, +2012,3,3,7,30,398,78,178,-14,8,950,187.3,4.2,0.226,,,,,, +2012,3,3,8,30,830,82,443,-14,11,950,196.1,4.7,0.226,,,,,, +2012,3,3,9,30,915,93,629,-14,14,950,200.9,4.9,0.226,,,,,, +2012,3,3,10,30,964,97,763,-13,16,950,198.6,4.8,0.226,,,,,, +2012,3,3,11,30,984,99,832,-13,17,950,195.6,4.7,0.226,,,,,, +2012,3,3,12,30,984,98,831,-12,18,950,191.9,4.7,0.226,,,,,, +2012,3,3,13,30,967,94,760,-11,19,950,190.4,4.7,0.226,,,,,, +2012,3,3,14,30,925,87,624,-10,18,950,189.7,4.6,0.226,,,,,, +2012,3,3,15,30,844,75,438,-10,17,950,187.9,3.8,0.226,,,,,, +2012,3,3,16,30,688,54,222,-7,14,950,183.9,2.4,0.226,,,,,, +2012,3,3,17,30,0,24,24,-7,11,950,174.2,1.8,0.226,,,,,, +2012,3,3,18,30,0,0,0,-7,11,950,168.2,2,0.226,,,,,, +2012,3,3,19,30,0,0,0,-7,10,950,165.8,2.3,0.226,,,,,, +2012,3,3,20,30,0,0,0,-8,10,950,165.8,2.4,0.226,,,,,, +2012,3,3,21,30,0,0,0,-8,9,950,168.3,2.6,0.226,,,,,, +2012,3,3,22,30,0,0,0,-8,8,950,172.5,2.8,0.226,,,,,, +2012,3,3,23,30,0,0,0,-8,7,950,176.8,2.9,0.226,,,,,, +2012,3,4,0,30,0,0,0,-8,7,950,180.5,2.9,0.226,,,,,, +2012,3,4,1,30,0,0,0,-7,7,950,182.1,2.8,0.226,,,,,, +2012,3,4,2,30,0,0,0,-7,6,950,182.7,2.6,0.226,,,,,, +2012,3,4,3,30,0,0,0,-7,6,950,184.4,2.5,0.226,,,,,, +2012,3,4,4,30,0,0,0,-7,6,950,186.5,2.4,0.226,,,,,, +2012,3,4,5,30,0,0,0,-7,6,950,188.5,2.7,0.226,,,,,, +2012,3,4,6,30,0,19,19,-7,8,950,189.9,3.7,0.226,,,,,, +2012,3,4,7,30,684,57,232,-6,12,950,189.5,4.1,0.226,,,,,, +2012,3,4,8,30,846,77,449,-5,16,950,194.8,4.4,0.226,,,,,, +2012,3,4,9,30,926,89,635,-5,19,950,207.5,4.6,0.226,,,,,, +2012,3,4,10,30,902,127,755,-5,21,950,209.5,4.3,0.226,,,,,, +2012,3,4,11,30,926,130,824,-5,22,950,204,3.9,0.226,,,,,, +2012,3,4,12,30,930,127,824,-5,23,950,195.3,3.8,0.226,,,,,, +2012,3,4,13,30,946,106,761,-6,23,950,188.6,3.7,0.226,,,,,, +2012,3,4,14,30,905,97,627,-6,23,950,183.8,3.6,0.226,,,,,, +2012,3,4,15,30,824,83,440,-6,21,950,178.1,2.6,0.226,,,,,, +2012,3,4,16,30,676,57,225,-3,18,950,171.2,1.6,0.228,,,,,, +2012,3,4,17,30,0,26,26,-4,16,950,163.7,1.5,0.228,,,,,, +2012,3,4,18,30,0,0,0,-5,15,950,161.4,1.6,0.228,,,,,, +2012,3,4,19,30,0,0,0,-5,14,950,161.2,1.7,0.228,,,,,, +2012,3,4,20,30,0,0,0,-6,14,950,161.8,1.7,0.228,,,,,, +2012,3,4,21,30,0,0,0,-6,13,950,164.4,1.7,0.228,,,,,, +2012,3,4,22,30,0,0,0,-6,13,950,167,1.7,0.228,,,,,, +2012,3,4,23,30,0,0,0,-6,13,950,168.6,1.7,0.228,,,,,, +2012,3,5,0,30,0,0,0,-6,12,950,170,1.8,0.228,,,,,, +2012,3,5,1,30,0,0,0,-6,11,940,172.6,1.8,0.228,,,,,, +2012,3,5,2,30,0,0,0,-6,11,940,177.5,1.8,0.228,,,,,, +2012,3,5,3,30,0,0,0,-6,10,940,184,1.7,0.228,,,,,, +2012,3,5,4,30,0,0,0,-6,10,940,193.3,1.6,0.228,,,,,, +2012,3,5,5,30,0,0,0,-6,10,940,200.9,1.4,0.228,,,,,, +2012,3,5,6,30,40,20,22,-6,10,940,208.6,1.4,0.228,,,,,, +2012,3,5,7,30,279,94,166,-5,12,950,216.2,1.6,0.228,,,,,, +2012,3,5,8,30,293,182,312,-5,15,950,211.9,1.1,0.228,,,,,, +2012,3,5,9,30,493,214,507,-6,18,950,187.9,0.5,0.228,,,,,, +2012,3,5,10,30,544,247,628,-8,21,950,100.3,0.7,0.228,,,,,, +2012,3,5,11,30,376,342,626,-9,23,940,61,1.1,0.228,,,,,, +2012,3,5,12,30,188,379,520,-9,23,940,55.2,1.4,0.228,,,,,, +2012,3,5,13,30,259,344,525,-9,24,940,63.8,1.4,0.228,,,,,, +2012,3,5,14,30,251,270,418,-10,23,940,63.7,1.1,0.228,,,,,, +2012,3,5,15,30,192,189,273,-7,21,940,56.1,0.6,0.228,,,,,, +2012,3,5,16,30,173,97,141,-3,18,940,31.2,0.5,0.228,,,,,, +2012,3,5,17,30,0,15,15,-5,16,940,3.7,0.5,0.228,,,,,, +2012,3,5,18,30,0,0,0,-6,14,940,348.7,0.6,0.228,,,,,, +2012,3,5,19,30,0,0,0,-5,14,940,351.4,0.7,0.228,,,,,, +2012,3,5,20,30,0,0,0,-4,13,940,4.4,0.8,0.228,,,,,, +2012,3,5,21,30,0,0,0,-2,12,940,15.1,1,0.228,,,,,, +2012,3,5,22,30,0,0,0,-1,11,940,20.2,1.2,0.228,,,,,, +2012,3,5,23,30,0,0,0,-1,10,940,19.8,1.3,0.228,,,,,, +2012,3,6,0,30,0,0,0,-1,9,940,16.9,1.5,0.228,,,,,, +2012,3,6,1,30,0,0,0,0,8,940,13.4,1.6,0.228,,,,,, +2012,3,6,2,30,0,0,0,0,8,940,15.5,1.8,0.228,,,,,, +2012,3,6,3,30,0,0,0,0,7,940,19.1,1.9,0.228,,,,,, +2012,3,6,4,30,0,0,0,0,7,940,20,2,0.228,,,,,, +2012,3,6,5,30,0,0,0,1,7,940,19.5,2.1,0.228,,,,,, +2012,3,6,6,30,223,22,36,2,8,940,19.8,2.8,0.228,,,,,, +2012,3,6,7,30,659,65,240,2,11,940,21.3,3.5,0.228,,,,,, +2012,3,6,8,30,819,87,456,0,14,940,26.8,4.1,0.228,,,,,, +2012,3,6,9,30,892,103,638,-2,16,940,37,5.2,0.228,,,,,, +2012,3,6,10,30,904,126,763,-3,17,930,37,6.6,0.228,,,,,, +2012,3,6,11,30,923,130,830,-2,17,930,37.8,7.8,0.228,,,,,, +2012,3,6,12,30,927,126,827,-1,17,930,40.4,8.9,0.228,,,,,, +2012,3,6,13,30,909,119,756,0,16,930,44.5,9.8,0.228,,,,,, +2012,3,6,14,30,872,107,624,0,13,930,48.9,10.3,0.228,,,,,, +2012,3,6,15,30,0,20,20,-1,10,930,52.8,10.3,0.228,,,,,, +2012,3,6,16,30,437,75,187,-4,8,930,58.8,9.6,0.228,,,,,, +2012,3,6,17,30,0,28,28,-7,6,930,70.9,8.8,0.228,,,,,, +2012,3,6,18,30,0,0,0,-7,4,930,84.6,8.2,0.228,,,,,, +2012,3,6,19,30,0,0,0,-7,4,930,95.4,7.6,0.228,,,,,, +2012,3,6,20,30,0,0,0,-7,3,930,102.1,6.9,0.228,,,,,, +2012,3,6,21,30,0,0,0,-8,3,930,103.8,6,0.228,,,,,, +2012,3,6,22,30,0,0,0,-9,2,930,103.5,5.1,0.228,,,,,, +2012,3,6,23,30,0,0,0,-10,2,940,103.3,4.3,0.228,,,,,, +2012,3,7,0,30,0,0,0,-11,2,940,105,3.5,0.228,,,,,, +2012,3,7,1,30,0,0,0,-12,2,940,109.5,3.1,0.228,,,,,, +2012,3,7,2,30,0,0,0,-14,1,940,117.1,3.1,0.228,,,,,, +2012,3,7,3,30,0,0,0,-16,0,940,127.7,3.1,0.228,,,,,, +2012,3,7,4,30,0,0,0,-17,0,940,134.6,3,0.228,,,,,, +2012,3,7,5,30,0,0,0,-18,0,940,138.2,3.7,0.228,,,,,, +2012,3,7,6,30,246,25,41,-18,0,940,142.4,5.4,0.228,,,,,, +2012,3,7,7,30,666,68,248,-18,2,940,150.6,6.5,0.228,,,,,, +2012,3,7,8,30,817,94,465,-18,4,940,154.8,6.4,0.228,,,,,, +2012,3,7,9,30,885,114,649,-17,6,940,153.9,5.9,0.228,,,,,, +2012,3,7,10,30,925,126,782,-17,8,940,155.4,5.7,0.228,,,,,, +2012,3,7,11,30,946,129,851,-16,9,940,157.2,5.7,0.228,,,,,, +2012,3,7,12,30,956,123,851,-15,10,940,156.7,5.6,0.228,,,,,, +2012,3,7,13,30,956,109,783,-15,11,940,157.3,5.5,0.228,,,,,, +2012,3,7,14,30,910,102,644,-15,11,940,160.7,5.3,0.228,,,,,, +2012,3,7,15,30,825,88,455,-15,11,940,165.3,4.5,0.228,,,,,, +2012,3,7,16,30,643,67,233,-15,9,940,169.7,2.6,0.228,,,,,, +2012,3,7,17,30,177,21,30,-13,6,950,171.7,1.3,0.228,,,,,, +2012,3,7,18,30,0,0,0,-13,5,950,168.4,1.3,0.228,,,,,, +2012,3,7,19,30,0,0,0,-14,4,950,166.2,1.3,0.228,,,,,, +2012,3,7,20,30,0,0,0,-14,3,950,165.1,1.3,0.228,,,,,, +2012,3,7,21,30,0,0,0,-15,2,950,165.5,1.4,0.228,,,,,, +2012,3,7,22,30,0,0,0,-16,2,950,168.5,1.5,0.228,,,,,, +2012,3,7,23,30,0,0,0,-16,1,950,173,1.6,0.228,,,,,, +2012,3,8,0,30,0,0,0,-15,1,950,176.2,1.6,0.228,,,,,, +2012,3,8,1,30,0,0,0,-15,0,950,171.7,1.8,0.228,,,,,, +2012,3,8,2,30,0,0,0,-14,0,950,168.8,2.2,0.228,,,,,, +2012,3,8,3,30,0,0,0,-13,0,950,170,2.5,0.228,,,,,, +2012,3,8,4,30,0,0,0,-13,0,950,172.7,2.7,0.228,,,,,, +2012,3,8,5,30,0,0,0,-13,0,950,172.8,3.2,0.228,,,,,, +2012,3,8,6,30,80,25,31,-13,3,950,171.7,4.4,0.228,,,,,, +2012,3,8,7,30,352,92,189,-12,8,950,173.7,5.6,0.228,,,,,, +2012,3,8,8,30,833,91,473,-12,11,950,183,5.7,0.228,,,,,, +2012,3,8,9,30,911,104,659,-12,13,950,190.1,5.3,0.228,,,,,, +2012,3,8,10,30,940,118,789,-12,15,950,193.7,5.1,0.228,,,,,, +2012,3,8,11,30,953,124,856,-12,16,950,192.1,5.1,0.228,,,,,, +2012,3,8,12,30,948,125,852,-12,17,950,188.6,5.1,0.228,,,,,, +2012,3,8,13,30,947,111,782,-13,18,950,185.3,5,0.228,,,,,, +2012,3,8,14,30,902,103,644,-13,18,950,182.8,4.9,0.228,,,,,, +2012,3,8,15,30,267,193,313,-13,17,950,180.1,4.1,0.228,,,,,, +2012,3,8,16,30,657,64,236,-13,13,950,175.4,2.6,0.228,,,,,, +2012,3,8,17,30,197,22,33,-10,10,950,165.1,1.9,0.228,,,,,, +2012,3,8,18,30,0,0,0,-11,10,950,160.2,2,0.228,,,,,, +2012,3,8,19,30,0,0,0,-12,10,950,160,2.1,0.228,,,,,, +2012,3,8,20,30,0,0,0,-12,9,950,161.9,2.2,0.228,,,,,, +2012,3,8,21,30,0,0,0,-12,8,950,163.9,2.2,0.228,,,,,, +2012,3,8,22,30,0,0,0,-12,7,950,165.1,2.3,0.228,,,,,, +2012,3,8,23,30,0,0,0,-13,7,950,169.5,2.4,0.228,,,,,, +2012,3,9,0,30,0,0,0,-13,6,950,175.6,2.4,0.228,,,,,, +2012,3,9,1,30,0,0,0,-12,5,950,187.5,2.4,0.228,,,,,, +2012,3,9,2,30,0,0,0,-12,4,950,194.4,2.4,0.228,,,,,, +2012,3,9,3,30,0,0,0,-12,3,950,195.6,2.6,0.228,,,,,, +2012,3,9,4,30,0,0,0,-12,2,950,191.3,2.7,0.228,,,,,, +2012,3,9,5,30,0,0,0,-11,2,950,187.4,3.3,0.228,,,,,, +2012,3,9,6,30,55,26,31,-11,5,950,185.7,4.1,0.228,,,,,, +2012,3,9,7,30,346,95,192,-10,9,950,182.2,4.1,0.228,,,,,, +2012,3,9,8,30,857,85,482,-10,13,950,190.4,3.7,0.228,,,,,, +2012,3,9,9,30,937,96,671,-10,16,950,202.1,3.2,0.228,,,,,, +2012,3,9,10,30,981,102,807,-10,19,950,200.9,3,0.228,,,,,, +2012,3,9,11,30,996,107,877,-11,20,950,194,3.2,0.228,,,,,, +2012,3,9,12,30,994,109,874,-12,21,950,189.4,3.3,0.228,,,,,, +2012,3,9,13,30,1000,94,807,-13,21,950,188.4,3.3,0.228,,,,,, +2012,3,9,14,30,964,87,669,-14,21,950,188,3.2,0.228,,,,,, +2012,3,9,15,30,888,77,477,-15,20,950,184.9,2.3,0.228,,,,,, +2012,3,9,16,30,748,56,254,-12,17,950,179.5,1.4,0.228,,,,,, +2012,3,9,17,30,304,22,39,-11,14,950,166.8,1.2,0.228,,,,,, +2012,3,9,18,30,0,0,0,-12,13,950,155.6,1.3,0.228,,,,,, +2012,3,9,19,30,0,0,0,-13,12,950,152.5,1.3,0.228,,,,,, +2012,3,9,20,30,0,0,0,-13,11,950,152.5,1.3,0.228,,,,,, +2012,3,9,21,30,0,0,0,-13,10,950,155.7,1.2,0.228,,,,,, +2012,3,9,22,30,0,0,0,-13,9,950,171.4,1.2,0.228,,,,,, +2012,3,9,23,30,0,0,0,-13,8,940,201.4,1.2,0.228,,,,,, +2012,3,10,0,30,0,0,0,-13,8,940,232.7,1.4,0.228,,,,,, +2012,3,10,1,30,0,0,0,-12,8,940,250.2,1.5,0.228,,,,,, +2012,3,10,2,30,0,0,0,-12,7,940,260.2,1.5,0.228,,,,,, +2012,3,10,3,30,0,0,0,-11,7,940,265.9,1.4,0.228,,,,,, +2012,3,10,4,30,0,0,0,-11,6,940,267.7,1.4,0.228,,,,,, +2012,3,10,5,30,0,0,0,-11,6,940,265,1.3,0.228,,,,,, +2012,3,10,6,30,96,29,37,-10,8,940,261.9,1.7,0.228,,,,,, +2012,3,10,7,30,407,90,206,-9,12,940,268,2.5,0.228,,,,,, +2012,3,10,8,30,852,89,489,-10,16,940,304.9,3,0.228,,,,,, +2012,3,10,9,30,931,101,677,-11,19,940,326.8,3.3,0.228,,,,,, +2012,3,10,10,30,975,106,812,-13,21,940,339.1,3.2,0.228,,,,,, +2012,3,10,11,30,994,108,880,-13,22,940,351.4,3.1,0.228,,,,,, +2012,3,10,12,30,992,108,876,-14,23,940,3.8,3.1,0.228,,,,,, +2012,3,10,13,30,845,136,741,-14,24,940,14.4,3.2,0.228,,,,,, +2012,3,10,14,30,660,163,564,-14,23,940,20.7,3.2,0.228,,,,,, +2012,3,10,15,30,863,61,453,-14,22,940,23.4,2.7,0.228,,,,,, +2012,3,10,16,30,351,89,183,-11,18,940,22.8,1.7,0.228,,,,,, +2012,3,10,17,30,68,22,27,-9,14,940,13.6,1.4,0.228,,,,,, +2012,3,10,18,30,0,0,0,-8,12,940,8.4,1.6,0.228,,,,,, +2012,3,10,19,30,0,0,0,-6,11,940,7.1,1.8,0.228,,,,,, +2012,3,10,20,30,0,0,0,-3,9,940,8.7,2,0.228,,,,,, +2012,3,10,21,30,0,0,0,-1,9,940,11.7,2,0.228,,,,,, +2012,3,10,22,30,0,0,0,0,8,940,13.8,1.8,0.228,,,,,, +2012,3,10,23,30,0,0,0,0,8,940,13.3,1.5,0.228,,,,,, +2012,3,11,0,30,0,0,0,0,7,940,8.9,1.2,0.228,,,,,, +2012,3,11,1,30,0,0,0,1,7,940,0,1.2,0.228,,,,,, +2012,3,11,2,30,0,0,0,1,6,940,349.2,1.2,0.228,,,,,, +2012,3,11,3,30,0,0,0,2,5,940,340.3,1.2,0.228,,,,,, +2012,3,11,4,30,0,0,0,2,4,940,337.1,1.3,0.228,,,,,, +2012,3,11,5,30,0,0,0,2,4,940,339,1.6,0.228,,,,,, +2012,3,11,6,30,241,32,52,3,6,940,343.4,2.3,0.228,,,,,, +2012,3,11,7,30,636,76,261,2,9,940,347.9,3,0.228,,,,,, +2012,3,11,8,30,797,99,477,0,13,940,359.1,3.3,0.228,,,,,, +2012,3,11,9,30,874,115,660,-2,16,940,6.8,3.5,0.228,,,,,, +2012,3,11,10,30,902,130,787,-5,19,940,12.9,3.8,0.228,,,,,, +2012,3,11,11,30,924,133,855,-8,20,940,14.1,4.2,0.228,,,,,, +2012,3,11,12,30,924,132,851,-9,21,940,14.8,4.5,0.228,,,,,, +2012,3,11,13,30,908,124,778,-8,22,940,16.1,4.7,0.228,,,,,, +2012,3,11,14,30,869,111,642,-7,21,940,16.3,4.7,0.228,,,,,, +2012,3,11,15,30,788,94,455,-6,20,940,15.2,4.3,0.228,,,,,, +2012,3,11,16,30,625,69,238,-5,17,940,11.8,2.9,0.228,,,,,, +2012,3,11,17,30,194,25,37,-4,14,940,4.7,1.9,0.228,,,,,, +2012,3,11,18,30,0,0,0,-3,12,940,6.8,1.9,0.228,,,,,, +2012,3,11,19,30,0,0,0,-2,10,940,13.3,1.9,0.228,,,,,, +2012,3,11,20,30,0,0,0,-1,9,940,19.7,1.8,0.228,,,,,, +2012,3,11,21,30,0,0,0,0,8,940,24.9,1.6,0.228,,,,,, +2012,3,11,22,30,0,0,0,0,7,940,30.3,1.4,0.228,,,,,, +2012,3,11,23,30,0,0,0,1,6,940,37.1,1.2,0.228,,,,,, +2012,3,12,0,30,0,0,0,1,6,940,48.8,1.1,0.228,,,,,, +2012,3,12,1,30,0,0,0,1,5,940,66.7,0.9,0.228,,,,,, +2012,3,12,2,30,0,0,0,1,5,940,86.8,0.8,0.228,,,,,, +2012,3,12,3,30,0,0,0,1,5,940,107.5,0.7,0.228,,,,,, +2012,3,12,4,30,0,0,0,1,5,940,128.3,0.5,0.228,,,,,, +2012,3,12,5,30,0,0,0,1,5,940,153,0.2,0.228,,,,,, +2012,3,12,6,30,0,3,3,1,7,940,262,0.4,0.228,,,,,, +2012,3,12,7,30,7,104,106,1,9,940,313.1,1.2,0.228,,,,,, +2012,3,12,8,30,621,123,421,0,13,940,322.6,2.1,0.228,,,,,, +2012,3,12,9,30,453,244,528,0,16,940,336.3,3.1,0.228,,,,,, +2012,3,12,10,30,757,178,733,-2,19,940,346.7,3.7,0.228,,,,,, +2012,3,12,11,30,615,270,753,-3,21,940,351.5,3.9,0.228,,,,,, +2012,3,12,12,30,539,296,718,-4,22,940,357.1,4,0.228,,,,,, +2012,3,12,13,30,568,252,663,-4,22,940,2.3,3.9,0.228,,,,,, +2012,3,12,14,30,422,247,506,-4,21,940,7.3,3.6,0.228,,,,,, +2012,3,12,15,30,758,103,453,-3,20,940,12.7,2.8,0.228,,,,,, +2012,3,12,16,30,598,74,238,-3,17,940,19.8,1.6,0.223,,,,,, +2012,3,12,17,30,196,26,39,-2,13,940,32.6,1.2,0.223,,,,,, +2012,3,12,18,30,0,0,0,-1,11,940,42.2,1.3,0.223,,,,,, +2012,3,12,19,30,0,0,0,0,10,940,45.6,1.4,0.223,,,,,, +2012,3,12,20,30,0,0,0,0,9,940,40.9,1.4,0.223,,,,,, +2012,3,12,21,30,0,0,0,1,8,940,34.3,1.4,0.223,,,,,, +2012,3,12,22,30,0,0,0,2,7,940,29.7,1.4,0.223,,,,,, +2012,3,12,23,30,0,0,0,2,7,940,26.3,1.2,0.223,,,,,, +2012,3,13,0,30,0,0,0,3,6,940,22.2,1.1,0.223,,,,,, +2012,3,13,1,30,0,0,0,3,5,940,14.4,0.8,0.223,,,,,, +2012,3,13,2,30,0,0,0,3,5,940,356.1,0.5,0.223,,,,,, +2012,3,13,3,30,0,0,0,3,4,940,324.6,0.4,0.223,,,,,, +2012,3,13,4,30,0,0,0,3,4,940,304.6,0.4,0.223,,,,,, +2012,3,13,5,30,0,0,0,2,4,940,293.3,0.3,0.223,,,,,, +2012,3,13,6,30,100,34,43,2,6,940,295.9,0.3,0.223,,,,,, +2012,3,13,7,30,656,72,269,2,10,940,289.8,0.5,0.223,,,,,, +2012,3,13,8,30,814,91,485,1,14,940,288.1,0.9,0.223,,,,,, +2012,3,13,9,30,891,103,667,0,17,940,322.7,1.5,0.223,,,,,, +2012,3,13,10,30,930,111,797,0,18,940,0.2,2.3,0.223,,,,,, +2012,3,13,11,30,947,116,863,-1,19,940,10.9,2.9,0.223,,,,,, +2012,3,13,12,30,950,114,861,-1,20,940,17.7,3.4,0.223,,,,,, +2012,3,13,13,30,932,109,788,-2,21,940,22.2,3.6,0.223,,,,,, +2012,3,13,14,30,889,101,650,-2,20,940,23.1,3.7,0.223,,,,,, +2012,3,13,15,30,802,89,462,-3,19,940,22.3,3.2,0.223,,,,,, +2012,3,13,16,30,625,69,243,-2,17,940,20.5,2.1,0.223,,,,,, +2012,3,13,17,30,212,26,41,-2,13,940,17.4,1.6,0.223,,,,,, +2012,3,13,18,30,0,0,0,-1,11,940,20.9,1.7,0.223,,,,,, +2012,3,13,19,30,0,0,0,-1,10,940,28.8,1.7,0.223,,,,,, +2012,3,13,20,30,0,0,0,0,9,940,42.2,1.7,0.223,,,,,, +2012,3,13,21,30,0,0,0,0,8,940,58.1,1.6,0.223,,,,,, +2012,3,13,22,30,0,0,0,1,8,940,73.5,1.4,0.223,,,,,, +2012,3,13,23,30,0,0,0,2,7,940,88.5,1.3,0.223,,,,,, +2012,3,14,0,30,0,0,0,3,6,940,103.7,1.3,0.223,,,,,, +2012,3,14,1,30,0,0,0,3,6,940,118.8,1.4,0.223,,,,,, +2012,3,14,2,30,0,0,0,3,5,940,129.6,1.5,0.223,,,,,, +2012,3,14,3,30,0,0,0,3,5,940,135.2,1.5,0.223,,,,,, +2012,3,14,4,30,0,0,0,4,4,940,137.6,1.4,0.223,,,,,, +2012,3,14,5,30,0,0,0,4,5,940,138.2,1.5,0.223,,,,,, +2012,3,14,6,30,330,32,65,4,7,940,137.5,2,0.223,,,,,, +2012,3,14,7,30,677,67,274,4,11,940,141.7,2.1,0.223,,,,,, +2012,3,14,8,30,827,84,488,2,15,940,138.5,1.3,0.223,,,,,, +2012,3,14,9,30,902,94,669,0,18,940,58,1.3,0.223,,,,,, +2012,3,14,10,30,939,101,797,-1,20,940,23,1.9,0.223,,,,,, +2012,3,14,11,30,954,105,862,-2,21,940,15.9,2.4,0.223,,,,,, +2012,3,14,12,30,952,105,857,-2,22,940,16.2,2.7,0.223,,,,,, +2012,3,14,13,30,935,100,784,-2,23,940,19.8,2.8,0.223,,,,,, +2012,3,14,14,30,899,90,649,-2,22,940,22.4,2.9,0.223,,,,,, +2012,3,14,15,30,827,77,464,-2,21,940,23.9,2.5,0.223,,,,,, +2012,3,14,16,30,685,58,250,-2,19,940,22.8,1.7,0.223,,,,,, +2012,3,14,17,30,304,24,46,-1,15,940,16.2,1.3,0.223,,,,,, +2012,3,14,18,30,0,0,0,0,13,940,16.5,1.4,0.223,,,,,, +2012,3,14,19,30,0,0,0,0,12,940,21.4,1.5,0.223,,,,,, +2012,3,14,20,30,0,0,0,1,11,950,27.9,1.5,0.223,,,,,, +2012,3,14,21,30,0,0,0,2,10,950,37.6,1.4,0.223,,,,,, +2012,3,14,22,30,0,0,0,2,9,950,52.2,1.3,0.223,,,,,, +2012,3,14,23,30,0,0,0,3,8,950,76,1.2,0.223,,,,,, +2012,3,15,0,30,0,0,0,3,8,950,104.3,1.2,0.223,,,,,, +2012,3,15,1,30,0,0,0,4,7,940,127.2,1.2,0.223,,,,,, +2012,3,15,2,30,0,0,0,4,6,940,144.6,1.2,0.223,,,,,, +2012,3,15,3,30,0,0,0,4,6,940,157.3,1.2,0.223,,,,,, +2012,3,15,4,30,0,0,0,4,5,940,166.2,1.2,0.223,,,,,, +2012,3,15,5,30,0,0,0,5,6,950,172.8,1.1,0.223,,,,,, +2012,3,15,6,30,373,32,70,5,8,950,177.2,1.3,0.223,,,,,, +2012,3,15,7,30,717,62,284,5,12,950,184.9,1.3,0.223,,,,,, +2012,3,15,8,30,852,79,499,4,17,950,234.9,1.3,0.223,,,,,, +2012,3,15,9,30,921,90,681,1,20,950,321.6,1.9,0.223,,,,,, +2012,3,15,10,30,850,141,775,0,22,940,345.4,2.3,0.223,,,,,, +2012,3,15,11,30,734,217,803,0,23,940,358.2,2.4,0.223,,,,,, +2012,3,15,12,30,960,104,867,0,24,940,9.6,2.4,0.223,,,,,, +2012,3,15,13,30,937,102,791,0,25,940,16.3,2.4,0.223,,,,,, +2012,3,15,14,30,898,94,655,0,24,940,19.9,2.5,0.223,,,,,, +2012,3,15,15,30,820,82,467,0,23,940,23.7,2.2,0.223,,,,,, +2012,3,15,16,30,670,62,251,0,21,940,27.2,1.6,0.223,,,,,, +2012,3,15,17,30,282,26,47,1,17,940,28.6,1.2,0.223,,,,,, +2012,3,15,18,30,0,0,0,3,15,940,31.8,1.4,0.223,,,,,, +2012,3,15,19,30,0,0,0,4,14,940,35.7,1.5,0.223,,,,,, +2012,3,15,20,30,0,0,0,6,12,940,39.5,1.5,0.223,,,,,, +2012,3,15,21,30,0,0,0,7,12,940,46.2,1.4,0.223,,,,,, +2012,3,15,22,30,0,0,0,7,11,940,58.6,1.3,0.223,,,,,, +2012,3,15,23,30,0,0,0,8,10,940,75.8,1.2,0.223,,,,,, +2012,3,16,0,30,0,0,0,8,9,940,94,1.1,0.223,,,,,, +2012,3,16,1,30,0,0,0,8,9,940,108.6,1.1,0.223,,,,,, +2012,3,16,2,30,0,0,0,8,8,940,119.2,1,0.223,,,,,, +2012,3,16,3,30,0,0,0,8,8,940,127.9,1,0.223,,,,,, +2012,3,16,4,30,0,0,0,8,8,940,134.4,1,0.223,,,,,, +2012,3,16,5,30,0,0,0,8,8,940,138.1,1,0.223,,,,,, +2012,3,16,6,30,267,39,68,8,10,940,139.7,1.2,0.223,,,,,, +2012,3,16,7,30,593,84,271,8,12,940,145.9,0.9,0.223,,,,,, +2012,3,16,8,30,749,107,481,7,15,940,76.2,1.3,0.223,,,,,, +2012,3,16,9,30,828,123,658,6,17,940,24.5,2.7,0.223,,,,,, +2012,3,16,10,30,699,212,737,6,18,940,19.3,3.6,0.223,,,,,, +2012,3,16,11,30,92,394,468,5,19,940,20,4.3,0.223,,,,,, +2012,3,16,12,30,266,396,609,5,20,940,22.9,4.7,0.223,,,,,, +2012,3,16,13,30,304,350,575,4,20,940,23.2,4.9,0.223,,,,,, +2012,3,16,14,30,204,298,426,4,20,940,20.3,5.1,0.223,,,,,, +2012,3,16,15,30,247,203,321,4,19,940,16.2,5.3,0.223,,,,,, +2012,3,16,16,30,381,93,202,4,17,940,13.2,4.9,0.223,,,,,, +2012,3,16,17,30,0,22,22,5,15,940,10.4,4.6,0.223,,,,,, +2012,3,16,18,30,0,0,0,6,13,940,13,4.6,0.223,,,,,, +2012,3,16,19,30,0,0,0,7,12,940,21.8,4.3,0.223,,,,,, +2012,3,16,20,30,0,0,0,7,11,940,33.1,3.7,0.223,,,,,, +2012,3,16,21,30,0,0,0,7,10,940,40,3.2,0.223,,,,,, +2012,3,16,22,30,0,0,0,7,9,940,42.9,3.1,0.223,,,,,, +2012,3,16,23,30,0,0,0,7,9,940,44.7,3,0.223,,,,,, +2012,3,17,0,30,0,0,0,7,9,930,48.9,2.9,0.223,,,,,, +2012,3,17,1,30,0,0,0,6,8,930,52.3,2.7,0.223,,,,,, +2012,3,17,2,30,0,0,0,6,8,930,53.8,2.5,0.223,,,,,, +2012,3,17,3,30,0,0,0,6,8,930,51.7,2.3,0.223,,,,,, +2012,3,17,4,30,0,0,0,6,8,930,48.9,2.3,0.223,,,,,, +2012,3,17,5,30,0,0,0,6,8,930,43.6,2.7,0.223,,,,,, +2012,3,17,6,30,0,32,32,6,8,930,36.5,3.9,0.223,,,,,, +2012,3,17,7,30,265,122,206,6,10,930,41,5.3,0.223,,,,,, +2012,3,17,8,30,198,225,324,5,12,930,41.4,6.4,0.223,,,,,, +2012,3,17,9,30,0,114,114,5,13,930,34.3,7.2,0.223,,,,,, +2012,3,17,10,30,355,347,616,5,14,930,30.8,7.8,0.223,,,,,, +2012,3,17,11,30,295,396,633,4,13,930,29.6,8.2,0.223,,,,,, +2012,3,17,12,30,443,337,693,3,11,930,31.3,8.5,0.223,,,,,, +2012,3,17,13,30,372,334,611,1,9,930,35.1,8.3,0.223,,,,,, +2012,3,17,14,30,503,226,543,1,9,930,40.1,7.6,0.223,,,,,, +2012,3,17,15,30,382,183,365,1,8,930,45,6.7,0.223,,,,,, +2012,3,17,16,30,577,85,252,1,7,930,45.8,5.6,0.223,,,,,, +2012,3,17,17,30,195,33,49,1,5,930,43,4.7,0.223,,,,,, +2012,3,17,18,30,0,0,0,1,4,930,39.1,4.4,0.223,,,,,, +2012,3,17,19,30,0,0,0,1,3,930,39.1,4.3,0.223,,,,,, +2012,3,17,20,30,0,0,0,1,3,930,42.9,4.1,0.223,,,,,, +2012,3,17,21,30,0,0,0,0,2,930,46.9,4,0.223,,,,,, +2012,3,17,22,30,0,0,0,0,2,930,48.7,3.9,0.223,,,,,, +2012,3,17,23,30,0,0,0,0,2,930,51.9,3.8,0.223,,,,,, +2012,3,18,0,30,0,0,0,0,2,930,53.2,3.3,0.223,,,,,, +2012,3,18,1,30,0,0,0,0,2,930,49.8,2.7,0.223,,,,,, +2012,3,18,2,30,0,0,0,0,1,930,45.5,2.4,0.223,,,,,, +2012,3,18,3,30,0,0,0,0,1,930,39.5,2.3,0.223,,,,,, +2012,3,18,4,30,0,0,0,0,0,930,28,2.3,0.223,,,,,, +2012,3,18,5,30,0,0,0,0,0,930,14.7,3,0.223,,,,,, +2012,3,18,6,30,383,38,84,0,2,930,13.8,4.2,0.223,,,,,, +2012,3,18,7,30,702,73,301,-1,3,930,36.6,4.7,0.223,,,,,, +2012,3,18,8,30,846,90,520,-2,4,930,59.2,4.8,0.223,,,,,, +2012,3,18,9,30,925,99,705,-3,5,930,75.9,4.6,0.223,,,,,, +2012,3,18,10,30,973,100,839,-4,6,930,79.7,4.6,0.223,,,,,, +2012,3,18,11,30,530,310,740,-5,7,930,71.2,4.8,0.223,,,,,, +2012,3,18,12,30,988,103,899,-6,7,930,60.8,5.2,0.223,,,,,, +2012,3,18,13,30,960,105,821,-6,7,930,58.6,5.7,0.223,,,,,, +2012,3,18,14,30,107,299,367,-6,7,930,59.9,5.8,0.223,,,,,, +2012,3,18,15,30,565,139,411,-5,6,930,62.6,5.6,0.223,,,,,, +2012,3,18,16,30,646,74,263,-5,5,930,65.2,5.1,0.223,,,,,, +2012,3,18,17,30,257,31,53,-4,4,930,65,4.4,0.223,,,,,, +2012,3,18,18,30,0,0,0,-3,3,930,59.3,4.2,0.223,,,,,, +2012,3,18,19,30,0,0,0,-2,2,930,53.3,4.2,0.223,,,,,, +2012,3,18,20,30,0,0,0,-2,1,940,48.9,4,0.223,,,,,, +2012,3,18,21,30,0,0,0,-1,1,940,45.9,3.5,0.223,,,,,, +2012,3,18,22,30,0,0,0,-2,0,940,44.1,3,0.223,,,,,, +2012,3,18,23,30,0,0,0,-2,0,940,43,2.5,0.223,,,,,, +2012,3,19,0,30,0,0,0,-2,0,940,43.8,2.2,0.223,,,,,, +2012,3,19,1,30,0,0,0,-2,0,940,47.3,1.9,0.223,,,,,, +2012,3,19,2,30,0,0,0,-3,0,940,52,1.6,0.223,,,,,, +2012,3,19,3,30,0,0,0,-3,-1,940,56.9,1.4,0.223,,,,,, +2012,3,19,4,30,0,0,0,-3,-1,940,62.8,1.2,0.223,,,,,, +2012,3,19,5,30,0,0,0,-3,0,940,71.8,1.4,0.223,,,,,, +2012,3,19,6,30,159,46,66,-2,0,940,82.4,2.6,0.223,,,,,, +2012,3,19,7,30,477,97,254,-3,2,940,112.1,3.5,0.223,,,,,, +2012,3,19,8,30,596,142,448,-6,5,940,120.1,3.3,0.223,,,,,, +2012,3,19,9,30,887,122,708,-8,7,940,122.3,2.8,0.223,,,,,, +2012,3,19,10,30,946,119,842,-9,9,940,127.2,2.3,0.223,,,,,, +2012,3,19,11,30,964,121,906,-10,11,940,133.3,1.7,0.223,,,,,, +2012,3,19,12,30,963,120,900,-11,12,940,138.8,1.4,0.223,,,,,, +2012,3,19,13,30,954,109,825,-11,12,940,132.1,1.2,0.223,,,,,, +2012,3,19,14,30,918,99,685,-12,12,940,125.6,1.1,0.223,,,,,, +2012,3,19,15,30,451,170,389,-12,11,940,124.9,0.9,0.223,,,,,, +2012,3,19,16,30,83,117,142,-12,9,940,114.3,0.5,0.223,,,,,, +2012,3,19,17,30,367,28,60,-7,7,940,54.4,0.4,0.223,,,,,, +2012,3,19,18,30,0,0,0,-7,5,940,356.9,0.7,0.223,,,,,, +2012,3,19,19,30,0,0,0,-7,4,940,2,0.8,0.223,,,,,, +2012,3,19,20,30,0,0,0,-7,3,940,16.7,0.9,0.223,,,,,, +2012,3,19,21,30,0,0,0,-7,2,940,33.4,1,0.223,,,,,, +2012,3,19,22,30,0,0,0,-7,1,940,45.7,1.1,0.223,,,,,, +2012,3,19,23,30,0,0,0,-6,0,940,59.7,1.1,0.223,,,,,, +2012,3,20,0,30,0,0,0,-6,0,940,73.7,1.2,0.223,,,,,, +2012,3,20,1,30,0,0,0,-6,0,940,87.7,1.2,0.223,,,,,, +2012,3,20,2,30,0,0,0,-6,0,940,104,1.2,0.223,,,,,, +2012,3,20,3,30,0,0,0,-6,0,940,124,1.2,0.223,,,,,, +2012,3,20,4,30,0,0,0,-6,0,940,147.7,1.2,0.223,,,,,, +2012,3,20,5,30,0,0,0,-6,1,950,166.6,1.3,0.223,,,,,, +2012,3,20,6,30,537,31,100,-5,3,950,179.2,1.9,0.223,,,,,, +2012,3,20,7,30,795,53,319,-5,7,950,179.9,2.5,0.223,,,,,, +2012,3,20,8,30,902,67,533,-8,10,950,183.5,2.6,0.223,,,,,, +2012,3,20,9,30,955,76,712,-9,13,950,191.6,2.6,0.223,,,,,, +2012,3,20,10,30,961,96,834,-9,14,950,192.3,2.7,0.223,,,,,, +2012,3,20,11,30,978,98,899,-8,15,950,190.4,2.8,0.223,,,,,, +2012,3,20,12,30,980,97,894,-8,16,950,188,2.9,0.223,,,,,, +2012,3,20,13,30,952,100,817,-7,17,950,184.6,2.8,0.223,,,,,, +2012,3,20,14,30,916,93,680,-7,17,950,182.3,2.7,0.223,,,,,, +2012,3,20,15,30,845,81,492,-6,16,950,181.4,2.4,0.223,,,,,, +2012,3,20,16,30,729,58,276,-6,14,950,180.2,1.5,0.225,,,,,, +2012,3,20,17,30,382,27,62,-2,12,950,181.2,0.7,0.225,,,,,, +2012,3,20,18,30,0,0,0,-4,11,950,170.8,0.7,0.225,,,,,, +2012,3,20,19,30,0,0,0,-4,10,950,153.2,0.7,0.225,,,,,, +2012,3,20,20,30,0,0,0,-4,9,950,154.6,0.7,0.225,,,,,, +2012,3,20,21,30,0,0,0,-4,8,950,161.3,0.8,0.225,,,,,, +2012,3,20,22,30,0,0,0,-5,8,950,166.3,1,0.225,,,,,, +2012,3,20,23,30,0,0,0,-5,8,950,175.7,1.1,0.225,,,,,, +2012,3,21,0,30,0,0,0,-5,8,950,184.3,1.2,0.225,,,,,, +2012,3,21,1,30,0,0,0,-5,8,950,186.4,1.3,0.225,,,,,, +2012,3,21,2,30,0,0,0,-5,8,950,185.9,1.3,0.225,,,,,, +2012,3,21,3,30,0,0,0,-5,7,950,186.5,1.3,0.225,,,,,, +2012,3,21,4,30,0,0,0,-5,7,950,188.3,1.4,0.225,,,,,, +2012,3,21,5,30,0,0,0,-5,7,950,190.6,1.4,0.225,,,,,, +2012,3,21,6,30,476,36,100,-3,9,950,192.2,2.1,0.225,,,,,, +2012,3,21,7,30,764,62,322,-4,12,950,192.4,2.7,0.225,,,,,, +2012,3,21,8,30,884,77,539,-5,16,950,194.2,2.6,0.225,,,,,, +2012,3,21,9,30,947,86,721,-5,19,950,199.5,2.4,0.225,,,,,, +2012,3,21,10,30,979,92,849,-4,21,950,202.8,2.1,0.225,,,,,, +2012,3,21,11,30,993,95,912,-3,22,940,202,1.9,0.225,,,,,, +2012,3,21,12,30,992,95,906,-3,23,940,196.3,1.7,0.225,,,,,, +2012,3,21,13,30,957,102,826,-3,24,940,188.9,1.6,0.225,,,,,, +2012,3,21,14,30,921,93,687,-3,23,940,182.9,1.5,0.225,,,,,, +2012,3,21,15,30,851,81,498,-3,22,940,178.2,1.2,0.225,,,,,, +2012,3,21,16,30,693,66,275,-2,20,940,173.1,0.6,0.225,,,,,, +2012,3,21,17,30,335,31,62,1,17,940,144.8,0.3,0.225,,,,,, +2012,3,21,18,30,0,0,0,0,15,940,85.9,0.5,0.225,,,,,, +2012,3,21,19,30,0,0,0,0,14,940,84.1,0.8,0.225,,,,,, +2012,3,21,20,30,0,0,0,-1,14,940,92.2,1,0.225,,,,,, +2012,3,21,21,30,0,0,0,-1,13,940,102.6,1.1,0.225,,,,,, +2012,3,21,22,30,0,0,0,-1,13,940,116.1,1,0.225,,,,,, +2012,3,21,23,30,0,0,0,-1,12,940,134.4,1,0.225,,,,,, +2012,3,22,0,30,0,0,0,-1,11,940,154.5,1.1,0.225,,,,,, +2012,3,22,1,30,0,0,0,-1,10,940,169.9,1.2,0.225,,,,,, +2012,3,22,2,30,0,0,0,-1,9,940,181,1.3,0.225,,,,,, +2012,3,22,3,30,0,0,0,-1,8,940,191.5,1.3,0.225,,,,,, +2012,3,22,4,30,0,0,0,-1,8,940,202.7,1.3,0.225,,,,,, +2012,3,22,5,30,0,0,0,-1,9,940,212.7,1.1,0.225,,,,,, +2012,3,22,6,30,442,39,101,0,12,940,221.8,1.3,0.225,,,,,, +2012,3,22,7,30,741,66,321,0,16,940,229.4,1.4,0.225,,,,,, +2012,3,22,8,30,857,84,536,0,20,940,255.3,1.2,0.225,,,,,, +2012,3,22,9,30,918,96,715,-1,23,940,321.2,1.7,0.225,,,,,, +2012,3,22,10,30,927,116,836,-1,25,940,343.7,2.2,0.225,,,,,, +2012,3,22,11,30,280,404,636,-1,26,940,350.9,2.5,0.225,,,,,, +2012,3,22,12,30,280,400,631,-2,27,940,354.7,2.6,0.225,,,,,, +2012,3,22,13,30,274,366,575,-2,27,940,0.1,2.8,0.225,,,,,, +2012,3,22,14,30,272,301,478,-2,27,940,6.4,3,0.225,,,,,, +2012,3,22,15,30,264,215,346,-1,26,940,12.2,2.8,0.225,,,,,, +2012,3,22,16,30,213,124,189,0,22,940,17.1,1.9,0.225,,,,,, +2012,3,22,17,30,79,34,42,1,18,940,24.1,1.3,0.225,,,,,, +2012,3,22,18,30,0,0,0,1,15,940,30.1,1.4,0.225,,,,,, +2012,3,22,19,30,0,0,0,1,14,940,32.5,1.5,0.225,,,,,, +2012,3,22,20,30,0,0,0,1,12,940,30,1.5,0.225,,,,,, +2012,3,22,21,30,0,0,0,1,11,940,26.4,1.6,0.225,,,,,, +2012,3,22,22,30,0,0,0,1,10,940,23.1,1.6,0.225,,,,,, +2012,3,22,23,30,0,0,0,1,9,940,18.6,1.5,0.225,,,,,, +2012,3,23,0,30,0,0,0,1,9,940,9.4,1.3,0.225,,,,,, +2012,3,23,1,30,0,0,0,1,8,940,352.7,1.3,0.225,,,,,, +2012,3,23,2,30,0,0,0,1,7,940,336,1.3,0.225,,,,,, +2012,3,23,3,30,0,0,0,2,7,940,327,1.4,0.225,,,,,, +2012,3,23,4,30,0,0,0,2,7,940,324.5,1.4,0.225,,,,,, +2012,3,23,5,30,0,0,0,3,7,940,327.3,1.8,0.225,,,,,, +2012,3,23,6,30,168,52,76,3,9,940,333.7,2.5,0.225,,,,,, +2012,3,23,7,30,265,140,232,4,12,940,341.8,2.9,0.225,,,,,, +2012,3,23,8,30,289,231,385,3,15,940,350.4,3,0.225,,,,,, +2012,3,23,9,30,295,313,513,1,18,940,354.5,3,0.225,,,,,, +2012,3,23,10,30,569,283,727,-1,21,940,354.6,3.2,0.225,,,,,, +2012,3,23,11,30,972,110,918,-3,22,940,354.7,3.4,0.225,,,,,, +2012,3,23,12,30,971,111,912,-4,23,940,356.3,3.5,0.225,,,,,, +2012,3,23,13,30,938,116,833,-5,24,940,359.6,3.6,0.225,,,,,, +2012,3,23,14,30,582,206,585,-5,24,940,3.9,3.5,0.225,,,,,, +2012,3,23,15,30,629,125,437,-6,23,940,7.8,3.3,0.225,,,,,, +2012,3,23,16,30,0,90,90,-4,20,940,11.9,2.2,0.225,,,,,, +2012,3,23,17,30,124,37,49,0,16,940,21,1.2,0.225,,,,,, +2012,3,23,18,30,0,0,0,0,14,940,31.7,1.2,0.225,,,,,, +2012,3,23,19,30,0,0,0,0,13,940,39.6,1.2,0.225,,,,,, +2012,3,23,20,30,0,0,0,1,12,940,41,1.2,0.225,,,,,, +2012,3,23,21,30,0,0,0,1,11,940,33.3,1.2,0.225,,,,,, +2012,3,23,22,30,0,0,0,2,11,940,22.6,1.1,0.225,,,,,, +2012,3,23,23,30,0,0,0,3,10,940,10.6,1,0.225,,,,,, +2012,3,24,0,30,0,0,0,3,9,940,355.8,1,0.225,,,,,, +2012,3,24,1,30,0,0,0,3,9,940,346.4,1.1,0.225,,,,,, +2012,3,24,2,30,0,0,0,3,8,940,334.2,1.1,0.225,,,,,, +2012,3,24,3,30,0,0,0,3,8,940,322.9,1.1,0.225,,,,,, +2012,3,24,4,30,0,0,0,3,7,940,316.2,1.1,0.225,,,,,, +2012,3,24,5,30,0,0,0,3,8,940,314.3,1.5,0.225,,,,,, +2012,3,24,6,30,0,9,9,3,11,940,317.3,2.3,0.225,,,,,, +2012,3,24,7,30,68,143,167,3,15,940,322.3,2.9,0.225,,,,,, +2012,3,24,8,30,65,231,266,2,18,940,331.3,3.4,0.225,,,,,, +2012,3,24,9,30,5,179,183,0,21,940,336.7,4,0.225,,,,,, +2012,3,24,10,30,152,396,516,0,22,940,340.9,4.5,0.225,,,,,, +2012,3,24,11,30,69,398,457,-1,23,940,345.7,4.9,0.225,,,,,, +2012,3,24,12,30,351,391,682,-1,23,940,352.2,5.1,0.225,,,,,, +2012,3,24,13,30,556,295,722,-1,22,940,358.2,5.2,0.225,,,,,, +2012,3,24,14,30,485,241,558,-2,21,940,2.5,5,0.225,,,,,, +2012,3,24,15,30,369,195,379,-2,20,940,5,4.4,0.225,,,,,, +2012,3,24,16,30,542,79,247,-2,18,940,4.4,2.8,0.225,,,,,, +2012,3,24,17,30,0,27,27,-1,15,940,3.7,1.7,0.225,,,,,, +2012,3,24,18,30,0,0,0,0,13,940,1.3,1.8,0.225,,,,,, +2012,3,24,19,30,0,0,0,0,12,940,0,2.1,0.225,,,,,, +2012,3,24,20,30,0,0,0,1,10,940,4.5,2.3,0.225,,,,,, +2012,3,24,21,30,0,0,0,2,8,940,10.7,2,0.225,,,,,, +2012,3,24,22,30,0,0,0,3,7,940,16.9,1.6,0.225,,,,,, +2012,3,24,23,30,0,0,0,3,6,940,20.8,1.2,0.225,,,,,, +2012,3,25,0,30,0,0,0,3,5,940,17.7,1.1,0.225,,,,,, +2012,3,25,1,30,0,0,0,3,5,940,7.4,1.1,0.225,,,,,, +2012,3,25,2,30,0,0,0,4,4,940,1.4,1.2,0.225,,,,,, +2012,3,25,3,30,0,0,0,4,4,940,5.2,1.2,0.225,,,,,, +2012,3,25,4,30,0,0,0,4,4,940,17.2,1.3,0.225,,,,,, +2012,3,25,5,30,0,0,0,4,5,940,29.7,1.7,0.225,,,,,, +2012,3,25,6,30,96,55,70,4,7,940,35.7,2.3,0.225,,,,,, +2012,3,25,7,30,582,108,317,4,9,940,37.3,2.7,0.225,,,,,, +2012,3,25,8,30,738,132,531,4,12,940,22.6,3.5,0.225,,,,,, +2012,3,25,9,30,823,146,712,2,15,940,10.9,4.4,0.225,,,,,, +2012,3,25,10,30,835,171,831,0,17,940,9.2,5.2,0.225,,,,,, +2012,3,25,11,30,844,180,888,0,18,940,9.1,5.8,0.225,,,,,, +2012,3,25,12,30,835,181,876,0,18,930,9.2,6.3,0.225,,,,,, +2012,3,25,13,30,744,208,781,1,17,930,11,6.6,0.225,,,,,, +2012,3,25,14,30,741,166,654,2,15,930,13.6,6.9,0.225,,,,,, +2012,3,25,15,30,718,120,480,3,13,930,17.2,7,0.225,,,,,, +2012,3,25,16,30,568,89,267,3,11,940,25.1,6.9,0.225,,,,,, +2012,3,25,17,30,16,36,37,3,9,940,40.6,7,0.225,,,,,, +2012,3,25,18,30,0,0,0,3,7,940,56.5,7.3,0.225,,,,,, +2012,3,25,19,30,0,0,0,3,6,940,63.1,6.8,0.225,,,,,, +2012,3,25,20,30,0,0,0,3,6,940,62.2,5.8,0.225,,,,,, +2012,3,25,21,30,0,0,0,3,5,940,59.2,5,0.225,,,,,, +2012,3,25,22,30,0,0,0,3,5,940,58.9,4.4,0.225,,,,,, +2012,3,25,23,30,0,0,0,3,4,940,60.2,3.7,0.225,,,,,, +2012,3,26,0,30,0,0,0,2,3,940,62.9,2.9,0.225,,,,,, +2012,3,26,1,30,0,0,0,2,3,940,65.7,2,0.225,,,,,, +2012,3,26,2,30,0,0,0,2,3,940,63.5,1.3,0.225,,,,,, +2012,3,26,3,30,0,0,0,2,3,940,61.3,1.1,0.225,,,,,, +2012,3,26,4,30,0,0,0,2,3,940,60.2,1,0.225,,,,,, +2012,3,26,5,30,0,0,0,2,3,940,63,1.3,0.225,,,,,, +2012,3,26,6,30,299,61,109,2,5,940,61.3,1.8,0.225,,,,,, +2012,3,26,7,30,609,104,326,2,7,940,66.5,2,0.225,,,,,, +2012,3,26,8,30,757,127,540,1,10,940,60.3,1.9,0.225,,,,,, +2012,3,26,9,30,827,145,717,0,12,940,52.2,1.7,0.225,,,,,, +2012,3,26,10,30,870,153,843,-1,14,940,48.4,1.7,0.225,,,,,, +2012,3,26,11,30,894,152,905,-2,15,940,52.4,1.7,0.225,,,,,, +2012,3,26,12,30,899,147,898,-2,16,940,61.7,1.7,0.225,,,,,, +2012,3,26,13,30,895,131,824,-3,17,940,72.2,1.7,0.225,,,,,, +2012,3,26,14,30,854,120,684,-3,17,940,81.3,1.6,0.225,,,,,, +2012,3,26,15,30,769,107,494,-3,16,940,86.8,1.3,0.225,,,,,, +2012,3,26,16,30,602,85,275,-3,14,940,92.8,0.7,0.225,,,,,, +2012,3,26,17,30,234,40,66,0,11,940,94.1,0.2,0.225,,,,,, +2012,3,26,18,30,0,0,0,0,9,940,67.4,0.1,0.225,,,,,, +2012,3,26,19,30,0,0,0,0,8,940,354.3,0.3,0.225,,,,,, +2012,3,26,20,30,0,0,0,0,7,940,349,0.6,0.225,,,,,, +2012,3,26,21,30,0,0,0,0,6,940,358.3,0.7,0.225,,,,,, +2012,3,26,22,30,0,0,0,1,5,940,28.2,0.6,0.225,,,,,, +2012,3,26,23,30,0,0,0,1,5,940,79.8,0.5,0.225,,,,,, +2012,3,27,0,30,0,0,0,2,4,940,136.4,0.5,0.225,,,,,, +2012,3,27,1,30,0,0,0,2,4,940,184.6,0.7,0.225,,,,,, +2012,3,27,2,30,0,0,0,2,4,940,216,0.8,0.225,,,,,, +2012,3,27,3,30,0,0,0,2,3,940,227.3,0.8,0.225,,,,,, +2012,3,27,4,30,0,0,0,2,3,940,212.6,0.8,0.225,,,,,, +2012,3,27,5,30,0,0,0,2,4,940,190.1,0.9,0.225,,,,,, +2012,3,27,6,30,308,63,113,2,6,940,183.1,1.1,0.225,,,,,, +2012,3,27,7,30,608,108,332,2,10,940,187.3,0.8,0.225,,,,,, +2012,3,27,8,30,744,137,546,0,13,940,302.4,0.9,0.225,,,,,, +2012,3,27,9,30,603,221,641,-3,16,940,326.7,1.7,0.225,,,,,, +2012,3,27,10,30,865,161,851,-4,18,940,335.5,2.2,0.225,,,,,, +2012,3,27,11,30,651,282,833,-5,20,940,346.9,2.5,0.225,,,,,, +2012,3,27,12,30,909,148,911,-6,21,940,0.6,2.6,0.225,,,,,, +2012,3,27,13,30,887,144,832,-7,22,940,16,2.6,0.225,,,,,, +2012,3,27,14,30,845,131,692,-7,22,940,25.2,2.7,0.225,,,,,, +2012,3,27,15,30,760,115,500,-7,21,940,29.9,2.6,0.225,,,,,, +2012,3,27,16,30,591,91,279,-6,18,940,34.3,1.8,0.225,,,,,, +2012,3,27,17,30,231,42,68,-3,14,940,39.7,1.2,0.225,,,,,, +2012,3,27,18,30,0,0,0,-3,11,940,46.9,1.3,0.225,,,,,, +2012,3,27,19,30,0,0,0,-2,10,940,54.8,1.3,0.225,,,,,, +2012,3,27,20,30,0,0,0,-2,9,940,58.6,1.4,0.225,,,,,, +2012,3,27,21,30,0,0,0,-1,8,940,59.7,1.4,0.225,,,,,, +2012,3,27,22,30,0,0,0,-1,7,940,65.7,1.4,0.225,,,,,, +2012,3,27,23,30,0,0,0,-1,7,940,81.9,1.4,0.225,,,,,, +2012,3,28,0,30,0,0,0,-1,7,940,101.9,1.4,0.225,,,,,, +2012,3,28,1,30,0,0,0,0,6,940,116.8,1.4,0.225,,,,,, +2012,3,28,2,30,0,0,0,0,6,940,126.7,1.4,0.225,,,,,, +2012,3,28,3,30,0,0,0,0,6,940,134.9,1.2,0.225,,,,,, +2012,3,28,4,30,0,0,0,0,5,940,141.6,1.1,0.225,,,,,, +2012,3,28,5,30,0,0,0,0,6,940,143.9,1.3,0.225,,,,,, +2012,3,28,6,30,35,60,66,0,8,940,140.3,2.1,0.225,,,,,, +2012,3,28,7,30,195,153,226,-1,11,940,142.3,2.6,0.225,,,,,, +2012,3,28,8,30,325,233,413,-3,14,940,139.4,2,0.225,,,,,, +2012,3,28,9,30,616,218,650,-4,16,940,105.4,1.6,0.225,,,,,, +2012,3,28,10,30,531,306,732,-4,17,940,63.4,1.9,0.225,,,,,, +2012,3,28,11,30,545,335,798,-3,18,940,47.4,2.3,0.225,,,,,, +2012,3,28,12,30,515,339,773,-1,19,940,44,2.7,0.225,,,,,, +2012,3,28,13,30,434,324,663,1,19,940,44.7,3,0.225,,,,,, +2012,3,28,14,30,153,320,422,3,18,940,46,3,0.225,,,,,, +2012,3,28,15,30,140,228,300,4,17,940,46.1,2.6,0.225,,,,,, +2012,3,28,16,30,0,84,84,4,15,940,44.8,1.7,0.231,,,,,, +2012,3,28,17,30,0,21,21,5,13,940,41,1.2,0.231,,,,,, +2012,3,28,18,30,0,0,0,5,12,940,51.2,1.2,0.231,,,,,, +2012,3,28,19,30,0,0,0,5,10,940,78.3,1.2,0.231,,,,,, +2012,3,28,20,30,0,0,0,5,10,940,106,1.4,0.231,,,,,, +2012,3,28,21,30,0,0,0,5,9,940,129.7,2.1,0.231,,,,,, +2012,3,28,22,30,0,0,0,5,8,940,139.7,2.7,0.231,,,,,, +2012,3,28,23,30,0,0,0,6,8,940,144.9,2.5,0.231,,,,,, +2012,3,29,0,30,0,0,0,6,7,940,150.8,2,0.231,,,,,, +2012,3,29,1,30,0,0,0,6,7,940,155.7,1.6,0.231,,,,,, +2012,3,29,2,30,0,0,0,6,7,940,152.7,1.5,0.231,,,,,, +2012,3,29,3,30,0,0,0,6,7,940,144.9,1.6,0.231,,,,,, +2012,3,29,4,30,0,0,0,6,6,940,143.8,1.7,0.231,,,,,, +2012,3,29,5,30,0,0,0,6,6,940,147.4,2.4,0.231,,,,,, +2012,3,29,6,30,108,63,82,6,8,940,147.6,3.3,0.231,,,,,, +2012,3,29,7,30,340,138,267,6,11,940,149.2,3,0.231,,,,,, +2012,3,29,8,30,652,141,506,5,14,940,139.4,2.2,0.231,,,,,, +2012,3,29,9,30,744,167,691,3,17,940,110.8,1.9,0.231,,,,,, +2012,3,29,10,30,484,320,709,2,20,940,95.7,1.6,0.231,,,,,, +2012,3,29,11,30,752,224,866,1,22,940,75,1.4,0.231,,,,,, +2012,3,29,12,30,903,142,906,0,23,940,40.9,1.5,0.231,,,,,, +2012,3,29,13,30,864,147,823,0,23,940,29.3,1.7,0.231,,,,,, +2012,3,29,14,30,250,314,482,0,23,940,39.9,1.6,0.231,,,,,, +2012,3,29,15,30,747,114,498,0,22,940,57.4,1.4,0.231,,,,,, +2012,3,29,16,30,328,115,221,0,20,940,74,0.9,0.231,,,,,, +2012,3,29,17,30,109,44,57,3,17,940,67.6,0.7,0.231,,,,,, +2012,3,29,18,30,0,0,0,2,15,940,49.3,0.9,0.231,,,,,, +2012,3,29,19,30,0,0,0,2,13,940,57.1,1.1,0.231,,,,,, +2012,3,29,20,30,0,0,0,2,12,940,73.9,1.2,0.231,,,,,, +2012,3,29,21,30,0,0,0,2,11,940,83.8,1.1,0.231,,,,,, +2012,3,29,22,30,0,0,0,3,11,940,83,1,0.231,,,,,, +2012,3,29,23,30,0,0,0,3,10,940,81.2,0.9,0.231,,,,,, +2012,3,30,0,30,0,0,0,4,10,940,92.6,0.8,0.231,,,,,, +2012,3,30,1,30,0,0,0,4,9,940,120.2,0.9,0.231,,,,,, +2012,3,30,2,30,0,0,0,4,9,940,145.4,1,0.231,,,,,, +2012,3,30,3,30,0,0,0,3,9,940,164.5,1.1,0.231,,,,,, +2012,3,30,4,30,0,0,0,3,8,940,179.4,1,0.231,,,,,, +2012,3,30,5,30,0,0,0,3,9,940,189.8,0.9,0.231,,,,,, +2012,3,30,6,30,317,56,112,3,11,940,197,1.1,0.231,,,,,, +2012,3,30,7,30,658,94,346,3,15,940,203.8,1.1,0.231,,,,,, +2012,3,30,8,30,780,117,557,3,19,940,253.5,0.9,0.231,,,,,, +2012,3,30,9,30,848,132,733,2,23,940,351.2,1.6,0.231,,,,,, +2012,3,30,10,30,912,126,864,1,25,940,2.4,2.3,0.231,,,,,, +2012,3,30,11,30,930,128,925,1,26,940,6.6,2.9,0.231,,,,,, +2012,3,30,12,30,931,126,916,0,27,940,11.4,3.2,0.231,,,,,, +2012,3,30,13,30,871,144,828,0,28,940,16.6,3.3,0.231,,,,,, +2012,3,30,14,30,449,268,570,0,27,940,20.8,3.4,0.231,,,,,, +2012,3,30,15,30,362,204,391,0,26,940,24.8,3.4,0.231,,,,,, +2012,3,30,16,30,221,128,200,1,24,940,27.6,2.4,0.231,,,,,, +2012,3,30,17,30,144,49,66,3,20,940,28,1.4,0.231,,,,,, +2012,3,30,18,30,0,0,0,3,18,940,28.3,1.3,0.231,,,,,, +2012,3,30,19,30,0,0,0,3,17,940,30.3,1.4,0.231,,,,,, +2012,3,30,20,30,0,0,0,4,15,940,32.5,1.5,0.231,,,,,, +2012,3,30,21,30,0,0,0,4,14,940,34.5,1.6,0.231,,,,,, +2012,3,30,22,30,0,0,0,4,12,940,36.9,1.5,0.231,,,,,, +2012,3,30,23,30,0,0,0,4,11,940,39.9,1.2,0.231,,,,,, +2012,3,31,0,30,0,0,0,4,10,940,44.4,1,0.231,,,,,, +2012,3,31,1,30,0,0,0,4,10,940,49.8,0.7,0.231,,,,,, +2012,3,31,2,30,0,0,0,4,9,940,49.9,0.4,0.231,,,,,, +2012,3,31,3,30,0,0,0,4,9,940,13.5,0.4,0.231,,,,,, +2012,3,31,4,30,0,0,0,4,8,940,332.2,0.7,0.231,,,,,, +2012,3,31,5,30,0,0,0,4,9,940,336.9,1.5,0.231,,,,,, +2012,3,31,6,30,427,60,138,4,11,940,353.1,2.4,0.231,,,,,, +2012,3,31,7,30,693,94,362,4,15,940,352.8,3.3,0.231,,,,,, +2012,3,31,8,30,820,113,578,2,19,940,351.9,4.3,0.231,,,,,, +2012,3,31,9,30,889,124,758,0,22,940,358.6,5.1,0.231,,,,,, +2012,3,31,10,30,912,138,880,-1,23,940,4.4,5.6,0.231,,,,,, +2012,3,31,11,30,925,142,938,-1,24,940,10,6.1,0.231,,,,,, +2012,3,31,12,30,918,143,925,-1,23,940,15.6,6.4,0.231,,,,,, +2012,3,31,13,30,910,130,848,-1,22,940,20.6,6.6,0.231,,,,,, +2012,3,31,14,30,861,124,705,0,21,940,24.1,6.7,0.231,,,,,, +2012,3,31,15,30,782,109,515,0,19,940,27.4,6.8,0.231,,,,,, +2012,3,31,16,30,636,85,295,0,16,940,32.6,6.4,0.231,,,,,, +2012,3,31,17,30,297,44,80,1,13,940,42.2,5.5,0.231,,,,,, +2012,3,31,18,30,0,0,0,2,11,940,53.6,4.8,0.231,,,,,, +2012,3,31,19,30,0,0,0,3,9,940,67.3,4.6,0.231,,,,,, +2012,3,31,20,30,0,0,0,5,9,940,83,4.8,0.231,,,,,, +2012,3,31,21,30,0,0,0,6,8,940,92.9,4.7,0.231,,,,,, +2012,3,31,22,30,0,0,0,6,7,940,96.2,4.3,0.231,,,,,, +2012,3,31,23,30,0,0,0,4,6,940,95.3,3.9,0.231,,,,,, +2012,4,1,0,30,0,0,0,2,5,940,92.8,3.7,0.231,,,,,, +2012,4,1,1,30,0,0,0,1,4,940,91.1,3.7,0.231,,,,,, +2012,4,1,2,30,0,0,0,1,3,940,93.1,4.2,0.231,,,,,, +2012,4,1,3,30,0,0,0,1,3,940,99.4,4.7,0.231,,,,,, +2012,4,1,4,30,0,0,0,1,3,940,103.8,4.8,0.231,,,,,, +2012,4,1,5,30,0,0,0,2,4,940,102,5,0.231,,,,,, +2012,4,1,6,30,542,51,152,2,6,940,102.4,5.9,0.231,,,,,, +2012,4,1,7,30,795,73,384,0,8,940,111.1,6.6,0.231,,,,,, +2012,4,1,8,30,901,87,603,-1,10,940,118.7,6.7,0.231,,,,,, +2012,4,1,9,30,951,99,782,-3,13,940,122.9,6.4,0.231,,,,,, +2012,4,1,10,30,963,117,903,-4,15,940,126.1,6,0.231,,,,,, +2012,4,1,11,30,974,122,963,-6,16,940,128.8,5.6,0.231,,,,,, +2012,4,1,12,30,971,122,952,-7,17,940,128.8,5.4,0.231,,,,,, +2012,4,1,13,30,961,113,874,-8,18,940,128.2,5.5,0.231,,,,,, +2012,4,1,14,30,920,106,730,-9,17,940,131.9,5.6,0.231,,,,,, +2012,4,1,15,30,856,91,537,-9,16,940,137.1,5.5,0.231,,,,,, +2012,4,1,16,30,731,70,313,-9,15,940,139.7,4.6,0.231,,,,,, +2012,4,1,17,30,422,38,90,-7,12,940,140.3,3.2,0.231,,,,,, +2012,4,1,18,30,0,0,0,-5,9,940,139.2,2.9,0.231,,,,,, +2012,4,1,19,30,0,0,0,-5,8,940,139.2,3.4,0.231,,,,,, +2012,4,1,20,30,0,0,0,-4,7,940,139.4,3.8,0.231,,,,,, +2012,4,1,21,30,0,0,0,-6,7,940,141,4,0.231,,,,,, +2012,4,1,22,30,0,0,0,-8,6,940,146.3,4,0.231,,,,,, +2012,4,1,23,30,0,0,0,-10,6,940,154.6,4.1,0.231,,,,,, +2012,4,2,0,30,0,0,0,-11,5,940,164.1,4.4,0.231,,,,,, +2012,4,2,1,30,0,0,0,-11,4,940,167.2,4.2,0.231,,,,,, +2012,4,2,2,30,0,0,0,-11,4,940,168.2,3.7,0.231,,,,,, +2012,4,2,3,30,0,0,0,-11,4,940,168,3.3,0.231,,,,,, +2012,4,2,4,30,0,0,0,-12,4,940,167.1,3,0.231,,,,,, +2012,4,2,5,30,0,0,0,-11,6,940,166.3,3.6,0.231,,,,,, +2012,4,2,6,30,555,51,158,-11,9,950,165.6,5,0.231,,,,,, +2012,4,2,7,30,802,72,390,-11,12,950,170.5,6.2,0.231,,,,,, +2012,4,2,8,30,898,87,605,-9,13,950,174.6,6.8,0.231,,,,,, +2012,4,2,9,30,950,98,783,-8,15,950,173.7,6.9,0.231,,,,,, +2012,4,2,10,30,954,117,900,-8,16,940,171.7,6.9,0.231,,,,,, +2012,4,2,11,30,954,128,955,-8,17,940,170.9,6.8,0.231,,,,,, +2012,4,2,12,30,941,132,940,-8,18,940,169.8,6.6,0.231,,,,,, +2012,4,2,13,30,938,118,864,-8,19,940,169.8,6.3,0.231,,,,,, +2012,4,2,14,30,906,107,724,-8,18,940,170.3,5.9,0.231,,,,,, +2012,4,2,15,30,838,93,532,-8,18,940,172.8,5.5,0.231,,,,,, +2012,4,2,16,30,715,72,311,-8,16,940,176.8,3.9,0.231,,,,,, +2012,4,2,17,30,400,40,90,-5,14,940,182.9,2.1,0.231,,,,,, +2012,4,2,18,30,0,0,0,-6,13,940,192.2,1.6,0.231,,,,,, +2012,4,2,19,30,0,0,0,-7,13,940,194.3,1.5,0.231,,,,,, +2012,4,2,20,30,0,0,0,-7,12,940,190,1.5,0.231,,,,,, +2012,4,2,21,30,0,0,0,-7,12,940,180.7,1.5,0.231,,,,,, +2012,4,2,22,30,0,0,0,-7,11,940,168.6,1.5,0.231,,,,,, +2012,4,2,23,30,0,0,0,-8,11,940,157.1,1.5,0.231,,,,,, +2012,4,3,0,30,0,0,0,-8,10,940,153.2,1.6,0.231,,,,,, +2012,4,3,1,30,0,0,0,-8,10,940,155.6,1.6,0.231,,,,,, +2012,4,3,2,30,0,0,0,-8,9,940,159.1,1.7,0.231,,,,,, +2012,4,3,3,30,0,0,0,-9,8,940,161,1.7,0.231,,,,,, +2012,4,3,4,30,0,0,0,-9,8,940,163.8,1.8,0.231,,,,,, +2012,4,3,5,30,0,0,0,-9,8,940,165.4,2.4,0.231,,,,,, +2012,4,3,6,30,467,61,153,-7,11,940,163.5,3.5,0.231,,,,,, +2012,4,3,7,30,721,91,380,-9,15,940,168.2,3.8,0.231,,,,,, +2012,4,3,8,30,836,109,595,-12,18,940,172.9,3.4,0.231,,,,,, +2012,4,3,9,30,894,122,771,-12,19,940,168.3,2.9,0.231,,,,,, +2012,4,3,10,30,915,136,890,-12,21,940,165,2.6,0.231,,,,,, +2012,4,3,11,30,729,245,880,-11,22,940,162.1,2.5,0.231,,,,,, +2012,4,3,12,30,910,146,930,-11,23,940,158,2.5,0.231,,,,,, +2012,4,3,13,30,886,143,849,-11,23,940,154.3,2.4,0.231,,,,,, +2012,4,3,14,30,844,132,708,-10,24,940,152.2,2.1,0.231,,,,,, +2012,4,3,15,30,670,123,475,-10,23,940,150.6,1.7,0.231,,,,,, +2012,4,3,16,30,578,82,277,-9,21,940,147.2,0.7,0.231,,,,,, +2012,4,3,17,30,298,46,84,-3,17,940,102.1,0.5,0.231,,,,,, +2012,4,3,18,30,0,0,0,-4,15,940,5.5,1,0.231,,,,,, +2012,4,3,19,30,0,0,0,-3,14,940,7.7,1.3,0.231,,,,,, +2012,4,3,20,30,0,0,0,-2,13,940,14.6,1.4,0.231,,,,,, +2012,4,3,21,30,0,0,0,0,11,940,24.6,1.5,0.231,,,,,, +2012,4,3,22,30,0,0,0,0,11,940,37.6,1.4,0.231,,,,,, +2012,4,3,23,30,0,0,0,0,11,940,54.8,1.3,0.231,,,,,, +2012,4,4,0,30,0,0,0,0,11,940,77.1,1.2,0.231,,,,,, +2012,4,4,1,30,0,0,0,0,10,940,97.9,1.1,0.231,,,,,, +2012,4,4,2,30,0,0,0,0,10,940,110.8,1,0.231,,,,,, +2012,4,4,3,30,0,0,0,0,10,940,117.9,1,0.231,,,,,, +2012,4,4,4,30,0,0,0,0,10,940,126.5,0.9,0.231,,,,,, +2012,4,4,5,30,0,0,0,1,10,940,138.5,0.6,0.231,,,,,, +2012,4,4,6,30,248,69,119,2,11,940,141.4,0.4,0.231,,,,,, +2012,4,4,7,30,416,138,307,2,13,940,138.7,0.8,0.231,,,,,, +2012,4,4,8,30,513,196,496,2,15,940,37.1,1.7,0.231,,,,,, +2012,4,4,9,30,838,135,746,1,17,940,27.1,2.6,0.231,,,,,, +2012,4,4,10,30,909,149,901,0,20,940,25.8,3,0.231,,,,,, +2012,4,4,11,30,522,359,816,-4,22,940,28.4,3.1,0.231,,,,,, +2012,4,4,12,30,932,145,951,-5,23,940,30.3,3.2,0.231,,,,,, +2012,4,4,13,30,935,127,876,-6,24,940,29.8,3.1,0.231,,,,,, +2012,4,4,14,30,908,112,735,-6,24,940,25.9,3,0.231,,,,,, +2012,4,4,15,30,836,99,541,-6,23,940,19.9,2.7,0.231,,,,,, +2012,4,4,16,30,691,80,315,-5,20,940,12.5,1.9,0.231,,,,,, +2012,4,4,17,30,353,46,92,-4,17,940,6.1,1.5,0.231,,,,,, +2012,4,4,18,30,0,0,0,-2,14,940,7.6,1.8,0.231,,,,,, +2012,4,4,19,30,0,0,0,-2,12,940,14.2,2.1,0.231,,,,,, +2012,4,4,20,30,0,0,0,-1,10,940,22,2.3,0.231,,,,,, +2012,4,4,21,30,0,0,0,-2,9,940,32.1,2.1,0.231,,,,,, +2012,4,4,22,30,0,0,0,-2,9,940,49,1.6,0.231,,,,,, +2012,4,4,23,30,0,0,0,-3,8,940,78.3,1.3,0.231,,,,,, +2012,4,5,0,30,0,0,0,-3,8,940,106.4,1.3,0.231,,,,,, +2012,4,5,1,30,0,0,0,-3,7,940,124.9,1.4,0.231,,,,,, +2012,4,5,2,30,0,0,0,-4,7,940,137.3,1.4,0.231,,,,,, +2012,4,5,3,30,0,0,0,-4,7,940,144.8,1.3,0.231,,,,,, +2012,4,5,4,30,0,0,0,-4,6,940,147.2,1.2,0.231,,,,,, +2012,4,5,5,30,0,0,0,-4,6,940,143.8,1.3,0.231,,,,,, +2012,4,5,6,30,400,79,161,-4,8,940,132.8,2,0.231,,,,,, +2012,4,5,7,30,254,165,270,-5,10,940,130.6,2.4,0.231,,,,,, +2012,4,5,8,30,539,188,506,-7,12,940,128.8,2.2,0.231,,,,,, +2012,4,5,9,30,893,142,796,-9,14,940,103.4,2.2,0.231,,,,,, +2012,4,5,10,30,939,146,926,-10,17,940,66,2.9,0.231,,,,,, +2012,4,5,11,30,960,146,989,-11,18,940,48.5,3.9,0.231,,,,,, +2012,4,5,12,30,941,155,972,-11,18,940,41.4,4.8,0.231,,,,,, +2012,4,5,13,30,897,162,883,-10,18,940,40.7,5.5,0.231,,,,,, +2012,4,5,14,30,856,148,738,-10,17,940,44.5,6.1,0.231,,,,,, +2012,4,5,15,30,775,129,541,-10,16,940,49.8,6.1,0.231,,,,,, +2012,4,5,16,30,592,108,311,-10,13,940,55.4,5.1,0.229,,,,,, +2012,4,5,17,30,258,55,90,-9,10,940,64,4.3,0.229,,,,,, +2012,4,5,18,30,0,0,0,-9,8,940,79.2,4.4,0.229,,,,,, +2012,4,5,19,30,0,0,0,-8,7,940,94.5,4.5,0.229,,,,,, +2012,4,5,20,30,0,0,0,-7,5,940,106,4.4,0.229,,,,,, +2012,4,5,21,30,0,0,0,-7,4,940,118.4,4.6,0.229,,,,,, +2012,4,5,22,30,0,0,0,-7,4,940,124,4.6,0.229,,,,,, +2012,4,5,23,30,0,0,0,-7,3,940,127.1,4,0.229,,,,,, +2012,4,6,0,30,0,0,0,-7,2,940,129.3,3.4,0.229,,,,,, +2012,4,6,1,30,0,0,0,-6,2,940,130.5,3.3,0.229,,,,,, +2012,4,6,2,30,0,0,0,-6,1,940,133,3.5,0.229,,,,,, +2012,4,6,3,30,0,0,0,-6,0,940,137.6,3.6,0.229,,,,,, +2012,4,6,4,30,0,0,0,-6,0,940,143.4,3.7,0.229,,,,,, +2012,4,6,5,30,0,0,0,-5,1,950,148.7,4.3,0.229,,,,,, +2012,4,6,6,30,419,77,166,-6,5,950,151.5,5.5,0.229,,,,,, +2012,4,6,7,30,665,119,394,-7,8,950,166.1,6.1,0.229,,,,,, +2012,4,6,8,30,797,142,615,-10,11,950,182.4,6,0.229,,,,,, +2012,4,6,9,30,871,156,798,-11,13,950,186.7,5.6,0.229,,,,,, +2012,4,6,10,30,934,151,931,-13,14,950,188.3,5.5,0.229,,,,,, +2012,4,6,11,30,951,155,992,-14,15,950,189.7,5.5,0.229,,,,,, +2012,4,6,12,30,944,158,979,-15,16,950,190.3,5.5,0.229,,,,,, +2012,4,6,13,30,951,137,903,-16,17,950,190.9,5.3,0.229,,,,,, +2012,4,6,14,30,911,127,757,-17,17,950,191.2,5.1,0.229,,,,,, +2012,4,6,15,30,832,113,557,-17,16,950,191,4.8,0.229,,,,,, +2012,4,6,16,30,692,89,328,-17,14,950,193,3.5,0.229,,,,,, +2012,4,6,17,30,370,50,101,-12,11,950,198.9,2.2,0.229,,,,,, +2012,4,6,18,30,0,0,0,-12,9,950,206.7,2,0.229,,,,,, +2012,4,6,19,30,0,0,0,-13,9,950,205.3,2.2,0.229,,,,,, +2012,4,6,20,30,0,0,0,-13,8,950,198.9,2.3,0.229,,,,,, +2012,4,6,21,30,0,0,0,-14,8,950,188.5,2.5,0.229,,,,,, +2012,4,6,22,30,0,0,0,-14,7,950,178.2,2.9,0.229,,,,,, +2012,4,6,23,30,0,0,0,-15,6,950,172.8,3.3,0.229,,,,,, +2012,4,7,0,30,0,0,0,-15,5,950,169.7,3.6,0.229,,,,,, +2012,4,7,1,30,0,0,0,-15,5,950,168.6,3.7,0.229,,,,,, +2012,4,7,2,30,0,0,0,-16,4,950,169.8,3.6,0.229,,,,,, +2012,4,7,3,30,0,0,0,-16,4,950,172.1,3.3,0.229,,,,,, +2012,4,7,4,30,0,0,0,-16,4,950,176,3.1,0.229,,,,,, +2012,4,7,5,30,0,0,0,-16,5,950,181,3.5,0.229,,,,,, +2012,4,7,6,30,397,63,148,-14,10,950,184.6,3.9,0.229,,,,,, +2012,4,7,7,30,754,102,417,-16,14,950,191.6,3.5,0.229,,,,,, +2012,4,7,8,30,859,126,639,-17,17,950,208.2,2.8,0.229,,,,,, +2012,4,7,9,30,915,143,821,-18,19,950,242.3,2.1,0.229,,,,,, +2012,4,7,10,30,944,155,946,-20,21,950,276,1.9,0.229,,,,,, +2012,4,7,11,30,959,159,1006,-21,23,950,290.5,1.7,0.229,,,,,, +2012,4,7,12,30,960,156,994,-22,24,950,296.9,1.3,0.229,,,,,, +2012,4,7,13,30,942,149,911,-22,24,940,298.4,0.8,0.229,,,,,, +2012,4,7,14,30,907,135,764,-22,24,940,301.4,0.4,0.229,,,,,, +2012,4,7,15,30,838,116,565,-22,23,940,329.9,0.2,0.229,,,,,, +2012,4,7,16,30,700,91,334,-21,20,940,88.9,0.4,0.229,,,,,, +2012,4,7,17,30,385,50,104,-11,16,940,118.3,0.9,0.229,,,,,, +2012,4,7,18,30,0,0,0,-14,14,940,127.1,1.2,0.229,,,,,, +2012,4,7,19,30,0,0,0,-14,13,940,134.6,1.3,0.229,,,,,, +2012,4,7,20,30,0,0,0,-15,12,940,142.4,1.4,0.229,,,,,, +2012,4,7,21,30,0,0,0,-15,12,940,151.7,1.4,0.229,,,,,, +2012,4,7,22,30,0,0,0,-14,12,940,163.4,1.5,0.229,,,,,, +2012,4,7,23,30,0,0,0,-14,12,940,176.8,1.5,0.229,,,,,, +2012,4,8,0,30,0,0,0,-14,12,940,188.7,1.5,0.229,,,,,, +2012,4,8,1,30,0,0,0,-13,12,940,195.4,1.6,0.229,,,,,, +2012,4,8,2,30,0,0,0,-13,11,940,198.4,1.6,0.229,,,,,, +2012,4,8,3,30,0,0,0,-13,11,940,198.9,1.5,0.229,,,,,, +2012,4,8,4,30,0,0,0,-13,10,940,197.1,1.5,0.229,,,,,, +2012,4,8,5,30,0,0,0,-13,11,940,195.5,1.3,0.229,,,,,, +2012,4,8,6,30,392,65,151,-11,13,940,191.3,1.6,0.229,,,,,, +2012,4,8,7,30,745,100,415,-13,17,950,182.1,1.5,0.229,,,,,, +2012,4,8,8,30,833,128,629,-17,21,950,169.4,1.5,0.229,,,,,, +2012,4,8,9,30,885,145,804,-17,24,950,2.4,2.6,0.229,,,,,, +2012,4,8,10,30,916,154,925,-15,25,940,2.4,3.1,0.229,,,,,, +2012,4,8,11,30,686,279,888,-14,26,940,2.3,3.4,0.229,,,,,, +2012,4,8,12,30,486,377,803,-13,26,940,4.1,3.6,0.229,,,,,, +2012,4,8,13,30,672,248,793,-13,27,940,7.6,3.5,0.229,,,,,, +2012,4,8,14,30,832,150,729,-12,26,940,10.2,3.4,0.229,,,,,, +2012,4,8,15,30,736,137,533,-12,25,940,13.7,3.2,0.229,,,,,, +2012,4,8,16,30,473,104,270,-8,22,940,18.4,2.1,0.229,,,,,, +2012,4,8,17,30,318,53,98,-5,19,940,23.5,1.3,0.229,,,,,, +2012,4,8,18,30,0,0,0,-6,16,940,26.9,1.2,0.229,,,,,, +2012,4,8,19,30,0,0,0,-5,14,940,23.7,1.2,0.229,,,,,, +2012,4,8,20,30,0,0,0,-5,12,940,20.2,1.2,0.229,,,,,, +2012,4,8,21,30,0,0,0,-5,11,940,22.1,1.2,0.229,,,,,, +2012,4,8,22,30,0,0,0,-5,11,940,29.2,1.3,0.229,,,,,, +2012,4,8,23,30,0,0,0,-5,10,940,36.2,1.2,0.229,,,,,, +2012,4,9,0,30,0,0,0,-5,10,940,41,0.9,0.229,,,,,, +2012,4,9,1,30,0,0,0,-6,10,940,55.8,0.5,0.229,,,,,, +2012,4,9,2,30,0,0,0,-6,10,940,178.4,0.6,0.229,,,,,, +2012,4,9,3,30,0,0,0,-6,10,940,217.7,1,0.229,,,,,, +2012,4,9,4,30,0,0,0,-6,10,940,234.9,1.2,0.229,,,,,, +2012,4,9,5,30,0,0,0,-6,11,940,250,1.2,0.229,,,,,, +2012,4,9,6,30,386,67,153,-5,14,940,264.4,1.5,0.229,,,,,, +2012,4,9,7,30,706,106,407,-6,18,940,277,2.1,0.229,,,,,, +2012,4,9,8,30,823,125,624,-7,22,940,316.6,2.5,0.229,,,,,, +2012,4,9,9,30,885,138,800,-8,25,940,337.3,2.9,0.229,,,,,, +2012,4,9,10,30,915,146,919,-9,26,940,350.8,3,0.229,,,,,, +2012,4,9,11,30,935,145,976,-11,27,940,356.5,3.1,0.229,,,,,, +2012,4,9,12,30,941,138,965,-11,28,940,355.6,3.1,0.229,,,,,, +2012,4,9,13,30,923,133,884,-12,29,940,0.7,3.2,0.229,,,,,, +2012,4,9,14,30,888,121,742,-13,28,940,10.2,3.2,0.229,,,,,, +2012,4,9,15,30,802,113,547,-13,27,940,16.7,3,0.229,,,,,, +2012,4,9,16,30,518,97,280,-13,24,940,21.3,2,0.229,,,,,, +2012,4,9,17,30,424,38,100,-11,19,940,30.6,1.2,0.229,,,,,, +2012,4,9,18,30,0,0,0,-9,16,940,44.4,1.2,0.229,,,,,, +2012,4,9,19,30,0,0,0,-8,15,940,48.5,1.2,0.229,,,,,, +2012,4,9,20,30,0,0,0,-6,13,940,44.1,1.2,0.229,,,,,, +2012,4,9,21,30,0,0,0,-5,12,940,40,1.3,0.229,,,,,, +2012,4,9,22,30,0,0,0,-4,12,940,38.9,1.2,0.229,,,,,, +2012,4,9,23,30,0,0,0,-4,11,940,37.8,1,0.229,,,,,, +2012,4,10,0,30,0,0,0,-4,11,940,32.5,0.7,0.229,,,,,, +2012,4,10,1,30,0,0,0,-4,10,940,12,0.6,0.229,,,,,, +2012,4,10,2,30,0,0,0,-5,9,940,351.2,0.7,0.229,,,,,, +2012,4,10,3,30,0,0,0,-5,9,940,340.5,0.9,0.229,,,,,, +2012,4,10,4,30,0,0,0,-5,8,940,333.4,1,0.229,,,,,, +2012,4,10,5,30,0,0,0,-5,10,940,329.6,1.4,0.229,,,,,, +2012,4,10,6,30,382,69,156,-5,13,940,335.3,2.1,0.229,,,,,, +2012,4,10,7,30,761,92,420,-6,17,940,334.4,2.8,0.229,,,,,, +2012,4,10,8,30,867,107,636,-8,21,940,341.4,3.6,0.229,,,,,, +2012,4,10,9,30,926,116,812,-10,24,940,347.4,4.4,0.229,,,,,, +2012,4,10,10,30,957,121,933,-10,26,940,350.6,5.1,0.229,,,,,, +2012,4,10,11,30,969,123,988,-10,27,940,352.3,5.8,0.229,,,,,, +2012,4,10,12,30,965,122,973,-9,28,940,353.2,6.1,0.229,,,,,, +2012,4,10,13,30,943,119,889,-8,27,930,354.5,6.3,0.229,,,,,, +2012,4,10,14,30,885,120,740,-7,26,930,354.1,6.6,0.229,,,,,, +2012,4,10,15,30,803,108,544,-5,24,930,354,6.9,0.229,,,,,, +2012,4,10,16,30,691,79,325,-3,21,930,355,6.4,0.229,,,,,, +2012,4,10,17,30,397,46,105,-1,18,940,353.9,5.2,0.229,,,,,, +2012,4,10,18,30,0,0,0,0,14,940,351.9,4.2,0.229,,,,,, +2012,4,10,19,30,0,0,0,1,12,940,358.9,3.8,0.229,,,,,, +2012,4,10,20,30,0,0,0,3,10,940,16.5,3.6,0.229,,,,,, +2012,4,10,21,30,0,0,0,3,8,940,34,3.3,0.229,,,,,, +2012,4,10,22,30,0,0,0,4,7,940,45.8,3,0.229,,,,,, +2012,4,10,23,30,0,0,0,4,6,940,51.5,2.8,0.229,,,,,, +2012,4,11,0,30,0,0,0,4,6,940,53.1,2.6,0.229,,,,,, +2012,4,11,1,30,0,0,0,4,6,940,52.5,2.3,0.229,,,,,, +2012,4,11,2,30,0,0,0,4,6,940,51.6,2,0.229,,,,,, +2012,4,11,3,30,0,0,0,4,6,940,48.7,1.7,0.229,,,,,, +2012,4,11,4,30,0,0,0,5,6,940,43.2,1.7,0.229,,,,,, +2012,4,11,5,30,0,0,0,5,7,940,35.3,2.8,0.229,,,,,, +2012,4,11,6,30,0,3,3,5,9,940,39.7,4.4,0.229,,,,,, +2012,4,11,7,30,90,184,223,5,10,940,48.2,5.2,0.229,,,,,, +2012,4,11,8,30,862,95,624,4,12,940,47.3,5.6,0.229,,,,,, +2012,4,11,9,30,14,248,259,3,13,940,45.4,5.7,0.229,,,,,, +2012,4,11,10,30,934,119,913,3,14,940,45.2,5.6,0.229,,,,,, +2012,4,11,11,30,941,126,969,2,14,940,43.3,5.6,0.229,,,,,, +2012,4,11,12,30,14,256,269,1,15,940,43.9,5.6,0.229,,,,,, +2012,4,11,13,30,930,116,878,1,16,940,47,5.7,0.229,,,,,, +2012,4,11,14,30,886,111,734,0,15,940,49.3,5.8,0.229,,,,,, +2012,4,11,15,30,790,108,539,0,14,940,51,5.8,0.229,,,,,, +2012,4,11,16,30,648,88,320,0,13,940,52.7,5.3,0.229,,,,,, +2012,4,11,17,30,0,31,31,0,11,940,55.5,4.2,0.229,,,,,, +2012,4,11,18,30,0,0,0,1,9,940,57.9,3.6,0.229,,,,,, +2012,4,11,19,30,0,0,0,1,8,940,65.1,4.2,0.229,,,,,, +2012,4,11,20,30,0,0,0,2,7,940,78.2,4.7,0.229,,,,,, +2012,4,11,21,30,0,0,0,2,6,940,87.4,4.5,0.229,,,,,, +2012,4,11,22,30,0,0,0,3,5,940,93.5,4,0.229,,,,,, +2012,4,11,23,30,0,0,0,3,5,940,95.6,3.5,0.229,,,,,, +2012,4,12,0,30,0,0,0,3,4,940,94.8,3,0.229,,,,,, +2012,4,12,1,30,0,0,0,3,4,940,95.7,2.7,0.229,,,,,, +2012,4,12,2,30,0,0,0,3,4,940,99,2.3,0.229,,,,,, +2012,4,12,3,30,0,0,0,2,3,940,100,2.1,0.229,,,,,, +2012,4,12,4,30,0,0,0,2,3,940,100.1,2.1,0.229,,,,,, +2012,4,12,5,30,35,12,13,3,4,940,100.7,3.2,0.229,,,,,, +2012,4,12,6,30,493,77,194,3,6,940,102.6,4.6,0.229,,,,,, +2012,4,12,7,30,733,103,426,2,8,940,106.7,4.8,0.229,,,,,, +2012,4,12,8,30,835,124,639,0,11,950,106.3,4.3,0.229,,,,,, +2012,4,12,9,30,892,137,814,-2,13,940,96.9,3.8,0.229,,,,,, +2012,4,12,10,30,905,155,928,-3,15,940,85.5,3.6,0.229,,,,,, +2012,4,12,11,30,927,151,984,-3,16,940,76.3,3.4,0.229,,,,,, +2012,4,12,12,30,918,151,966,-3,17,940,70.2,3.2,0.229,,,,,, +2012,4,12,13,30,871,159,875,-2,18,940,65.6,3.3,0.229,,,,,, +2012,4,12,14,30,647,205,662,-1,17,940,56.4,3.5,0.229,,,,,, +2012,4,12,15,30,610,147,482,-1,16,940,44.4,3.9,0.229,,,,,, +2012,4,12,16,30,210,144,219,0,15,940,40.6,3.9,0.229,,,,,, +2012,4,12,17,30,15,52,55,0,12,940,43.8,3.7,0.229,,,,,, +2012,4,12,18,30,0,0,0,1,10,940,53.1,3.9,0.229,,,,,, +2012,4,12,19,30,0,0,0,2,9,940,65.7,4,0.229,,,,,, +2012,4,12,20,30,0,0,0,3,8,940,78.2,4.1,0.229,,,,,, +2012,4,12,21,30,0,0,0,4,8,940,87.5,4.2,0.229,,,,,, +2012,4,12,22,30,0,0,0,4,7,940,97.9,3.7,0.229,,,,,, +2012,4,12,23,30,0,0,0,4,7,940,106.2,2.9,0.229,,,,,, +2012,4,13,0,30,0,0,0,5,7,940,113.4,2.2,0.229,,,,,, +2012,4,13,1,30,0,0,0,5,6,940,115.7,1.9,0.229,,,,,, +2012,4,13,2,30,0,0,0,5,6,940,114.8,1.7,0.229,,,,,, +2012,4,13,3,30,0,0,0,5,6,940,113.6,1.5,0.229,,,,,, +2012,4,13,4,30,0,0,0,5,6,940,107,1.3,0.229,,,,,, +2012,4,13,5,30,0,3,3,4,6,940,100.2,2,0.229,,,,,, +2012,4,13,6,30,0,59,59,4,8,940,99.4,2.8,0.229,,,,,, +2012,4,13,7,30,28,168,180,3,9,940,105.3,2.7,0.229,,,,,, +2012,4,13,8,30,8,195,200,2,11,940,81.2,2.7,0.229,,,,,, +2012,4,13,9,30,98,367,442,1,12,940,33.4,3.8,0.229,,,,,, +2012,4,13,10,30,72,407,470,1,12,940,20,5.3,0.229,,,,,, +2012,4,13,11,30,43,394,433,2,12,930,22.5,6.7,0.229,,,,,, +2012,4,13,12,30,40,388,425,2,11,930,29.6,7.8,0.229,,,,,, +2012,4,13,13,30,306,396,648,3,11,930,36.6,8.6,0.229,,,,,, +2012,4,13,14,30,5,179,183,3,10,930,40.1,9.1,0.229,,,,,, +2012,4,13,15,30,0,43,43,2,9,930,42,9.2,0.229,,,,,, +2012,4,13,16,30,0,44,44,2,8,930,45.5,8.6,0.225,,,,,, +2012,4,13,17,30,98,55,71,2,6,930,48.3,7.5,0.225,,,,,, +2012,4,13,18,30,0,0,0,2,5,930,49.8,6.5,0.225,,,,,, +2012,4,13,19,30,0,0,0,2,4,930,52.4,6,0.225,,,,,, +2012,4,13,20,30,0,0,0,1,4,930,58.6,5.8,0.225,,,,,, +2012,4,13,21,30,0,0,0,1,4,930,63.4,5.6,0.225,,,,,, +2012,4,13,22,30,0,0,0,1,4,930,65.2,5.2,0.225,,,,,, +2012,4,13,23,30,0,0,0,1,4,930,65.3,4.9,0.225,,,,,, +2012,4,14,0,30,0,0,0,1,3,930,63.8,4.7,0.225,,,,,, +2012,4,14,1,30,0,0,0,1,3,930,60.9,4.5,0.225,,,,,, +2012,4,14,2,30,0,0,0,0,2,930,57.2,4.3,0.225,,,,,, +2012,4,14,3,30,0,0,0,0,2,930,54.5,4.3,0.225,,,,,, +2012,4,14,4,30,0,0,0,0,2,930,55.6,4.3,0.225,,,,,, +2012,4,14,5,30,0,14,14,0,2,930,58.3,4.7,0.225,,,,,, +2012,4,14,6,30,282,85,154,0,4,930,68,5.6,0.225,,,,,, +2012,4,14,7,30,362,167,329,0,6,930,82,6.1,0.225,,,,,, +2012,4,14,8,30,568,193,548,0,8,930,88.1,6,0.225,,,,,, +2012,4,14,9,30,367,345,625,-1,10,940,88.7,5.5,0.225,,,,,, +2012,4,14,10,30,13,251,263,-1,11,940,87.1,5,0.225,,,,,, +2012,4,14,11,30,915,147,974,-2,12,940,87,4.6,0.225,,,,,, +2012,4,14,12,30,913,144,959,-2,13,940,90.1,4.6,0.225,,,,,, +2012,4,14,13,30,907,130,880,-1,13,940,97.6,4.6,0.225,,,,,, +2012,4,14,14,30,879,116,740,-1,14,940,107.1,4.7,0.225,,,,,, +2012,4,14,15,30,532,174,468,-1,13,940,116.8,4.5,0.225,,,,,, +2012,4,14,16,30,496,105,286,-1,12,940,124.8,3.4,0.225,,,,,, +2012,4,14,17,30,431,47,115,0,10,940,130.8,1.7,0.225,,,,,, +2012,4,14,18,30,0,0,0,0,8,940,131.6,0.8,0.225,,,,,, +2012,4,14,19,30,0,0,0,0,6,940,123.1,0.7,0.225,,,,,, +2012,4,14,20,30,0,0,0,1,6,940,95.1,0.9,0.225,,,,,, +2012,4,14,21,30,0,0,0,1,5,940,80.1,1.2,0.225,,,,,, +2012,4,14,22,30,0,0,0,1,4,940,87.7,1.4,0.225,,,,,, +2012,4,14,23,30,0,0,0,1,4,940,98.5,1.4,0.225,,,,,, +2012,4,15,0,30,0,0,0,1,3,940,107.8,1.4,0.225,,,,,, +2012,4,15,1,30,0,0,0,1,3,940,110.5,1.2,0.225,,,,,, +2012,4,15,2,30,0,0,0,1,3,940,108.9,1.1,0.225,,,,,, +2012,4,15,3,30,0,0,0,2,2,940,107,1,0.225,,,,,, +2012,4,15,4,30,0,0,0,2,2,940,108.3,0.9,0.225,,,,,, +2012,4,15,5,30,70,16,19,1,4,950,112.5,1.4,0.225,,,,,, +2012,4,15,6,30,514,74,202,1,7,950,125.4,1.9,0.225,,,,,, +2012,4,15,7,30,737,97,430,1,11,950,136.6,1.6,0.225,,,,,, +2012,4,15,8,30,838,114,640,0,14,950,130.1,1.2,0.225,,,,,, +2012,4,15,9,30,893,125,812,0,17,950,114.3,1,0.225,,,,,, +2012,4,15,10,30,922,133,929,0,18,950,89,1,0.225,,,,,, +2012,4,15,11,30,934,136,983,0,19,950,76.2,1.2,0.225,,,,,, +2012,4,15,12,30,932,135,969,0,20,950,75.3,1.5,0.225,,,,,, +2012,4,15,13,30,904,136,885,-1,21,940,83.1,1.7,0.225,,,,,, +2012,4,15,14,30,868,124,743,-1,21,940,94.5,1.7,0.225,,,,,, +2012,4,15,15,30,800,108,553,-2,20,940,103.7,1.5,0.225,,,,,, +2012,4,15,16,30,660,89,332,-2,19,940,110,0.8,0.225,,,,,, +2012,4,15,17,30,387,52,114,1,16,940,84.5,0.5,0.225,,,,,, +2012,4,15,18,30,0,0,0,0,14,940,25.2,0.8,0.225,,,,,, +2012,4,15,19,30,0,0,0,0,12,950,27.6,1.1,0.225,,,,,, +2012,4,15,20,30,0,0,0,0,11,950,38.1,1.2,0.225,,,,,, +2012,4,15,21,30,0,0,0,0,9,950,48.8,1.3,0.225,,,,,, +2012,4,15,22,30,0,0,0,0,8,950,58,1.3,0.225,,,,,, +2012,4,15,23,30,0,0,0,0,7,950,68.4,1.3,0.225,,,,,, +2012,4,16,0,30,0,0,0,1,7,950,81.9,1.2,0.225,,,,,, +2012,4,16,1,30,0,0,0,2,6,950,101,1.2,0.225,,,,,, +2012,4,16,2,30,0,0,0,2,6,950,126.4,1.2,0.225,,,,,, +2012,4,16,3,30,0,0,0,2,6,950,152.8,1.2,0.225,,,,,, +2012,4,16,4,30,0,0,0,2,6,950,172.6,1.1,0.225,,,,,, +2012,4,16,5,30,94,18,22,2,8,950,187.6,1.4,0.225,,,,,, +2012,4,16,6,30,405,75,179,2,11,950,204.9,1.8,0.225,,,,,, +2012,4,16,7,30,755,94,437,2,15,950,213.9,1.6,0.225,,,,,, +2012,4,16,8,30,851,110,647,0,19,950,239.5,1.4,0.225,,,,,, +2012,4,16,9,30,557,273,703,-1,21,950,261,1.2,0.225,,,,,, +2012,4,16,10,30,926,130,932,-2,22,950,273.3,1,0.225,,,,,, +2012,4,16,11,30,939,131,985,-2,23,950,281.5,0.7,0.225,,,,,, +2012,4,16,12,30,935,130,969,-2,24,950,290,0.4,0.225,,,,,, +2012,4,16,13,30,915,127,887,-3,25,950,288.9,0.2,0.225,,,,,, +2012,4,16,14,30,872,121,745,-3,25,950,303.8,0.1,0.225,,,,,, +2012,4,16,15,30,802,107,555,-3,24,950,85.1,0.3,0.225,,,,,, +2012,4,16,16,30,438,117,279,-3,23,950,94.9,0.4,0.225,,,,,, +2012,4,16,17,30,218,55,91,2,20,950,89.9,0.5,0.225,,,,,, +2012,4,16,18,30,0,0,0,0,19,950,81.5,0.8,0.225,,,,,, +2012,4,16,19,30,0,0,0,0,18,950,77.5,1,0.225,,,,,, +2012,4,16,20,30,0,0,0,0,16,950,78,1.2,0.225,,,,,, +2012,4,16,21,30,0,0,0,0,14,950,84.2,1.3,0.225,,,,,, +2012,4,16,22,30,0,0,0,0,12,950,95.9,1.3,0.225,,,,,, +2012,4,16,23,30,0,0,0,1,11,950,112.1,1.3,0.225,,,,,, +2012,4,17,0,30,0,0,0,1,11,950,130.3,1.4,0.225,,,,,, +2012,4,17,1,30,0,0,0,2,10,950,144.4,1.4,0.225,,,,,, +2012,4,17,2,30,0,0,0,2,10,950,155.2,1.4,0.225,,,,,, +2012,4,17,3,30,0,0,0,3,10,950,163.4,1.3,0.225,,,,,, +2012,4,17,4,30,0,0,0,3,10,950,169.4,1.2,0.225,,,,,, +2012,4,17,5,30,139,18,25,3,11,950,174.6,1.2,0.225,,,,,, +2012,4,17,6,30,587,63,215,3,15,950,174.5,1.5,0.225,,,,,, +2012,4,17,7,30,776,85,440,3,19,950,173.3,1.1,0.225,,,,,, +2012,4,17,8,30,869,99,650,1,22,950,140.8,0.8,0.225,,,,,, +2012,4,17,9,30,923,107,822,1,24,950,101.5,1,0.225,,,,,, +2012,4,17,10,30,961,107,942,0,25,950,107.1,1.1,0.225,,,,,, +2012,4,17,11,30,975,108,997,0,26,940,118.5,1.1,0.225,,,,,, +2012,4,17,12,30,973,108,983,0,27,940,127.2,1.1,0.225,,,,,, +2012,4,17,13,30,953,107,901,-1,28,940,131.2,1,0.225,,,,,, +2012,4,17,14,30,915,101,758,-1,27,940,126.2,0.8,0.225,,,,,, +2012,4,17,15,30,850,90,566,-2,27,940,110.4,0.7,0.225,,,,,, +2012,4,17,16,30,746,70,348,-2,25,940,92,0.6,0.225,,,,,, +2012,4,17,17,30,125,59,80,3,22,940,79,0.5,0.225,,,,,, +2012,4,17,18,30,0,0,0,2,20,940,64.2,0.6,0.225,,,,,, +2012,4,17,19,30,0,0,0,1,18,940,48.1,0.8,0.225,,,,,, +2012,4,17,20,30,0,0,0,2,16,940,43,1.1,0.225,,,,,, +2012,4,17,21,30,0,0,0,2,15,940,51.9,1.2,0.225,,,,,, +2012,4,17,22,30,0,0,0,3,13,940,67.7,1.3,0.225,,,,,, +2012,4,17,23,30,0,0,0,4,12,940,82.8,1.4,0.225,,,,,, +2012,4,18,0,30,0,0,0,4,12,940,99.1,1.4,0.225,,,,,, +2012,4,18,1,30,0,0,0,4,12,940,117.3,1.4,0.225,,,,,, +2012,4,18,2,30,0,0,0,4,12,940,132.2,1.4,0.225,,,,,, +2012,4,18,3,30,0,0,0,3,11,940,144.1,1.3,0.225,,,,,, +2012,4,18,4,30,0,0,0,3,11,940,152.5,1.2,0.225,,,,,, +2012,4,18,5,30,0,1,1,3,13,940,156,1.4,0.225,,,,,, +2012,4,18,6,30,0,12,12,3,15,940,155.8,1.7,0.225,,,,,, +2012,4,18,7,30,758,76,427,3,19,940,153.4,1.4,0.225,,,,,, +2012,4,18,8,30,552,203,555,2,22,940,75.4,2,0.225,,,,,, +2012,4,18,9,30,892,112,805,0,25,940,37.2,3.1,0.225,,,,,, +2012,4,18,10,30,894,132,911,0,27,940,36.4,3.7,0.225,,,,,, +2012,4,18,11,30,568,361,881,0,28,940,39,4,0.225,,,,,, +2012,4,18,12,30,898,134,944,0,29,940,40.1,4.1,0.225,,,,,, +2012,4,18,13,30,916,107,873,0,30,940,40,4.2,0.225,,,,,, +2012,4,18,14,30,886,98,735,2,29,940,40.2,4.1,0.225,,,,,, +2012,4,18,15,30,605,153,494,3,28,940,41.1,4,0.225,,,,,, +2012,4,18,16,30,438,119,283,4,25,940,35.8,3,0.225,,,,,, +2012,4,18,17,30,130,60,82,5,22,940,26.8,2.1,0.225,,,,,, +2012,4,18,18,30,0,0,0,6,20,940,23.2,2.2,0.225,,,,,, +2012,4,18,19,30,0,0,0,6,18,940,29.7,2.4,0.225,,,,,, +2012,4,18,20,30,0,0,0,5,16,940,36,2.3,0.225,,,,,, +2012,4,18,21,30,0,0,0,5,15,940,48.2,2,0.225,,,,,, +2012,4,18,22,30,0,0,0,5,14,940,70.5,1.6,0.225,,,,,, +2012,4,18,23,30,0,0,0,5,14,940,97.1,1.4,0.225,,,,,, +2012,4,19,0,30,0,0,0,4,13,940,115.8,1.4,0.225,,,,,, +2012,4,19,1,30,0,0,0,4,12,940,130.2,1.3,0.225,,,,,, +2012,4,19,2,30,0,0,0,4,12,940,139.8,1.3,0.225,,,,,, +2012,4,19,3,30,0,0,0,5,11,940,146.6,1.4,0.225,,,,,, +2012,4,19,4,30,0,0,0,5,11,940,153.9,1.5,0.225,,,,,, +2012,4,19,5,30,178,21,31,5,12,940,161.3,2.3,0.225,,,,,, +2012,4,19,6,30,607,60,222,5,16,940,164.7,3.2,0.225,,,,,, +2012,4,19,7,30,774,82,443,5,20,940,165.7,3,0.225,,,,,, +2012,4,19,8,30,856,97,647,3,24,940,169.5,1.9,0.225,,,,,, +2012,4,19,9,30,899,110,812,2,26,940,166.4,1,0.225,,,,,, +2012,4,19,10,30,907,127,920,2,28,940,159.2,0.6,0.225,,,,,, +2012,4,19,11,30,909,134,968,2,29,940,152.3,0.6,0.225,,,,,, +2012,4,19,12,30,898,138,949,3,30,940,142.9,0.7,0.225,,,,,, +2012,4,19,13,30,884,129,870,3,30,940,143.7,1.1,0.225,,,,,, +2012,4,19,14,30,847,119,731,3,30,940,154.6,1.4,0.225,,,,,, +2012,4,19,15,30,776,107,546,3,29,940,163.6,1.5,0.225,,,,,, +2012,4,19,16,30,409,125,279,3,27,940,171.7,1,0.225,,,,,, +2012,4,19,17,30,331,59,116,5,24,940,178.6,0.4,0.225,,,,,, +2012,4,19,18,30,0,0,0,6,21,940,159.2,0.3,0.225,,,,,, +2012,4,19,19,30,0,0,0,5,21,940,73.3,0.5,0.225,,,,,, +2012,4,19,20,30,0,0,0,4,20,940,72,0.6,0.225,,,,,, +2012,4,19,21,30,0,0,0,4,18,940,84.1,0.6,0.225,,,,,, +2012,4,19,22,30,0,0,0,4,17,940,101.3,0.4,0.225,,,,,, +2012,4,19,23,30,0,0,0,4,17,940,131.1,0.4,0.225,,,,,, +2012,4,20,0,30,0,0,0,4,16,940,158.8,0.5,0.225,,,,,, +2012,4,20,1,30,0,0,0,4,15,940,166.1,0.8,0.225,,,,,, +2012,4,20,2,30,0,0,0,4,15,940,171.1,1.1,0.225,,,,,, +2012,4,20,3,30,0,0,0,4,15,940,182.2,1.2,0.225,,,,,, +2012,4,20,4,30,0,0,0,3,15,940,194.7,1.5,0.225,,,,,, +2012,4,20,5,30,126,23,30,2,17,940,205.5,2.4,0.225,,,,,, +2012,4,20,6,30,543,72,219,2,22,940,207.5,3.3,0.225,,,,,, +2012,4,20,7,30,742,94,442,1,25,950,219.7,3.7,0.225,,,,,, +2012,4,20,8,30,846,105,650,1,27,950,238.3,3.6,0.225,,,,,, +2012,4,20,9,30,904,111,819,1,29,950,244,3,0.225,,,,,, +2012,4,20,10,30,941,110,936,1,30,950,246.4,2.1,0.225,,,,,, +2012,4,20,11,30,953,112,988,1,31,940,243.1,1.2,0.225,,,,,, +2012,4,20,12,30,952,110,973,1,32,940,215.7,0.8,0.225,,,,,, +2012,4,20,13,30,942,102,893,1,32,940,166.7,0.9,0.225,,,,,, +2012,4,20,14,30,911,94,754,1,32,940,148.1,1.2,0.225,,,,,, +2012,4,20,15,30,853,83,567,1,31,940,144,1.3,0.225,,,,,, +2012,4,20,16,30,750,67,351,1,29,940,144.7,0.9,0.225,,,,,, +2012,4,20,17,30,518,43,133,4,26,940,145.8,0.4,0.225,,,,,, +2012,4,20,18,30,0,0,0,4,24,940,129.4,0.3,0.225,,,,,, +2012,4,20,19,30,0,0,0,3,24,940,74.2,0.4,0.225,,,,,, +2012,4,20,20,30,0,0,0,2,24,940,54.6,0.6,0.225,,,,,, +2012,4,20,21,30,0,0,0,2,23,940,57.2,0.7,0.225,,,,,, +2012,4,20,22,30,0,0,0,1,23,940,64.5,0.7,0.225,,,,,, +2012,4,20,23,30,0,0,0,1,22,940,78.8,0.6,0.225,,,,,, +2012,4,21,0,30,0,0,0,1,21,940,103.7,0.6,0.225,,,,,, +2012,4,21,1,30,0,0,0,1,20,940,144,0.8,0.225,,,,,, +2012,4,21,2,30,0,0,0,0,19,940,174.3,1,0.225,,,,,, +2012,4,21,3,30,0,0,0,0,19,940,190.7,1.2,0.225,,,,,, +2012,4,21,4,30,0,0,0,0,18,940,200.3,1.3,0.225,,,,,, +2012,4,21,5,30,283,21,39,1,19,940,206.3,1.9,0.225,,,,,, +2012,4,21,6,30,678,53,239,2,22,940,208.5,2.5,0.225,,,,,, +2012,4,21,7,30,835,70,464,0,26,940,208.1,2.3,0.225,,,,,, +2012,4,21,8,30,910,82,671,0,29,940,215.7,1.8,0.225,,,,,, +2012,4,21,9,30,952,90,839,0,31,940,230.8,1.1,0.225,,,,,, +2012,4,21,10,30,953,110,948,0,32,940,250.4,0.5,0.225,,,,,, +2012,4,21,11,30,962,113,1000,0,33,940,304.5,0.4,0.225,,,,,, +2012,4,21,12,30,958,113,983,0,34,940,15.7,0.7,0.225,,,,,, +2012,4,21,13,30,916,124,895,0,34,940,37,1,0.225,,,,,, +2012,4,21,14,30,877,116,753,0,34,940,42.7,1.2,0.225,,,,,, +2012,4,21,15,30,810,103,564,0,33,940,43.5,1.4,0.225,,,,,, +2012,4,21,16,30,738,73,354,0,32,940,41.6,1.2,0.228,,,,,, +2012,4,21,17,30,496,47,134,3,29,940,35.8,1.1,0.228,,,,,, +2012,4,21,18,30,0,0,0,3,27,940,33.8,1.4,0.228,,,,,, +2012,4,21,19,30,0,0,0,2,25,940,36.3,1.5,0.228,,,,,, +2012,4,21,20,30,0,0,0,3,23,940,40.1,1.6,0.228,,,,,, +2012,4,21,21,30,0,0,0,3,21,940,45.2,1.7,0.228,,,,,, +2012,4,21,22,30,0,0,0,4,20,940,50.6,1.6,0.228,,,,,, +2012,4,21,23,30,0,0,0,5,18,940,54.6,1.4,0.228,,,,,, +2012,4,22,0,30,0,0,0,5,17,940,58,1.2,0.228,,,,,, +2012,4,22,1,30,0,0,0,5,17,940,63.3,0.9,0.228,,,,,, +2012,4,22,2,30,0,0,0,5,16,940,70,0.5,0.228,,,,,, +2012,4,22,3,30,0,0,0,5,16,940,86.4,0.2,0.228,,,,,, +2012,4,22,4,30,0,0,0,6,16,940,252,0.3,0.228,,,,,, +2012,4,22,5,30,215,24,39,6,17,940,274.6,0.7,0.228,,,,,, +2012,4,22,6,30,609,66,235,6,21,940,301.4,1,0.228,,,,,, +2012,4,22,7,30,786,86,461,6,25,940,318.8,1.3,0.228,,,,,, +2012,4,22,8,30,866,103,667,4,30,940,327.9,1.9,0.228,,,,,, +2012,4,22,9,30,909,117,834,1,33,940,335.3,2.7,0.228,,,,,, +2012,4,22,10,30,949,116,953,0,34,940,341.4,3.5,0.228,,,,,, +2012,4,22,11,30,954,122,1003,-1,35,940,344.2,3.9,0.228,,,,,, +2012,4,22,12,30,945,124,985,-1,36,940,348.5,4.1,0.228,,,,,, +2012,4,22,13,30,929,119,903,-2,36,940,354.4,4,0.228,,,,,, +2012,4,22,14,30,886,114,759,-2,35,940,0.5,3.8,0.228,,,,,, +2012,4,22,15,30,815,103,569,-2,34,940,5.2,3.5,0.228,,,,,, +2012,4,22,16,30,291,146,258,-2,32,940,8.4,2.5,0.228,,,,,, +2012,4,22,17,30,461,51,133,0,28,940,11.7,1.6,0.228,,,,,, +2012,4,22,18,30,0,0,0,1,24,940,15.8,1.7,0.228,,,,,, +2012,4,22,19,30,0,0,0,2,22,940,13.9,2.1,0.228,,,,,, +2012,4,22,20,30,0,0,0,3,21,940,11.7,2.5,0.228,,,,,, +2012,4,22,21,30,0,0,0,3,19,940,12.8,2.7,0.228,,,,,, +2012,4,22,22,30,0,0,0,3,18,940,14.6,2.3,0.228,,,,,, +2012,4,22,23,30,0,0,0,2,17,940,15.6,1.7,0.228,,,,,, +2012,4,23,0,30,0,0,0,2,16,940,13.6,1.3,0.228,,,,,, +2012,4,23,1,30,0,0,0,2,15,940,5.7,1,0.228,,,,,, +2012,4,23,2,30,0,0,0,2,14,940,353.3,0.8,0.228,,,,,, +2012,4,23,3,30,0,0,0,2,13,940,346.5,0.8,0.228,,,,,, +2012,4,23,4,30,0,0,0,2,13,940,351.1,0.8,0.228,,,,,, +2012,4,23,5,30,177,26,39,2,15,940,9.6,1.3,0.228,,,,,, +2012,4,23,6,30,544,78,231,3,17,940,17.1,2,0.228,,,,,, +2012,4,23,7,30,724,103,451,3,21,940,18.2,2.5,0.228,,,,,, +2012,4,23,8,30,817,119,653,3,24,940,12,3.3,0.228,,,,,, +2012,4,23,9,30,874,127,820,3,27,940,353.7,4.2,0.228,,,,,, +2012,4,23,10,30,874,152,925,3,30,940,342.9,5,0.228,,,,,, +2012,4,23,11,30,892,151,977,3,32,940,340,5.6,0.228,,,,,, +2012,4,23,12,30,891,149,962,3,33,940,341.7,6,0.228,,,,,, +2012,4,23,13,30,869,146,881,3,32,940,346.3,6.4,0.228,,,,,, +2012,4,23,14,30,816,144,739,2,31,940,352.9,6.6,0.228,,,,,, +2012,4,23,15,30,740,129,553,2,29,940,0.5,6.2,0.228,,,,,, +2012,4,23,16,30,697,82,351,3,26,940,9,5,0.228,,,,,, +2012,4,23,17,30,466,51,135,5,23,940,19.7,3,0.228,,,,,, +2012,4,23,18,30,0,0,0,7,19,940,31.5,2.1,0.228,,,,,, +2012,4,23,19,30,0,0,0,9,17,940,37.9,2.1,0.228,,,,,, +2012,4,23,20,30,0,0,0,10,15,940,42.5,2.1,0.228,,,,,, +2012,4,23,21,30,0,0,0,10,14,940,47.3,2.1,0.228,,,,,, +2012,4,23,22,30,0,0,0,10,13,940,53.8,2.2,0.228,,,,,, +2012,4,23,23,30,0,0,0,9,12,940,64.6,2.2,0.228,,,,,, +2012,4,24,0,30,0,0,0,8,11,940,80,2.1,0.228,,,,,, +2012,4,24,1,30,0,0,0,8,11,940,93.6,2.1,0.228,,,,,, +2012,4,24,2,30,0,0,0,8,10,940,105,1.9,0.228,,,,,, +2012,4,24,3,30,0,0,0,8,10,940,115.3,1.6,0.228,,,,,, +2012,4,24,4,30,0,0,0,8,10,940,125,1.6,0.228,,,,,, +2012,4,24,5,30,232,28,46,8,11,940,123.1,2.4,0.228,,,,,, +2012,4,24,6,30,611,70,244,8,13,940,121.1,2.8,0.228,,,,,, +2012,4,24,7,30,774,93,467,8,16,940,147.7,1.8,0.228,,,,,, +2012,4,24,8,30,614,190,594,8,20,940,97.9,1.4,0.228,,,,,, +2012,4,24,9,30,722,208,783,7,23,940,25.8,2.1,0.228,,,,,, +2012,4,24,10,30,955,107,955,5,26,940,29.3,2.4,0.228,,,,,, +2012,4,24,11,30,958,113,1003,3,27,940,24.9,2.5,0.228,,,,,, +2012,4,24,12,30,950,116,985,1,28,940,33.7,2.6,0.228,,,,,, +2012,4,24,13,30,715,238,844,0,29,940,39.7,2.5,0.228,,,,,, +2012,4,24,14,30,621,226,681,-1,29,940,34,2.6,0.228,,,,,, +2012,4,24,15,30,123,261,332,-2,28,940,34.3,3.1,0.228,,,,,, +2012,4,24,16,30,19,141,148,-2,26,940,42.3,2.9,0.228,,,,,, +2012,4,24,17,30,0,53,53,-1,23,940,35.8,2,0.228,,,,,, +2012,4,24,18,30,0,0,0,0,21,940,27.1,2.2,0.228,,,,,, +2012,4,24,19,30,0,0,0,1,19,940,29.2,3,0.228,,,,,, +2012,4,24,20,30,0,0,0,2,18,940,25.9,3.2,0.228,,,,,, +2012,4,24,21,30,0,0,0,2,17,940,20.3,2.6,0.228,,,,,, +2012,4,24,22,30,0,0,0,3,17,940,13.9,1.5,0.228,,,,,, +2012,4,24,23,30,0,0,0,3,16,940,28,1,0.228,,,,,, +2012,4,25,0,30,0,0,0,3,16,940,65.2,0.9,0.228,,,,,, +2012,4,25,1,30,0,0,0,3,15,940,100.2,1,0.228,,,,,, +2012,4,25,2,30,0,0,0,3,15,940,123.2,1,0.228,,,,,, +2012,4,25,3,30,0,0,0,3,15,940,126.1,1.1,0.228,,,,,, +2012,4,25,4,30,0,0,0,4,15,940,141.1,1.1,0.228,,,,,, +2012,4,25,5,30,0,8,8,4,15,940,166.6,1.1,0.228,,,,,, +2012,4,25,6,30,0,49,49,5,17,940,202.3,2.1,0.228,,,,,, +2012,4,25,7,30,0,62,62,5,19,940,210.3,3.2,0.228,,,,,, +2012,4,25,8,30,12,216,224,5,22,940,209.8,3.3,0.228,,,,,, +2012,4,25,9,30,68,369,424,3,25,940,220.2,2.8,0.228,,,,,, +2012,4,25,10,30,416,385,756,1,26,940,244.5,2.2,0.228,,,,,, +2012,4,25,11,30,926,124,986,1,28,940,285.9,2.4,0.228,,,,,, +2012,4,25,12,30,934,117,973,0,29,940,311.7,2.9,0.228,,,,,, +2012,4,25,13,30,226,426,618,0,30,940,317.1,3.4,0.228,,,,,, +2012,4,25,14,30,0,112,112,0,29,940,319.5,3.9,0.228,,,,,, +2012,4,25,15,30,376,230,447,1,28,940,333.4,4.4,0.228,,,,,, +2012,4,25,16,30,628,98,344,1,26,940,345.4,4.2,0.228,,,,,, +2012,4,25,17,30,0,16,16,2,23,940,352.4,3.5,0.228,,,,,, +2012,4,25,18,30,0,0,0,3,20,940,359.6,3.4,0.228,,,,,, +2012,4,25,19,30,0,0,0,5,18,940,3.8,3.6,0.228,,,,,, +2012,4,25,20,30,0,0,0,6,16,940,7.4,3.5,0.228,,,,,, +2012,4,25,21,30,0,0,0,6,15,940,9,3,0.228,,,,,, +2012,4,25,22,30,0,0,0,7,14,940,5.9,3.1,0.228,,,,,, +2012,4,25,23,30,0,0,0,8,12,940,16.1,3.9,0.228,,,,,, +2012,4,26,0,30,0,0,0,8,12,940,37.3,4.9,0.228,,,,,, +2012,4,26,1,30,0,0,0,8,11,940,52.1,5.7,0.228,,,,,, +2012,4,26,2,30,0,0,0,8,11,940,65.3,5.1,0.228,,,,,, +2012,4,26,3,30,0,0,0,8,11,940,73.5,3.2,0.228,,,,,, +2012,4,26,4,30,0,0,0,8,11,940,60.8,2,0.228,,,,,, +2012,4,26,5,30,87,29,37,8,12,940,21,2.8,0.228,,,,,, +2012,4,26,6,30,235,112,181,8,13,940,27.5,4.3,0.228,,,,,, +2012,4,26,7,30,771,88,465,8,15,940,41.1,5.1,0.228,,,,,, +2012,4,26,8,30,66,294,338,7,17,940,51.3,5.1,0.228,,,,,, +2012,4,26,9,30,903,107,829,7,18,940,57.2,4.8,0.228,,,,,, +2012,4,26,10,30,912,124,937,7,20,940,55.8,4.5,0.228,,,,,, +2012,4,26,11,30,920,130,988,7,21,940,51,4.5,0.228,,,,,, +2012,4,26,12,30,917,131,974,7,22,940,50.9,4.8,0.228,,,,,, +2012,4,26,13,30,17,286,301,7,21,940,50.5,5.1,0.228,,,,,, +2012,4,26,14,30,351,326,584,7,21,940,48.9,5.3,0.228,,,,,, +2012,4,26,15,30,166,268,365,6,20,940,48,5.5,0.228,,,,,, +2012,4,26,16,30,695,85,358,6,18,940,47.6,5.5,0.228,,,,,, +2012,4,26,17,30,455,55,141,6,16,940,48,4.8,0.228,,,,,, +2012,4,26,18,30,0,0,0,6,15,940,49,4.1,0.228,,,,,, +2012,4,26,19,30,0,0,0,7,13,940,55,3.8,0.228,,,,,, +2012,4,26,20,30,0,0,0,7,12,940,65.4,3.6,0.228,,,,,, +2012,4,26,21,30,0,0,0,7,12,940,76.7,3.3,0.228,,,,,, +2012,4,26,22,30,0,0,0,7,11,940,85.7,2.8,0.228,,,,,, +2012,4,26,23,30,0,0,0,7,10,940,90.5,2.4,0.228,,,,,, +2012,4,27,0,30,0,0,0,7,10,940,94,2,0.228,,,,,, +2012,4,27,1,30,0,0,0,6,9,940,98.7,1.6,0.228,,,,,, +2012,4,27,2,30,0,0,0,6,8,940,105.6,1.4,0.228,,,,,, +2012,4,27,3,30,0,0,0,4,7,940,111.1,1.2,0.228,,,,,, +2012,4,27,4,30,0,0,0,3,7,940,114.7,1.3,0.228,,,,,, +2012,4,27,5,30,227,32,52,2,8,940,117.5,2.3,0.228,,,,,, +2012,4,27,6,30,603,74,252,1,12,940,130.1,3.2,0.228,,,,,, +2012,4,27,7,30,732,108,469,0,16,940,145.9,2.7,0.228,,,,,, +2012,4,27,8,30,814,131,672,-1,19,950,158.7,2.1,0.228,,,,,, +2012,4,27,9,30,857,149,837,-1,21,940,172,1.9,0.228,,,,,, +2012,4,27,10,30,882,160,949,-2,23,940,187,1.8,0.228,,,,,, +2012,4,27,11,30,890,168,999,-2,24,940,195.7,1.8,0.228,,,,,, +2012,4,27,12,30,881,171,982,-4,25,940,199.3,1.9,0.228,,,,,, +2012,4,27,13,30,907,138,912,-5,25,940,196.1,1.8,0.228,,,,,, +2012,4,27,14,30,866,131,770,-6,25,940,186.4,1.7,0.228,,,,,, +2012,4,27,15,30,793,119,580,-7,24,940,175.7,1.4,0.228,,,,,, +2012,4,27,16,30,627,107,355,-8,23,940,163.7,0.9,0.228,,,,,, +2012,4,27,17,30,363,68,137,-6,19,940,131.6,0.7,0.228,,,,,, +2012,4,27,18,30,0,0,0,-3,16,940,67,0.9,0.228,,,,,, +2012,4,27,19,30,0,0,0,-3,15,940,69.2,1.1,0.228,,,,,, +2012,4,27,20,30,0,0,0,-3,14,940,79.7,1.1,0.228,,,,,, +2012,4,27,21,30,0,0,0,-1,13,940,96,1.2,0.228,,,,,, +2012,4,27,22,30,0,0,0,0,12,940,115.3,1.4,0.228,,,,,, +2012,4,27,23,30,0,0,0,2,10,940,129.7,1.6,0.228,,,,,, +2012,4,28,0,30,0,0,0,3,10,940,140,1.9,0.228,,,,,, +2012,4,28,1,30,0,0,0,3,10,940,144.5,2.3,0.228,,,,,, +2012,4,28,2,30,0,0,0,3,10,940,146.1,2.6,0.228,,,,,, +2012,4,28,3,30,0,0,0,3,10,940,146.9,2.8,0.228,,,,,, +2012,4,28,4,30,0,0,0,2,10,940,148.4,3,0.228,,,,,, +2012,4,28,5,30,153,35,50,0,13,940,152.2,3.7,0.228,,,,,, +2012,4,28,6,30,525,89,246,-1,17,940,157,4.1,0.228,,,,,, +2012,4,28,7,30,689,125,466,-4,20,940,169,4.2,0.228,,,,,, +2012,4,28,8,30,792,144,673,-5,23,940,188.5,4.4,0.228,,,,,, +2012,4,28,9,30,852,157,842,-6,24,940,195.4,4.3,0.228,,,,,, +2012,4,28,10,30,877,168,955,-6,25,940,194.5,4.1,0.228,,,,,, +2012,4,28,11,30,889,173,1005,-6,26,940,191.1,3.9,0.228,,,,,, +2012,4,28,12,30,886,171,988,-6,27,940,187,3.7,0.228,,,,,, +2012,4,28,13,30,894,148,913,-6,28,940,183.2,3.4,0.228,,,,,, +2012,4,28,14,30,853,139,770,-5,27,940,178.3,3,0.228,,,,,, +2012,4,28,15,30,777,125,579,-5,26,940,173.5,2.5,0.228,,,,,, +2012,4,28,16,30,687,93,366,-5,25,940,168.9,1.6,0.228,,,,,, +2012,4,28,17,30,442,60,146,-3,22,940,168.7,0.8,0.228,,,,,, +2012,4,28,18,30,0,0,0,-1,19,940,176.4,0.7,0.228,,,,,, +2012,4,28,19,30,0,0,0,-2,19,940,170.8,0.7,0.228,,,,,, +2012,4,28,20,30,0,0,0,-2,19,940,158.7,0.8,0.228,,,,,, +2012,4,28,21,30,0,0,0,-2,18,940,164.4,0.9,0.228,,,,,, +2012,4,28,22,30,0,0,0,-2,17,940,180.1,1.1,0.228,,,,,, +2012,4,28,23,30,0,0,0,-2,15,940,196.3,1.2,0.228,,,,,, +2012,4,29,0,30,0,0,0,-2,14,940,205.4,1.3,0.228,,,,,, +2012,4,29,1,30,0,0,0,-1,13,940,207.7,1.3,0.228,,,,,, +2012,4,29,2,30,0,0,0,-1,12,940,206.5,1.3,0.228,,,,,, +2012,4,29,3,30,0,0,0,-1,11,940,204.7,1.4,0.228,,,,,, +2012,4,29,4,30,0,0,0,0,11,940,202.2,1.5,0.228,,,,,, +2012,4,29,5,30,179,36,53,0,13,940,198.7,2.3,0.228,,,,,, +2012,4,29,6,30,543,88,252,0,17,940,197.4,3,0.228,,,,,, +2012,4,29,7,30,717,118,475,-2,21,940,207.5,3.3,0.228,,,,,, +2012,4,29,8,30,814,136,682,-3,24,940,221.7,3.4,0.228,,,,,, +2012,4,29,9,30,869,148,849,-4,27,940,227.3,2.9,0.228,,,,,, +2012,4,29,10,30,904,151,963,-4,28,940,229.2,2.2,0.228,,,,,, +2012,4,29,11,30,916,154,1013,-4,29,940,229.2,1.5,0.228,,,,,, +2012,4,29,12,30,913,152,996,-4,29,940,226.6,1,0.228,,,,,, +2012,4,29,13,30,900,143,914,-4,30,940,218.4,0.6,0.228,,,,,, +2012,4,29,14,30,862,133,772,-5,29,940,201.4,0.3,0.228,,,,,, +2012,4,29,15,30,794,118,583,-5,28,940,162.3,0.2,0.228,,,,,, +2012,4,29,16,30,673,96,365,-5,27,940,82.7,0.2,0.229,,,,,, +2012,4,29,17,30,428,61,145,-2,24,940,39.8,0.5,0.229,,,,,, +2012,4,29,18,30,0,0,0,0,21,940,27.2,1,0.229,,,,,, +2012,4,29,19,30,0,0,0,-1,21,940,25.1,1.7,0.229,,,,,, +2012,4,29,20,30,0,0,0,-1,20,940,26,2.1,0.229,,,,,, +2012,4,29,21,30,0,0,0,-1,19,940,31.2,2.2,0.229,,,,,, +2012,4,29,22,30,0,0,0,0,18,940,35.7,2,0.229,,,,,, +2012,4,29,23,30,0,0,0,0,17,940,38,1.6,0.229,,,,,, +2012,4,30,0,30,0,0,0,1,16,940,39.6,1.2,0.229,,,,,, +2012,4,30,1,30,0,0,0,2,15,940,43.8,0.9,0.229,,,,,, +2012,4,30,2,30,0,0,0,3,14,940,46.4,0.8,0.229,,,,,, +2012,4,30,3,30,0,0,0,4,13,940,41.2,0.5,0.229,,,,,, +2012,4,30,4,30,0,0,0,4,13,940,6.5,0.4,0.229,,,,,, +2012,4,30,5,30,233,36,59,4,15,940,308.7,0.6,0.229,,,,,, +2012,4,30,6,30,590,80,260,5,19,940,299.8,1.1,0.229,,,,,, +2012,4,30,7,30,751,107,483,4,23,940,316,1.6,0.229,,,,,, +2012,4,30,8,30,838,124,688,0,27,940,325.4,2.2,0.229,,,,,, +2012,4,30,9,30,887,136,854,-3,29,940,334.1,2.7,0.229,,,,,, +2012,4,30,10,30,912,145,966,-3,30,940,339.6,3.2,0.229,,,,,, +2012,4,30,11,30,924,146,1015,-4,31,940,347.9,3.5,0.229,,,,,, +2012,4,30,12,30,920,144,997,-4,32,940,357.8,3.7,0.229,,,,,, +2012,4,30,13,30,890,145,909,-4,32,940,8.4,3.8,0.229,,,,,, +2012,4,30,14,30,849,135,766,-3,31,940,18.1,3.8,0.229,,,,,, +2012,4,30,15,30,776,120,576,-2,30,940,27.1,3.7,0.229,,,,,, +1999,4,30,16,30,251,158,258,0,12,940,164.7,2.8,0.227,,,,,, +1999,4,30,17,30,417,64,146,0,10,940,170.7,2,0.227,,,,,, +1999,4,30,18,30,0,0,0,2,8,940,174.8,1.2,0.227,,,,,, +1999,4,30,19,30,0,0,0,2,7,940,180.1,0.9,0.227,,,,,, +1999,4,30,20,30,0,0,0,1,6,940,181,0.9,0.227,,,,,, +1999,4,30,21,30,0,0,0,1,5,940,155.4,0.9,0.227,,,,,, +1999,4,30,22,30,0,0,0,1,5,940,138.9,1,0.227,,,,,, +1999,4,30,23,30,0,0,0,1,4,940,132.2,1.1,0.227,,,,,, +1999,5,1,0,30,0,0,0,0,4,940,127.6,1.2,0.227,,,,,, +1999,5,1,1,30,0,0,0,0,4,940,124,1.3,0.227,,,,,, +1999,5,1,2,30,0,0,0,1,3,940,126,1.3,0.227,,,,,, +1999,5,1,3,30,0,0,0,1,3,940,130.1,1.2,0.227,,,,,, +1999,5,1,4,30,0,0,0,1,3,940,136,1.4,0.227,,,,,, +1999,5,1,5,30,202,38,58,2,5,940,144.2,2.2,0.227,,,,,, +1999,5,1,6,30,547,87,254,2,9,940,150.6,2.8,0.227,,,,,, +1999,5,1,7,30,718,113,473,2,12,940,162.5,2.8,0.227,,,,,, +1999,5,1,8,30,813,129,677,2,15,940,167.3,2.7,0.227,,,,,, +1999,5,1,9,30,869,139,842,2,17,940,161.9,2.7,0.227,,,,,, +1999,5,1,10,30,898,144,953,2,18,940,148.8,2.7,0.227,,,,,, +1999,5,1,11,30,909,147,1002,2,20,940,136.4,2.8,0.227,,,,,, +1999,5,1,12,30,906,145,984,2,21,940,126.8,2.9,0.227,,,,,, +1999,5,1,13,30,888,138,901,2,21,940,119.1,2.8,0.227,,,,,, +1999,5,1,14,30,850,128,760,2,22,940,114.9,2.6,0.227,,,,,, +1999,5,1,15,30,784,114,574,2,21,940,114.7,2.2,0.227,,,,,, +1999,5,1,16,30,664,94,361,3,20,940,114.8,1.2,0.227,,,,,, +1999,5,1,17,30,435,60,147,5,18,940,103.5,0.6,0.227,,,,,, +1999,5,1,18,30,0,0,0,6,15,940,356.9,0.8,0.227,,,,,, +1999,5,1,19,30,0,0,0,6,13,940,348.8,1.2,0.227,,,,,, +1999,5,1,20,30,0,0,0,6,12,940,353.6,1.4,0.227,,,,,, +1999,5,1,21,30,0,0,0,6,11,940,0.9,1.5,0.227,,,,,, +1999,5,1,22,30,0,0,0,6,11,940,8.2,1.5,0.227,,,,,, +1999,5,1,23,30,0,0,0,6,10,940,15,1.4,0.227,,,,,, +1999,5,2,0,30,0,0,0,6,9,940,20.9,1.2,0.227,,,,,, +1999,5,2,1,30,0,0,0,6,8,940,27.9,1.1,0.227,,,,,, +1999,5,2,2,30,0,0,0,7,8,940,34.9,0.8,0.227,,,,,, +1999,5,2,3,30,0,0,0,7,7,940,41.6,0.5,0.227,,,,,, +1999,5,2,4,30,0,0,0,7,7,940,46.1,0.2,0.227,,,,,, +1999,5,2,5,30,233,39,62,7,8,940,357,0.2,0.227,,,,,, +1999,5,2,6,30,595,82,266,7,11,940,323.7,1.5,0.227,,,,,, +1999,5,2,7,30,769,103,491,7,14,940,8.3,3.3,0.227,,,,,, +1999,5,2,8,30,864,115,699,6,17,940,11.9,4.6,0.227,,,,,, +1999,5,2,9,30,918,123,868,5,19,940,12.5,5.3,0.227,,,,,, +1999,5,2,10,30,946,127,981,3,21,940,15.8,5.6,0.227,,,,,, +1999,5,2,11,30,957,128,1030,2,22,940,20.4,5.8,0.227,,,,,, +1999,5,2,12,30,952,127,1010,1,23,940,24.4,5.8,0.227,,,,,, +1999,5,2,13,30,930,124,925,0,23,940,25.6,5.8,0.227,,,,,, +1999,5,2,14,30,889,117,780,0,22,940,26.1,5.9,0.227,,,,,, +1999,5,2,15,30,817,108,589,0,21,940,28.2,6.1,0.227,,,,,, +1999,5,2,16,30,688,92,371,0,19,940,32.5,6.1,0.227,,,,,, +1999,5,2,17,30,488,49,147,1,16,940,38.8,5.6,0.227,,,,,, +1999,5,2,18,30,0,0,0,2,14,940,42.9,5.1,0.227,,,,,, +1999,5,2,19,30,0,0,0,2,12,940,47.6,4.7,0.227,,,,,, +1999,5,2,20,30,0,0,0,3,10,940,55.5,4.2,0.227,,,,,, +1999,5,2,21,30,0,0,0,3,8,940,63.9,3.9,0.227,,,,,, +1999,5,2,22,30,0,0,0,3,7,940,73.9,3.7,0.227,,,,,, +1999,5,2,23,30,0,0,0,4,7,940,83.6,3.4,0.227,,,,,, +1999,5,3,0,30,0,0,0,4,7,940,82.7,2.8,0.227,,,,,, +1999,5,3,1,30,0,0,0,4,7,940,79.9,2.5,0.227,,,,,, +1999,5,3,2,30,0,0,0,4,7,930,82.2,2.5,0.227,,,,,, +1999,5,3,3,30,0,0,0,4,7,930,83,2.6,0.227,,,,,, +1999,5,3,4,30,0,0,0,4,7,940,81.9,2.8,0.227,,,,,, +1999,5,3,5,30,0,11,11,4,7,940,81.6,3.8,0.227,,,,,, +1999,5,3,6,30,0,101,101,4,8,940,90.2,4.9,0.227,,,,,, +1999,5,3,7,30,92,219,265,3,9,940,95.6,5.3,0.227,,,,,, +1999,5,3,8,30,180,321,443,2,11,940,95.5,5.4,0.227,,,,,, +1999,5,3,9,30,231,400,589,1,13,940,92.3,5.5,0.227,,,,,, +1999,5,3,10,30,122,460,570,0,15,940,88.4,5.6,0.227,,,,,, +1999,5,3,11,30,344,450,775,0,16,940,83.2,6,0.227,,,,,, +1999,5,3,12,30,428,411,809,0,17,930,80.2,6.7,0.227,,,,,, +1999,5,3,13,30,506,350,787,0,18,930,80,7.5,0.227,,,,,, +1999,5,3,14,30,845,139,770,0,17,930,79.7,8.2,0.227,,,,,, +1999,5,3,15,30,337,246,445,1,16,930,79.1,8.5,0.227,,,,,, +1999,5,3,16,30,504,119,323,2,15,930,78.7,8.3,0.227,,,,,, +1999,5,3,17,30,434,54,143,2,13,930,77.6,7.5,0.227,,,,,, +1999,5,3,18,30,0,0,0,2,11,930,74.4,6.6,0.227,,,,,, +1999,5,3,19,30,0,0,0,3,10,930,73.5,5.9,0.227,,,,,, +1999,5,3,20,30,0,0,0,3,9,940,74.4,5.3,0.227,,,,,, +1999,5,3,21,30,0,0,0,3,8,940,76.4,4.8,0.227,,,,,, +1999,5,3,22,30,0,0,0,3,7,940,79.4,4.3,0.227,,,,,, +1999,5,3,23,30,0,0,0,3,6,940,84.4,3.6,0.227,,,,,, +1999,5,4,0,30,0,0,0,3,6,940,89.1,2.8,0.227,,,,,, +1999,5,4,1,30,0,0,0,3,5,940,95,2.3,0.227,,,,,, +1999,5,4,2,30,0,0,0,3,5,940,103.3,2.1,0.227,,,,,, +1999,5,4,3,30,0,0,0,3,4,940,110.8,2.1,0.227,,,,,, +1999,5,4,4,30,0,0,0,3,4,940,115.9,2.4,0.227,,,,,, +1999,5,4,5,30,299,38,71,3,5,940,119.1,3.6,0.227,,,,,, +1999,5,4,6,30,640,77,278,2,8,940,122.3,4.8,0.227,,,,,, +1999,5,4,7,30,754,112,496,1,11,940,128.8,4.6,0.227,,,,,, +1999,5,4,8,30,856,122,704,0,13,940,132.2,3.8,0.227,,,,,, +1999,5,4,9,30,912,128,871,0,15,940,129.2,3.3,0.227,,,,,, +1999,5,4,10,30,940,132,984,-1,17,940,120.6,3,0.227,,,,,, +1999,5,4,11,30,954,132,1034,-1,18,940,112,3,0.227,,,,,, +1999,5,4,12,30,955,128,1017,-2,19,940,108.3,3.1,0.227,,,,,, +1999,5,4,13,30,942,121,935,-2,20,940,108.9,3.3,0.227,,,,,, +1999,5,4,14,30,914,109,794,-2,21,940,113.3,3.6,0.227,,,,,, +1999,5,4,15,30,862,95,606,-2,20,940,118.3,3.8,0.227,,,,,, +1999,5,4,16,30,760,78,388,-2,19,940,121.9,3.4,0.227,,,,,, +1999,5,4,17,30,544,53,165,-1,16,940,125.2,2.2,0.227,,,,,, +1999,5,4,18,30,0,0,0,0,13,940,130.9,1.4,0.227,,,,,, +1999,5,4,19,30,0,0,0,0,11,940,133.2,1.5,0.227,,,,,, +1999,5,4,20,30,0,0,0,0,9,940,132.7,1.6,0.227,,,,,, +1999,5,4,21,30,0,0,0,0,8,940,130.8,1.8,0.227,,,,,, +1999,5,4,22,30,0,0,0,0,7,940,130.2,2.2,0.227,,,,,, +1999,5,4,23,30,0,0,0,0,7,940,131.4,2.8,0.227,,,,,, +1999,5,5,0,30,0,0,0,0,7,940,134,3.3,0.227,,,,,, +1999,5,5,1,30,0,0,0,0,7,940,136,3.8,0.227,,,,,, +1999,5,5,2,30,0,0,0,0,6,940,141.2,4,0.227,,,,,, +1999,5,5,3,30,0,0,0,-1,6,940,149.7,3.8,0.227,,,,,, +1999,5,5,4,30,0,0,0,-2,6,940,155.7,3.9,0.227,,,,,, +1999,5,5,5,30,408,33,79,-2,9,940,160.7,4.4,0.227,,,,,, +1999,5,5,6,30,718,62,290,-3,13,940,165.6,5.4,0.227,,,,,, +1999,5,5,7,30,848,79,514,-6,16,940,192.1,6.4,0.227,,,,,, +1999,5,5,8,30,916,92,717,-7,19,940,201.9,6.6,0.227,,,,,, +1999,5,5,9,30,955,101,881,-7,20,940,200.6,6.2,0.227,,,,,, +1999,5,5,10,30,976,106,992,-7,22,940,197.3,5.6,0.227,,,,,, +1999,5,5,11,30,985,107,1040,-7,23,940,191.2,5.1,0.227,,,,,, +1999,5,5,12,30,982,106,1022,-6,24,940,182.5,4.8,0.227,,,,,, +1999,5,5,13,30,968,101,939,-6,25,940,174.7,4.6,0.227,,,,,, +1999,5,5,14,30,938,94,799,-6,25,940,169.8,4.4,0.227,,,,,, +1999,5,5,15,30,885,84,611,-6,25,940,167.9,4,0.227,,,,,, +1999,5,5,16,30,784,71,393,-5,24,940,169.1,2.8,0.227,,,,,, +1999,5,5,17,30,579,49,170,0,20,940,175.8,1.6,0.227,,,,,, +1999,5,5,18,30,0,0,0,1,17,940,199.2,1.3,0.227,,,,,, +1999,5,5,19,30,0,0,0,0,17,940,209.5,1.3,0.227,,,,,, +1999,5,5,20,30,0,0,0,-1,16,940,208.9,1.3,0.227,,,,,, +1999,5,5,21,30,0,0,0,-1,15,940,198.1,1.2,0.227,,,,,, +1999,5,5,22,30,0,0,0,-1,14,940,182.5,1.2,0.227,,,,,, +1999,5,5,23,30,0,0,0,-1,13,940,170.6,1.3,0.227,,,,,, +1999,5,6,0,30,0,0,0,-1,13,940,169.1,1.4,0.227,,,,,, +1999,5,6,1,30,0,0,0,-1,13,940,173.6,1.5,0.227,,,,,, +1999,5,6,2,30,0,0,0,0,13,940,180.8,1.5,0.227,,,,,, +1999,5,6,3,30,0,0,0,0,13,940,189.2,1.6,0.227,,,,,, +1999,5,6,4,30,0,0,0,0,13,940,196.4,1.8,0.227,,,,,, +1999,5,6,5,30,358,36,77,0,14,940,199.5,2.7,0.227,,,,,, +1999,5,6,6,30,676,68,285,0,17,940,195.6,3.5,0.227,,,,,, +1999,5,6,7,30,817,88,508,0,21,940,214.5,3.4,0.227,,,,,, +1999,5,6,8,30,891,101,711,-3,24,940,227.9,3.1,0.227,,,,,, +1999,5,6,9,30,932,111,875,-3,26,940,222.3,2.5,0.227,,,,,, +1999,5,6,10,30,952,118,985,-3,27,940,213.3,2,0.227,,,,,, +1999,5,6,11,30,959,123,1032,-3,28,940,196.3,1.6,0.227,,,,,, +1999,5,6,12,30,952,123,1013,-3,29,940,170.5,1.6,0.227,,,,,, +1999,5,6,13,30,933,120,928,-2,30,940,150.7,1.8,0.227,,,,,, +1999,5,6,14,30,896,113,786,-2,30,940,140.9,2,0.227,,,,,, +1999,5,6,15,30,831,102,598,-2,29,940,135.8,2,0.227,,,,,, +1999,5,6,16,30,716,87,382,-1,28,940,131.7,1.4,0.227,,,,,, +1999,5,6,17,30,490,60,163,2,26,940,125.3,0.7,0.227,,,,,, +1999,5,6,18,30,0,0,0,3,22,940,94.6,0.7,0.227,,,,,, +1999,5,6,19,30,0,0,0,3,20,940,50.4,0.9,0.227,,,,,, +1999,5,6,20,30,0,0,0,3,18,940,39.2,1.2,0.227,,,,,, +1999,5,6,21,30,0,0,0,3,17,940,45.6,1.3,0.227,,,,,, +1999,5,6,22,30,0,0,0,4,16,940,63.1,1.3,0.227,,,,,, +1999,5,6,23,30,0,0,0,4,16,940,87.4,1.2,0.227,,,,,, +1999,5,7,0,30,0,0,0,4,15,940,113.4,1.2,0.227,,,,,, +1999,5,7,1,30,0,0,0,4,14,940,136.3,1.1,0.227,,,,,, +1999,5,7,2,30,0,0,0,4,13,940,152.5,1.1,0.227,,,,,, +1999,5,7,3,30,0,0,0,4,12,940,162.5,1.1,0.227,,,,,, +1999,5,7,4,30,0,0,0,5,12,940,168.4,1,0.227,,,,,, +1999,5,7,5,30,335,38,78,5,13,940,169.4,1.2,0.227,,,,,, +1999,5,7,6,30,642,75,282,5,16,940,166.3,1.5,0.227,,,,,, +1999,5,7,7,30,786,97,503,4,18,940,164.9,1.2,0.227,,,,,, +1999,5,7,8,30,867,110,706,4,22,940,100.2,1.3,0.227,,,,,, +1999,5,7,9,30,912,121,870,2,25,940,45.9,2.1,0.227,,,,,, +1999,5,7,10,30,934,130,981,1,27,940,30.2,2.7,0.227,,,,,, +1999,5,7,11,30,943,134,1030,0,28,940,26.4,3.2,0.227,,,,,, +1999,5,7,12,30,942,132,1014,0,29,940,27.2,3.4,0.227,,,,,, +1999,5,7,13,30,930,125,933,0,30,940,28.1,3.6,0.227,,,,,, +1999,5,7,14,30,898,116,793,-1,30,940,29.3,3.7,0.227,,,,,, +1999,5,7,15,30,838,104,606,-1,29,940,28.9,3.7,0.227,,,,,, +1999,5,7,16,30,715,91,387,-1,27,940,26,2.9,0.227,,,,,, +1999,5,7,17,30,484,63,166,0,23,940,19.6,1.9,0.227,,,,,, +1999,5,7,18,30,0,0,0,1,19,940,9.5,1.9,0.227,,,,,, +1999,5,7,19,30,0,0,0,1,17,940,9.5,2.4,0.227,,,,,, +1999,5,7,20,30,0,0,0,2,16,940,16.4,2.6,0.227,,,,,, +1999,5,7,21,30,0,0,0,2,14,940,28,2.3,0.227,,,,,, +1999,5,7,22,30,0,0,0,2,13,940,45.3,1.8,0.227,,,,,, +1999,5,7,23,30,0,0,0,2,12,940,71.4,1.4,0.227,,,,,, +1999,5,8,0,30,0,0,0,2,11,940,98.6,1.3,0.227,,,,,, +1999,5,8,1,30,0,0,0,2,10,940,120.4,1.5,0.227,,,,,, +1999,5,8,2,30,0,0,0,1,9,940,136.1,1.6,0.227,,,,,, +1999,5,8,3,30,0,0,0,1,8,940,146.4,1.5,0.227,,,,,, +1999,5,8,4,30,0,0,0,0,8,940,152.6,1.5,0.227,,,,,, +1999,5,8,5,30,304,44,81,0,10,940,154.3,1.9,0.227,,,,,, +1999,5,8,6,30,639,84,292,0,13,940,153.4,1.9,0.227,,,,,, +1999,5,8,7,30,772,112,513,-1,17,940,142.7,1.4,0.227,,,,,, +1999,5,8,8,30,853,128,717,-3,20,940,32.8,2.2,0.227,,,,,, +1999,5,8,9,30,896,141,879,-4,23,940,11.9,3.5,0.227,,,,,, +1999,5,8,10,30,918,149,987,-5,25,940,10.2,4.5,0.227,,,,,, +1999,5,8,11,30,925,152,1033,-5,26,940,14.6,5.3,0.227,,,,,, +1999,5,8,12,30,920,150,1012,-5,27,940,19.4,5.9,0.227,,,,,, +1999,5,8,13,30,899,145,927,-4,27,940,23.9,6.1,0.227,,,,,, +1999,5,8,14,30,544,265,676,-4,26,940,28.6,6.3,0.227,,,,,, +1999,5,8,15,30,453,218,490,-3,25,940,31.8,6.4,0.227,,,,,, +1999,5,8,16,30,615,118,374,-2,23,940,34.9,6.1,0.236,,,,,, +1999,5,8,17,30,378,77,159,-2,20,940,39.3,4.9,0.236,,,,,, +1999,5,8,18,30,0,0,0,-1,17,940,43.8,3.7,0.236,,,,,, +1999,5,8,19,30,0,0,0,-1,15,940,47.2,3.2,0.236,,,,,, +1999,5,8,20,30,0,0,0,0,14,940,54.4,2.9,0.236,,,,,, +1999,5,8,21,30,0,0,0,0,12,940,64.2,2.5,0.236,,,,,, +1999,5,8,22,30,0,0,0,0,11,940,70.7,2.1,0.236,,,,,, +1999,5,8,23,30,0,0,0,0,10,940,77.8,1.8,0.236,,,,,, +1999,5,9,0,30,0,0,0,0,10,940,84.8,1.6,0.236,,,,,, +1999,5,9,1,30,0,0,0,0,9,940,89.6,1.4,0.236,,,,,, +1999,5,9,2,30,0,0,0,0,8,940,94,1.3,0.236,,,,,, +1999,5,9,3,30,0,0,0,0,7,940,96.9,1.2,0.236,,,,,, +1999,5,9,4,30,0,0,0,0,7,940,97.8,1.6,0.236,,,,,, +1999,5,9,5,30,0,35,35,0,8,940,99.1,2.4,0.236,,,,,, +1999,5,9,6,30,382,106,232,0,12,940,102.8,2.5,0.236,,,,,, +1999,5,9,7,30,329,208,380,-1,15,940,98.4,2,0.236,,,,,, +1999,5,9,8,30,886,109,721,-3,18,940,60.8,2,0.236,,,,,, +1999,5,9,9,30,928,119,885,-4,21,940,40.5,2.2,0.236,,,,,, +1999,5,9,10,30,951,125,995,-5,23,940,38.1,2.2,0.236,,,,,, +1999,5,9,11,30,960,127,1042,-5,24,940,40.5,2.2,0.236,,,,,, +1999,5,9,12,30,958,124,1023,-5,25,940,43.4,2.3,0.236,,,,,, +1999,5,9,13,30,943,118,940,-5,25,940,46.1,2.4,0.236,,,,,, +1999,5,9,14,30,911,109,799,-5,24,940,50.2,2.5,0.236,,,,,, +1999,5,9,15,30,381,240,470,-4,23,940,56.3,2.6,0.236,,,,,, +1999,5,9,16,30,706,94,389,-3,21,940,57.9,2.3,0.236,,,,,, +1999,5,9,17,30,489,64,171,-3,18,940,46.6,1.7,0.236,,,,,, +1999,5,9,18,30,0,0,0,-2,15,940,34.2,1.8,0.236,,,,,, +1999,5,9,19,30,0,0,0,-2,13,940,34.4,2,0.236,,,,,, +1999,5,9,20,30,0,0,0,-2,12,940,47.6,1.7,0.236,,,,,, +1999,5,9,21,30,0,0,0,-2,11,940,74.6,1.5,0.236,,,,,, +1999,5,9,22,30,0,0,0,-2,10,940,107.6,1.8,0.236,,,,,, +1999,5,9,23,30,0,0,0,-1,9,940,124.5,1.8,0.236,,,,,, +1999,5,10,0,30,0,0,0,-1,8,940,130.4,1.6,0.236,,,,,, +1999,5,10,1,30,0,0,0,-1,8,940,132.7,1.4,0.236,,,,,, +1999,5,10,2,30,0,0,0,-1,7,940,133,1.4,0.236,,,,,, +1999,5,10,3,30,0,0,0,-1,7,940,134.1,1.4,0.236,,,,,, +1999,5,10,4,30,0,0,0,-1,8,940,138,1.6,0.236,,,,,, +1999,5,10,5,30,65,45,53,-1,10,940,141.3,2.4,0.236,,,,,, +1999,5,10,6,30,627,81,288,-2,14,940,148.2,2.8,0.236,,,,,, +1999,5,10,7,30,768,105,507,-4,17,940,159.9,2.2,0.236,,,,,, +1999,5,10,8,30,856,116,709,-6,20,940,174.3,1.5,0.236,,,,,, +1999,5,10,9,30,906,123,872,-6,22,940,203.3,0.9,0.236,,,,,, +1999,5,10,10,30,928,130,980,-7,23,940,260.2,1,0.236,,,,,, +1999,5,10,11,30,939,131,1028,-6,24,940,343.5,1.5,0.236,,,,,, +1999,5,10,12,30,936,129,1009,-6,25,940,11.5,1.7,0.236,,,,,, +1999,5,10,13,30,918,124,926,-6,26,940,33.9,1.7,0.236,,,,,, +1999,5,10,14,30,894,110,788,-5,26,940,50.1,1.9,0.236,,,,,, +1999,5,10,15,30,842,95,604,-5,25,940,59.3,2,0.236,,,,,, +1999,5,10,16,30,488,127,332,-5,24,940,61.4,1.9,0.236,,,,,, +1999,5,10,17,30,400,62,150,-3,21,940,57.5,1.4,0.236,,,,,, +1999,5,10,18,30,0,0,0,1,18,940,44.9,1.2,0.236,,,,,, +1999,5,10,19,30,0,0,0,0,16,940,38,1.5,0.236,,,,,, +1999,5,10,20,30,0,0,0,1,15,940,38.1,1.6,0.236,,,,,, +1999,5,10,21,30,0,0,0,2,13,940,38.8,1.7,0.236,,,,,, +1999,5,10,22,30,0,0,0,2,13,940,41.1,1.6,0.236,,,,,, +1999,5,10,23,30,0,0,0,2,12,940,50,1.3,0.236,,,,,, +1999,5,11,0,30,0,0,0,2,11,940,67.9,1.1,0.236,,,,,, +1999,5,11,1,30,0,0,0,3,11,940,87.3,1,0.236,,,,,, +1999,5,11,2,30,0,0,0,3,10,940,105.9,0.9,0.236,,,,,, +1999,5,11,3,30,0,0,0,3,9,940,120.6,0.8,0.236,,,,,, +1999,5,11,4,30,0,0,0,3,10,940,135.1,0.8,0.236,,,,,, +1999,5,11,5,30,333,41,84,3,12,940,151.5,1.2,0.236,,,,,, +1999,5,11,6,30,623,79,287,3,15,940,173,1.9,0.236,,,,,, +1999,5,11,7,30,760,104,503,3,19,940,194.9,1.9,0.236,,,,,, +1999,5,11,8,30,839,121,703,1,22,940,216.8,1.7,0.236,,,,,, +1999,5,11,9,30,896,125,867,0,24,940,239.7,1.4,0.236,,,,,, +1999,5,11,10,30,934,123,980,0,26,940,257.4,1.1,0.236,,,,,, +1999,5,11,11,30,949,122,1028,0,27,940,272,0.6,0.236,,,,,, +1999,5,11,12,30,945,121,1010,-1,28,940,290.2,0.3,0.236,,,,,, +1999,5,11,13,30,927,118,928,-1,28,940,108.3,0.6,0.236,,,,,, +1999,5,11,14,30,894,110,789,-1,28,940,121.6,1.1,0.236,,,,,, +1999,5,11,15,30,839,97,606,-1,27,940,127.8,1.3,0.236,,,,,, +1999,5,11,16,30,742,80,394,-1,26,940,130.4,1.2,0.236,,,,,, +1999,5,11,17,30,548,55,177,0,24,940,123,0.8,0.236,,,,,, +1999,5,11,18,30,66,10,11,3,22,940,76.1,0.8,0.236,,,,,, +1999,5,11,19,30,0,0,0,1,21,940,58,1.1,0.236,,,,,, +1999,5,11,20,30,0,0,0,1,19,940,58.1,1.2,0.236,,,,,, +1999,5,11,21,30,0,0,0,2,17,940,62.3,1.3,0.236,,,,,, +1999,5,11,22,30,0,0,0,2,15,940,65.7,1.2,0.236,,,,,, +1999,5,11,23,30,0,0,0,3,14,940,69.5,0.9,0.236,,,,,, +1999,5,12,0,30,0,0,0,3,13,940,76.4,0.6,0.236,,,,,, +1999,5,12,1,30,0,0,0,4,13,940,86.8,0.3,0.236,,,,,, +1999,5,12,2,30,0,0,0,4,12,940,95,0.1,0.236,,,,,, +1999,5,12,3,30,0,0,0,4,12,940,345.7,0.2,0.236,,,,,, +1999,5,12,4,30,0,0,0,4,12,940,348.9,0.5,0.236,,,,,, +1999,5,12,5,30,372,39,88,5,15,940,10.3,1.2,0.236,,,,,, +1999,5,12,6,30,657,71,292,5,19,940,21.4,2.1,0.236,,,,,, +1999,5,12,7,30,789,91,508,5,23,940,21.7,2.9,0.236,,,,,, +1999,5,12,8,30,863,105,705,4,26,940,21.5,3.6,0.236,,,,,, +1999,5,12,9,30,905,114,865,3,28,940,17,4.3,0.236,,,,,, +1999,5,12,10,30,928,120,973,3,30,940,13.1,4.8,0.236,,,,,, +1999,5,12,11,30,938,123,1020,3,31,940,13.6,5.1,0.236,,,,,, +1999,5,12,12,30,935,122,1003,3,32,940,16.4,5.3,0.236,,,,,, +1999,5,12,13,30,916,119,921,3,32,940,18.7,5.5,0.236,,,,,, +1999,5,12,14,30,877,114,782,3,32,940,19.8,5.6,0.236,,,,,, +1999,5,12,15,30,809,105,597,3,31,930,20.3,5.8,0.236,,,,,, +1999,5,12,16,30,693,90,385,3,29,930,20.1,5.6,0.236,,,,,, +1999,5,12,17,30,482,62,171,4,26,930,17.7,4.4,0.236,,,,,, +1999,5,12,18,30,42,10,11,5,23,940,12.3,3.6,0.236,,,,,, +1999,5,12,19,30,0,0,0,5,21,940,8.7,3.8,0.236,,,,,, +1999,5,12,20,30,0,0,0,6,19,940,10.9,3.9,0.236,,,,,, +1999,5,12,21,30,0,0,0,7,18,940,15.9,3.5,0.236,,,,,, +1999,5,12,22,30,0,0,0,7,16,940,17.5,2.8,0.236,,,,,, +1999,5,12,23,30,0,0,0,8,15,940,16.3,2.2,0.236,,,,,, +1999,5,13,0,30,0,0,0,8,14,940,16.5,1.8,0.236,,,,,, +1999,5,13,1,30,0,0,0,7,13,940,15.8,1.4,0.236,,,,,, +1999,5,13,2,30,0,0,0,7,12,940,20,1.2,0.236,,,,,, +1999,5,13,3,30,0,0,0,6,12,940,38.1,0.9,0.236,,,,,, +1999,5,13,4,30,0,0,0,6,12,940,51,0.8,0.236,,,,,, +1999,5,13,5,30,401,40,94,5,13,940,24.1,1,0.236,,,,,, +1999,5,13,6,30,690,70,303,3,15,940,17.7,0.9,0.236,,,,,, +1999,5,13,7,30,828,87,526,0,18,940,55.3,1,0.236,,,,,, +1999,5,13,8,30,905,99,731,-1,21,940,37.6,1.8,0.236,,,,,, +1999,5,13,9,30,947,109,896,-3,24,940,29.9,2.7,0.236,,,,,, +1999,5,13,10,30,971,116,1009,-4,26,940,28,3.4,0.236,,,,,, +1999,5,13,11,30,981,121,1061,-6,27,940,27.4,3.9,0.236,,,,,, +1999,5,13,12,30,979,122,1046,-8,28,940,26.6,4.4,0.236,,,,,, +1999,5,13,13,30,963,120,965,-9,28,940,24.3,4.9,0.236,,,,,, +1999,5,13,14,30,928,115,823,-10,27,930,23.5,5.5,0.236,,,,,, +1999,5,13,15,30,869,103,633,-9,24,940,25.9,6,0.236,,,,,, +1999,5,13,16,30,772,85,414,-7,22,940,31.5,6,0.236,,,,,, +1999,5,13,17,30,576,59,190,-6,19,940,38.2,5,0.236,,,,,, +1999,5,13,18,30,82,12,14,-5,17,940,46.1,3.8,0.236,,,,,, +1999,5,13,19,30,0,0,0,-4,15,940,60.9,3.7,0.236,,,,,, +1999,5,13,20,30,0,0,0,-4,13,940,83.4,3.6,0.236,,,,,, +1999,5,13,21,30,0,0,0,-4,12,940,98.5,3.2,0.236,,,,,, +1999,5,13,22,30,0,0,0,-4,11,940,104.6,2.5,0.236,,,,,, +1999,5,13,23,30,0,0,0,-4,10,940,106.2,2,0.236,,,,,, +1999,5,14,0,30,0,0,0,-5,9,940,106.8,1.7,0.236,,,,,, +1999,5,14,1,30,0,0,0,-4,8,940,108.7,1.5,0.236,,,,,, +1999,5,14,2,30,0,0,0,-4,8,940,110.4,1.4,0.236,,,,,, +1999,5,14,3,30,0,0,0,-4,7,940,110.8,1.4,0.236,,,,,, +1999,5,14,4,30,0,0,0,-4,7,940,117.3,1.8,0.236,,,,,, +1999,5,14,5,30,511,37,107,-4,8,940,126.7,2.6,0.236,,,,,, +1999,5,14,6,30,775,63,326,-4,12,940,133.4,3.1,0.236,,,,,, +1999,5,14,7,30,887,80,551,-6,16,940,143.8,2.4,0.236,,,,,, +1999,5,14,8,30,948,92,755,-8,19,940,135.9,1.6,0.236,,,,,, +1999,5,14,9,30,984,99,919,-9,21,940,86.4,1.9,0.236,,,,,, +1999,5,14,10,30,1006,102,1029,-10,23,940,67.2,2.6,0.236,,,,,, +1999,5,14,11,30,1015,104,1077,-12,24,940,63.4,3.2,0.236,,,,,, +1999,5,14,12,30,1007,105,1056,-14,25,940,62,3.4,0.236,,,,,, +1999,5,14,13,30,980,106,967,-14,26,940,59,3.6,0.236,,,,,, +1999,5,14,14,30,938,104,821,-14,25,940,52.6,3.8,0.236,,,,,, +1999,5,14,15,30,877,95,631,-13,24,940,44.6,4,0.236,,,,,, +1999,5,14,16,30,776,80,413,-11,22,940,37.1,3.9,0.236,,,,,, +1999,5,14,17,30,584,56,190,-9,19,940,31.1,3,0.236,,,,,, +1999,5,14,18,30,109,12,15,-7,17,940,31.2,2.7,0.236,,,,,, +1999,5,14,19,30,0,0,0,-6,15,940,46,3,0.236,,,,,, +1999,5,14,20,30,0,0,0,-4,13,940,66.4,3,0.236,,,,,, +1999,5,14,21,30,0,0,0,-4,12,940,82.3,2.7,0.236,,,,,, +1999,5,14,22,30,0,0,0,-3,11,940,97.9,2.4,0.236,,,,,, +1999,5,14,23,30,0,0,0,-2,10,940,108,1.9,0.236,,,,,, +1999,5,15,0,30,0,0,0,-1,9,940,115.2,1.5,0.236,,,,,, +1999,5,15,1,30,0,0,0,-1,8,940,117.6,1.3,0.236,,,,,, +1999,5,15,2,30,0,0,0,0,7,940,118.4,1.3,0.236,,,,,, +1999,5,15,3,30,0,0,0,0,6,940,118.9,1.3,0.236,,,,,, +1999,5,15,4,30,0,0,0,0,6,940,119.2,1.7,0.236,,,,,, +1999,5,15,5,30,474,37,103,0,8,940,121,3,0.236,,,,,, +1999,5,15,6,30,735,65,316,0,11,940,129.8,4.1,0.236,,,,,, +1999,5,15,7,30,854,82,538,-2,14,940,133.1,3.8,0.236,,,,,, +1999,5,15,8,30,922,94,741,-4,17,940,122.4,3,0.236,,,,,, +1999,5,15,9,30,962,103,905,-4,19,940,99.9,2.7,0.236,,,,,, +1999,5,15,10,30,985,109,1017,-5,21,940,80.2,2.7,0.236,,,,,, +1999,5,15,11,30,993,112,1066,-6,22,940,70.7,2.8,0.236,,,,,, +1999,5,15,12,30,987,113,1047,-7,23,940,66.5,2.9,0.236,,,,,, +1999,5,15,13,30,966,112,962,-7,23,940,66.1,2.9,0.236,,,,,, +1999,5,15,14,30,927,109,819,-8,24,940,66.2,2.8,0.236,,,,,, +1999,5,15,15,30,861,101,629,-8,23,940,65.5,2.6,0.236,,,,,, +1999,5,15,16,30,750,88,411,-8,22,940,63.5,2.1,0.236,,,,,, +1999,5,15,17,30,540,63,188,-7,19,940,57.4,1.1,0.236,,,,,, +1999,5,15,18,30,67,13,15,-5,16,940,54.9,0.5,0.236,,,,,, +1999,5,15,19,30,0,0,0,-4,14,940,44,0.5,0.236,,,,,, +1999,5,15,20,30,0,0,0,-3,12,940,55.3,0.4,0.236,,,,,, +1999,5,15,21,30,0,0,0,-2,11,940,86.8,0.5,0.236,,,,,, +1999,5,15,22,30,0,0,0,-2,10,940,118.8,0.8,0.236,,,,,, +1999,5,15,23,30,0,0,0,-2,9,940,132.3,1.4,0.236,,,,,, +1999,5,16,0,30,0,0,0,-2,8,940,140.2,2.3,0.236,,,,,, +1999,5,16,1,30,0,0,0,-1,7,940,145.1,3,0.236,,,,,, +1999,5,16,2,30,0,0,0,-1,6,940,146.9,3.3,0.236,,,,,, +1999,5,16,3,30,0,0,0,-1,6,940,146.5,3.1,0.236,,,,,, +1999,5,16,4,30,0,0,0,-1,6,940,145.4,3.3,0.236,,,,,, +1999,5,16,5,30,430,42,102,-1,8,940,146.1,3.8,0.236,,,,,, +1999,5,16,6,30,708,72,315,-2,12,940,154.1,4,0.236,,,,,, +1999,5,16,7,30,836,91,538,-4,16,940,179.9,3.9,0.236,,,,,, +1999,5,16,8,30,907,104,741,-6,19,940,201.4,3.4,0.236,,,,,, +1999,5,16,9,30,949,112,905,-7,21,940,208.1,2.9,0.236,,,,,, +1999,5,16,10,30,973,117,1016,-8,23,940,206.4,2.6,0.236,,,,,, +1999,5,16,11,30,982,119,1064,-8,24,940,193.6,2.5,0.236,,,,,, +1999,5,16,12,30,978,119,1045,-8,25,940,177.6,2.7,0.236,,,,,, +1999,5,16,13,30,960,116,962,-8,25,940,167.7,2.9,0.236,,,,,, +1999,5,16,14,30,930,108,822,-9,25,940,160.7,3,0.236,,,,,, +1999,5,16,15,30,880,95,636,-9,25,940,156.8,3,0.236,,,,,, +1999,5,16,16,30,779,81,418,-9,24,940,155.5,2.5,0.236,,,,,, +1999,5,16,17,30,599,56,196,-8,21,940,156.6,1.5,0.236,,,,,, +1999,5,16,18,30,128,14,18,-3,19,940,165.3,1.1,0.236,,,,,, +1999,5,16,19,30,0,0,0,-5,17,940,175.2,1.2,0.236,,,,,, +1999,5,16,20,30,0,0,0,-5,16,940,184.6,1.2,0.236,,,,,, +1999,5,16,21,30,0,0,0,-5,15,940,193,1.2,0.236,,,,,, +1999,5,16,22,30,0,0,0,-5,13,940,202.9,1.3,0.236,,,,,, +1999,5,16,23,30,0,0,0,-4,12,940,210.5,1.3,0.236,,,,,, +1999,5,17,0,30,0,0,0,-4,11,940,214.5,1.4,0.236,,,,,, +1999,5,17,1,30,0,0,0,-3,10,940,213.6,1.4,0.236,,,,,, +1999,5,17,2,30,0,0,0,-3,10,940,208.3,1.4,0.236,,,,,, +1999,5,17,3,30,0,0,0,-3,10,940,202,1.4,0.236,,,,,, +1999,5,17,4,30,0,0,0,-3,10,940,196.4,1.5,0.236,,,,,, +1999,5,17,5,30,458,40,105,-2,12,940,192.8,2.5,0.236,,,,,, +1999,5,17,6,30,728,67,318,-2,16,940,187.8,3.3,0.236,,,,,, +1999,5,17,7,30,828,91,535,-4,20,940,190.6,2.7,0.236,,,,,, +1999,5,17,8,30,896,106,736,-7,24,940,201.9,1.9,0.236,,,,,, +1999,5,17,9,30,935,116,898,-8,26,940,200.4,1.2,0.236,,,,,, +1999,5,17,10,30,957,122,1007,-8,27,940,194.6,0.7,0.236,,,,,, +1999,5,17,11,30,966,125,1055,-8,28,940,189,0.3,0.236,,,,,, +1999,5,17,12,30,964,123,1038,-9,29,940,198.4,0.2,0.236,,,,,, +1999,5,17,13,30,949,119,956,-9,30,940,29.1,0.6,0.236,,,,,, +1999,5,17,14,30,917,112,817,-9,30,940,36.1,1.1,0.236,,,,,, +1999,5,17,15,30,861,101,631,-9,29,940,40,1.6,0.236,,,,,, +1999,5,17,16,30,731,94,411,-9,28,940,43.4,1.5,0.236,,,,,, +1999,5,17,17,30,528,66,191,-6,25,940,46.9,1.2,0.236,,,,,, +1999,5,17,18,30,68,15,18,-2,22,940,54.4,1.2,0.236,,,,,, +1999,5,17,19,30,0,0,0,-3,20,940,55.1,1.3,0.236,,,,,, +1999,5,17,20,30,0,0,0,-2,18,940,53.1,1.3,0.236,,,,,, +1999,5,17,21,30,0,0,0,-2,16,940,53,1.4,0.236,,,,,, +1999,5,17,22,30,0,0,0,-1,15,940,56.3,1.3,0.236,,,,,, +1999,5,17,23,30,0,0,0,0,14,940,66.3,1.2,0.236,,,,,, +1999,5,18,0,30,0,0,0,0,14,940,82.5,1.1,0.236,,,,,, +1999,5,18,1,30,0,0,0,0,13,940,105.9,1.1,0.236,,,,,, +1999,5,18,2,30,0,0,0,0,13,940,134.2,1.1,0.236,,,,,, +1999,5,18,3,30,0,0,0,0,13,940,160.2,1.1,0.236,,,,,, +1999,5,18,4,30,0,0,0,0,13,940,178.4,1,0.236,,,,,, +1999,5,18,5,30,340,50,100,0,15,940,193.1,1,0.236,,,,,, +1999,5,18,6,30,223,133,211,0,19,940,208.3,1,0.236,,,,,, +1999,5,18,7,30,666,120,479,0,23,940,228.5,0.8,0.236,,,,,, +1999,5,18,8,30,535,237,614,-2,26,940,297.2,1,0.236,,,,,, +1999,5,18,9,30,497,329,745,-3,27,940,355.2,1.7,0.236,,,,,, +1999,5,18,10,30,940,132,1002,-4,29,940,358.2,2.2,0.236,,,,,, +1999,5,18,11,30,949,135,1049,-4,30,940,0.8,2.5,0.236,,,,,, +1999,5,18,12,30,943,134,1030,-4,31,940,4.2,2.8,0.236,,,,,, +1999,5,18,13,30,922,132,946,-4,31,940,9.2,3.1,0.236,,,,,, +1999,5,18,14,30,883,125,805,-4,31,940,14.2,3.3,0.236,,,,,, +1999,5,18,15,30,814,115,618,-3,30,940,19.5,3.5,0.236,,,,,, +1999,5,18,16,30,681,104,401,-2,28,940,23.7,3.1,0.236,,,,,, +1999,5,18,17,30,486,70,186,0,25,940,25.9,2.1,0.236,,,,,, +1999,5,18,18,30,72,15,18,0,22,940,24.6,1.8,0.236,,,,,, +1999,5,18,19,30,0,0,0,1,20,940,27.4,2.1,0.236,,,,,, +1999,5,18,20,30,0,0,0,2,18,940,38,2.2,0.236,,,,,, +1999,5,18,21,30,0,0,0,2,17,940,56.9,2.1,0.236,,,,,, +1999,5,18,22,30,0,0,0,3,15,940,82.9,2.1,0.236,,,,,, +1999,5,18,23,30,0,0,0,3,14,940,106.3,2.3,0.236,,,,,, +1999,5,19,0,30,0,0,0,4,13,940,121.1,2.6,0.236,,,,,, +1999,5,19,1,30,0,0,0,4,13,940,127,2.7,0.236,,,,,, +1999,5,19,2,30,0,0,0,4,12,940,127.7,2.5,0.236,,,,,, +1999,5,19,3,30,0,0,0,4,11,940,127.3,2.2,0.236,,,,,, +1999,5,19,4,30,0,0,0,4,11,940,127.3,2.3,0.236,,,,,, +1999,5,19,5,30,327,52,100,4,13,940,126.6,2.7,0.236,,,,,, +1999,5,19,6,30,635,90,311,3,16,940,123.1,3.1,0.236,,,,,, +1999,5,19,7,30,777,113,532,1,19,940,115.4,3.1,0.236,,,,,, +1999,5,19,8,30,849,131,732,-1,22,940,92.5,2.9,0.236,,,,,, +1999,5,19,9,30,891,145,891,-3,25,940,72.2,3.1,0.236,,,,,, +1999,5,19,10,30,915,151,999,-4,26,940,62.4,3.3,0.236,,,,,, +1999,5,19,11,30,925,153,1046,-5,27,940,58.5,3.4,0.236,,,,,, +1999,5,19,12,30,923,151,1028,-5,28,940,59.3,3.3,0.236,,,,,, +1999,5,19,13,30,905,145,946,-5,29,940,63.3,3.2,0.236,,,,,, +1999,5,19,14,30,870,136,807,-6,28,940,68.4,2.9,0.236,,,,,, +1999,5,19,15,30,811,121,623,-6,27,940,73.7,2.5,0.236,,,,,, +1999,5,19,16,30,689,106,407,-5,26,940,77.7,1.8,0.236,,,,,, +1999,5,19,17,30,498,71,191,-5,24,940,75.5,1,0.236,,,,,, +1999,5,19,18,30,70,17,19,-3,20,940,57.9,0.8,0.236,,,,,, +1999,5,19,19,30,0,0,0,-2,18,940,47.6,1,0.236,,,,,, +1999,5,19,20,30,0,0,0,-1,17,940,58.5,1.2,0.236,,,,,, +1999,5,19,21,30,0,0,0,0,15,940,78.4,1.3,0.236,,,,,, +1999,5,19,22,30,0,0,0,1,14,940,94.2,1.4,0.236,,,,,, +1999,5,19,23,30,0,0,0,2,13,940,108.6,1.5,0.236,,,,,, +1999,5,20,0,30,0,0,0,2,12,940,125.1,1.7,0.236,,,,,, +1999,5,20,1,30,0,0,0,3,11,940,136.3,1.8,0.236,,,,,, +1999,5,20,2,30,0,0,0,3,10,940,140.4,1.7,0.236,,,,,, +1999,5,20,3,30,0,0,0,3,10,940,142.7,1.6,0.236,,,,,, +1999,5,20,4,30,0,0,0,3,10,940,143.2,1.9,0.236,,,,,, +1999,5,20,5,30,370,49,104,4,12,940,143.8,2.7,0.236,,,,,, +1999,5,20,6,30,657,84,314,4,15,940,145.9,3,0.236,,,,,, +1999,5,20,7,30,796,105,535,3,18,940,155.9,1.7,0.236,,,,,, +1999,5,20,8,30,873,118,736,0,21,940,151.2,1.1,0.236,,,,,, +1999,5,20,9,30,917,127,897,-1,24,940,355.7,2.1,0.236,,,,,, +1999,5,20,10,30,939,133,1004,-3,26,940,354.5,3.3,0.236,,,,,, +1999,5,20,11,30,945,136,1049,-4,27,940,358.4,3.9,0.236,,,,,, +1999,5,20,12,30,939,136,1030,-5,28,940,4.3,4.3,0.236,,,,,, +1999,5,20,13,30,921,132,947,-6,29,940,10.9,4.6,0.236,,,,,, +1999,5,20,14,30,885,124,808,-6,28,940,16.4,4.7,0.236,,,,,, +1999,5,20,15,30,824,112,623,-6,27,940,20.2,4.6,0.236,,,,,, +1999,5,20,16,30,715,96,410,-5,26,940,22.5,4.1,0.236,,,,,, +1999,5,20,17,30,215,86,138,-4,23,940,23.5,2.8,0.236,,,,,, +1999,5,20,18,30,80,17,20,-3,20,940,23.3,1.7,0.236,,,,,, +1999,5,20,19,30,0,0,0,-1,18,940,26.4,1.6,0.236,,,,,, +1999,5,20,20,30,0,0,0,0,16,940,32.7,1.5,0.236,,,,,, +1999,5,20,21,30,0,0,0,1,15,940,43.8,1.3,0.236,,,,,, +1999,5,20,22,30,0,0,0,2,13,940,62.8,1.2,0.236,,,,,, +1999,5,20,23,30,0,0,0,3,12,940,86.9,1.2,0.236,,,,,, +1999,5,21,0,30,0,0,0,4,11,940,108.6,1.3,0.236,,,,,, +1999,5,21,1,30,0,0,0,4,10,940,122.6,1.5,0.236,,,,,, +1999,5,21,2,30,0,0,0,4,9,940,130.8,1.7,0.236,,,,,, +1999,5,21,3,30,0,0,0,4,9,940,136.5,1.9,0.236,,,,,, +1999,5,21,4,30,0,0,0,4,9,940,139.3,2.4,0.236,,,,,, +1999,5,21,5,30,348,50,102,3,11,940,139.7,2.8,0.236,,,,,, +1999,5,21,6,30,625,86,306,2,13,940,140.1,2.3,0.236,,,,,, +1999,5,21,7,30,765,108,522,0,16,940,164.1,1.3,0.236,,,,,, +1999,5,21,8,30,843,122,720,-1,19,940,286.2,1.8,0.236,,,,,, +1999,5,21,9,30,888,132,879,-3,22,940,319.6,3.3,0.236,,,,,, +1999,5,21,10,30,910,141,986,-4,25,940,324.2,4.2,0.236,,,,,, +1999,5,21,11,30,915,148,1032,-4,26,940,328.3,4.7,0.236,,,,,, +1999,5,21,12,30,909,149,1015,-4,27,940,332.2,4.8,0.236,,,,,, +1999,5,21,13,30,523,356,820,-5,28,940,337.6,4.6,0.236,,,,,, +1999,5,21,14,30,557,269,700,-5,28,940,343.1,4.3,0.236,,,,,, +1999,5,21,15,30,783,127,614,-5,27,940,348.1,3.9,0.236,,,,,, +1999,5,21,16,30,664,109,402,-5,26,940,353.9,3.5,0.236,,,,,, +1999,5,21,17,30,279,82,150,-4,24,940,2.5,2.4,0.236,,,,,, +1999,5,21,18,30,0,14,14,-1,21,940,14.2,1.6,0.236,,,,,, +1999,5,21,19,30,0,0,0,-1,19,940,15.8,1.7,0.236,,,,,, +1999,5,21,20,30,0,0,0,0,17,940,10.1,1.8,0.236,,,,,, +1999,5,21,21,30,0,0,0,0,16,940,8,1.8,0.236,,,,,, +1999,5,21,22,30,0,0,0,2,15,940,8.9,1.6,0.236,,,,,, +1999,5,21,23,30,0,0,0,2,13,940,12.9,1.3,0.236,,,,,, +1999,5,22,0,30,0,0,0,3,12,940,9.8,1.1,0.236,,,,,, +1999,5,22,1,30,0,0,0,4,11,940,354.8,1,0.236,,,,,, +1999,5,22,2,30,0,0,0,4,10,940,342.6,1,0.236,,,,,, +1999,5,22,3,30,0,0,0,5,10,940,340.9,1.1,0.236,,,,,, +1999,5,22,4,30,0,0,0,5,10,940,345.5,1.6,0.236,,,,,, +1999,5,22,5,30,276,57,99,6,11,940,347.5,2.4,0.236,,,,,, +1999,5,22,6,30,567,101,301,6,14,940,342.5,3.2,0.236,,,,,, +1999,5,22,7,30,705,131,514,5,18,940,335.5,3.8,0.236,,,,,, +1999,5,22,8,30,794,148,711,3,21,940,335.5,3.9,0.236,,,,,, +1999,5,22,9,30,843,160,869,1,23,940,337.9,3.6,0.236,,,,,, +1999,5,22,10,30,867,169,975,0,25,940,340.9,3.3,0.236,,,,,, +1999,5,22,11,30,876,174,1021,0,26,940,344.2,3.1,0.236,,,,,, +1999,5,22,12,30,874,172,1005,-1,26,940,349.1,3,0.236,,,,,, +1999,5,22,13,30,860,163,926,-1,27,940,356.6,3.1,0.236,,,,,, +1999,5,22,14,30,829,149,792,-2,26,940,4.8,3.2,0.236,,,,,, +1999,5,22,15,30,375,251,485,-2,26,940,11.1,3.4,0.236,,,,,, +1999,5,22,16,30,661,109,403,-3,25,940,16.8,3.5,0.236,,,,,, +1999,5,22,17,30,451,78,189,-3,22,940,20.8,2.6,0.236,,,,,, +1999,5,22,18,30,0,21,21,0,20,940,22.3,1.6,0.236,,,,,, +1999,5,22,19,30,0,0,0,0,18,940,21.4,1.5,0.236,,,,,, +1999,5,22,20,30,0,0,0,0,17,940,19.5,1.5,0.236,,,,,, +1999,5,22,21,30,0,0,0,2,16,940,16.5,1.5,0.236,,,,,, +1999,5,22,22,30,0,0,0,4,15,940,13,1.6,0.236,,,,,, +1999,5,22,23,30,0,0,0,6,14,940,12.3,1.5,0.236,,,,,, +1999,5,23,0,30,0,0,0,7,12,940,12.7,1.4,0.236,,,,,, +1999,5,23,1,30,0,0,0,7,12,940,12.5,1.2,0.236,,,,,, +1999,5,23,2,30,0,0,0,8,11,940,10.5,0.9,0.236,,,,,, +1999,5,23,3,30,0,0,0,8,10,940,3.6,0.6,0.236,,,,,, +1999,5,23,4,30,0,0,0,8,10,940,348.4,0.4,0.236,,,,,, +1999,5,23,5,30,0,7,7,8,12,940,329.3,0.4,0.236,,,,,, +1999,5,23,6,30,531,108,296,8,15,940,304,1.2,0.236,,,,,, +1999,5,23,7,30,686,135,508,7,18,940,313.9,2.2,0.236,,,,,, +1999,5,23,8,30,778,151,704,5,21,940,310.6,2.5,0.236,,,,,, +1999,5,23,9,30,834,161,863,3,24,940,309.1,2.4,0.236,,,,,, +1999,5,23,10,30,864,167,971,2,25,940,313.2,2,0.236,,,,,, +1999,5,23,11,30,875,170,1017,1,26,940,325.8,1.7,0.236,,,,,, +1999,5,23,12,30,868,171,999,1,27,940,348.8,1.5,0.236,,,,,, +1999,5,23,13,30,569,327,833,1,27,940,21.1,1.5,0.236,,,,,, +1999,5,23,14,30,814,153,786,0,27,940,50.1,1.6,0.236,,,,,, +1999,5,23,15,30,760,133,609,0,26,940,66.6,1.7,0.236,,,,,, +1999,5,23,16,30,671,106,405,0,25,940,73.5,1.7,0.236,,,,,, +1999,5,23,17,30,481,74,193,0,23,940,72.4,1.2,0.236,,,,,, +1999,5,23,18,30,76,20,23,1,21,940,56.6,0.7,0.236,,,,,, +1999,5,23,19,30,0,0,0,1,19,940,12.3,0.8,0.236,,,,,, +1999,5,23,20,30,0,0,0,2,18,940,347.9,1,0.236,,,,,, +1999,5,23,21,30,0,0,0,3,17,940,345.5,1.1,0.236,,,,,, +1999,5,23,22,30,0,0,0,4,17,940,349,1.2,0.236,,,,,, +1999,5,23,23,30,0,0,0,5,16,940,355.5,1.2,0.236,,,,,, +1999,5,24,0,30,0,0,0,7,16,940,3.1,1.1,0.236,,,,,, +1999,5,24,1,30,0,0,0,8,15,940,13.8,1,0.236,,,,,, +1999,5,24,2,30,0,0,0,8,14,940,32.7,0.9,0.236,,,,,, +1999,5,24,3,30,0,0,0,9,14,940,56.2,0.7,0.236,,,,,, +1999,5,24,4,30,0,0,0,9,14,940,82.1,0.5,0.236,,,,,, +1999,5,24,5,30,307,47,94,9,16,940,105.3,0.4,0.236,,,,,, +1999,5,24,6,30,502,112,291,9,18,940,107,0.8,0.236,,,,,, +1999,5,24,7,30,642,146,497,8,21,940,26.1,1.2,0.236,,,,,, +1999,5,24,8,30,126,336,426,8,23,940,11.2,1.4,0.236,,,,,, +1999,5,24,9,30,46,367,406,7,24,940,13.4,1.5,0.236,,,,,, +1999,5,24,10,30,263,464,710,7,25,940,28.2,1.5,0.236,,,,,, +1999,5,24,11,30,15,273,288,7,24,940,56.6,1.5,0.236,,,,,, +1999,5,24,12,30,279,476,743,8,23,940,86.1,1.5,0.236,,,,,, +1999,5,24,13,30,95,436,521,9,23,940,111,1.3,0.236,,,,,, +1999,5,24,14,30,18,268,282,9,23,940,132.7,1.2,0.236,,,,,, +1999,5,24,15,30,0,93,93,9,24,940,149.9,2,0.236,,,,,, +1999,5,24,16,30,0,16,16,8,24,940,155.5,3.4,0.235,,,,,, +1999,5,24,17,30,0,15,15,8,22,940,164.1,5,0.235,,,,,, +1999,5,24,18,30,0,11,11,8,20,940,173.6,5.8,0.235,,,,,, +1999,5,24,19,30,0,0,0,8,18,940,180.7,5.4,0.235,,,,,, +1999,5,24,20,30,0,0,0,8,17,940,186.3,4.1,0.235,,,,,, +1999,5,24,21,30,0,0,0,9,17,940,190,2.9,0.235,,,,,, +1999,5,24,22,30,0,0,0,9,17,940,191,2.2,0.235,,,,,, +1999,5,24,23,30,0,0,0,9,16,940,188.4,1.9,0.235,,,,,, +1999,5,25,0,30,0,0,0,9,15,940,182.4,1.7,0.235,,,,,, +1999,5,25,1,30,0,0,0,9,14,940,173.7,1.6,0.235,,,,,, +1999,5,25,2,30,0,0,0,8,14,940,165.1,1.6,0.235,,,,,, +1999,5,25,3,30,0,0,0,8,13,940,159.4,1.7,0.235,,,,,, +1999,5,25,4,30,0,0,0,8,14,940,157.4,2.4,0.235,,,,,, +1999,5,25,5,30,351,51,106,8,16,940,156.3,3.2,0.235,,,,,, +1999,5,25,6,30,617,87,307,8,20,940,160.7,3.4,0.235,,,,,, +1999,5,25,7,30,751,109,520,7,23,940,173.5,3.3,0.235,,,,,, +1999,5,25,8,30,828,124,714,4,26,940,176.3,3,0.235,,,,,, +1999,5,25,9,30,873,134,871,3,28,940,172.3,2.7,0.235,,,,,, +1999,5,25,10,30,898,140,977,2,29,940,165,2.5,0.235,,,,,, +1999,5,25,11,30,907,143,1023,2,30,940,154.8,2.4,0.235,,,,,, +1999,5,25,12,30,903,143,1006,2,31,940,142.6,2.4,0.235,,,,,, +1999,5,25,13,30,884,139,926,1,32,940,132.5,2.4,0.235,,,,,, +1999,5,25,14,30,847,131,791,1,32,940,127,2.5,0.235,,,,,, +1999,5,25,15,30,784,119,612,1,31,940,124.5,2.4,0.235,,,,,, +1999,5,25,16,30,687,99,407,2,30,940,122.2,2,0.235,,,,,, +1999,5,25,17,30,492,71,195,2,28,940,117.6,1.2,0.235,,,,,, +1999,5,25,18,30,108,20,26,5,25,940,85.7,0.8,0.235,,,,,, +1999,5,25,19,30,0,0,0,6,23,940,60.5,1,0.235,,,,,, +1999,5,25,20,30,0,0,0,7,22,940,49.5,1.2,0.235,,,,,, +1999,5,25,21,30,0,0,0,8,20,940,49.6,1.2,0.235,,,,,, +1999,5,25,22,30,0,0,0,10,19,940,60.7,1.2,0.235,,,,,, +1999,5,25,23,30,0,0,0,10,18,940,83.5,1.1,0.235,,,,,, +1999,5,26,0,30,0,0,0,11,17,940,109,1.1,0.235,,,,,, +1999,5,26,1,30,0,0,0,11,16,940,129,1.1,0.235,,,,,, +1999,5,26,2,30,0,0,0,11,16,940,144.6,1.2,0.235,,,,,, +1999,5,26,3,30,0,0,0,11,15,940,157.6,1.2,0.235,,,,,, +1999,5,26,4,30,0,0,0,10,16,940,169.2,1.2,0.235,,,,,, +1999,5,26,5,30,352,51,107,10,18,940,178.8,1.8,0.235,,,,,, +1999,5,26,6,30,608,87,305,10,21,940,191.8,2,0.235,,,,,, +1999,5,26,7,30,738,110,514,8,25,940,209.7,1.5,0.235,,,,,, +1999,5,26,8,30,813,126,706,7,28,940,244.9,1.1,0.235,,,,,, +1999,5,26,9,30,858,137,861,6,30,940,304.7,1.5,0.235,,,,,, +1999,5,26,10,30,884,143,967,6,32,940,332.2,2,0.235,,,,,, +1999,5,26,11,30,894,146,1013,5,33,940,348.2,2.4,0.235,,,,,, +1999,5,26,12,30,890,145,997,4,34,940,0.6,2.6,0.235,,,,,, +1999,5,26,13,30,870,142,918,4,35,940,14,2.7,0.235,,,,,, +1999,5,26,14,30,830,136,784,4,34,940,26.5,2.7,0.235,,,,,, +1999,5,26,15,30,306,270,463,4,33,940,35.4,2.6,0.235,,,,,, +1999,5,26,16,30,0,9,9,4,32,940,40.4,2.4,0.235,,,,,, +1999,5,26,17,30,126,95,127,5,30,940,39.9,1.6,0.235,,,,,, +1999,5,26,18,30,0,16,16,7,27,940,27.4,1.2,0.235,,,,,, +1999,5,26,19,30,0,0,0,7,25,940,17.3,1.4,0.235,,,,,, +1999,5,26,20,30,0,0,0,9,24,940,15.5,1.6,0.235,,,,,, +1999,5,26,21,30,0,0,0,9,22,940,22.2,1.6,0.235,,,,,, +1999,5,26,22,30,0,0,0,10,21,940,33.4,1.5,0.235,,,,,, +1999,5,26,23,30,0,0,0,10,20,940,49.6,1.2,0.235,,,,,, +1999,5,27,0,30,0,0,0,10,19,940,74.3,1,0.235,,,,,, +1999,5,27,1,30,0,0,0,10,19,940,103.7,1,0.235,,,,,, +1999,5,27,2,30,0,0,0,10,18,940,130.8,1,0.235,,,,,, +1999,5,27,3,30,0,0,0,10,17,940,154.3,1.1,0.235,,,,,, +1999,5,27,4,30,0,0,0,10,17,940,168.8,1.3,0.235,,,,,, +1999,5,27,5,30,298,48,96,10,19,940,176.5,2,0.235,,,,,, +1999,5,27,6,30,533,103,295,9,22,940,182.4,2.3,0.235,,,,,, +1999,5,27,7,30,517,169,453,9,26,940,193.3,1.6,0.235,,,,,, +1999,5,27,8,30,745,156,688,8,29,940,216.5,0.9,0.235,,,,,, +1999,5,27,9,30,791,171,840,8,31,940,310.4,1.2,0.235,,,,,, +1999,5,27,10,30,819,180,944,8,32,940,348.7,1.8,0.235,,,,,, +1999,5,27,11,30,830,184,990,8,33,940,1.9,2.3,0.235,,,,,, +1999,5,27,12,30,13,229,241,7,34,940,11.5,2.6,0.235,,,,,, +1999,5,27,13,30,290,433,692,7,34,940,20.8,2.9,0.235,,,,,, +1999,5,27,14,30,32,313,338,6,34,940,28.8,3.1,0.235,,,,,, +1999,5,27,15,30,22,231,245,6,33,940,34.5,3.3,0.235,,,,,, +1999,5,27,16,30,0,116,116,6,32,940,37.9,3.3,0.235,,,,,, +1999,5,27,17,30,18,90,94,7,30,940,39.5,2.5,0.235,,,,,, +1999,5,27,18,30,0,14,14,10,28,940,37.4,1.8,0.235,,,,,, +1999,5,27,19,30,0,0,0,10,26,940,35,2,0.235,,,,,, +1999,5,27,20,30,0,0,0,10,25,940,34.8,2,0.235,,,,,, +1999,5,27,21,30,0,0,0,10,23,940,39.1,1.8,0.235,,,,,, +1999,5,27,22,30,0,0,0,10,22,940,49.6,1.4,0.235,,,,,, +1999,5,27,23,30,0,0,0,10,21,940,73.1,1.2,0.235,,,,,, +1999,5,28,0,30,0,0,0,10,20,940,108.2,1.2,0.235,,,,,, +1999,5,28,1,30,0,0,0,9,19,940,131.7,1.4,0.235,,,,,, +1999,5,28,2,30,0,0,0,9,18,940,143.6,1.5,0.235,,,,,, +1999,5,28,3,30,0,0,0,9,18,940,150,1.4,0.235,,,,,, +1999,5,28,4,30,0,0,0,8,18,940,153.7,1.6,0.235,,,,,, +1999,5,28,5,30,287,58,105,8,20,940,155,2,0.235,,,,,, +1999,5,28,6,30,549,103,301,7,24,940,157.4,2,0.235,,,,,, +1999,5,28,7,30,693,129,510,6,27,940,170.2,1,0.235,,,,,, +1999,5,28,8,30,779,146,703,5,30,940,166.3,0.8,0.235,,,,,, +1999,5,28,9,30,831,157,860,5,32,940,14.5,1.9,0.235,,,,,, +1999,5,28,10,30,858,164,965,5,33,940,17.8,2.9,0.235,,,,,, +1999,5,28,11,30,865,170,1011,4,34,940,21,3.7,0.235,,,,,, +1999,5,28,12,30,548,390,916,4,35,940,24.7,4.6,0.235,,,,,, +1999,5,28,13,30,524,358,827,3,35,940,26.6,5.2,0.235,,,,,, +1999,5,28,14,30,772,172,776,3,34,940,28.3,5.6,0.235,,,,,, +1999,5,28,15,30,407,246,504,3,33,940,31,5.7,0.235,,,,,, +1999,5,28,16,30,2,141,142,3,30,940,34.8,5.4,0.235,,,,,, +1999,5,28,17,30,289,87,161,3,28,940,40.2,3.9,0.235,,,,,, +1999,5,28,18,30,94,23,28,3,25,940,47.5,2.7,0.235,,,,,, +1999,5,28,19,30,0,0,0,3,23,940,63,2.9,0.235,,,,,, +1999,5,28,20,30,0,0,0,4,21,940,79.4,3,0.235,,,,,, +1999,5,28,21,30,0,0,0,5,19,940,90.3,2.8,0.235,,,,,, +1999,5,28,22,30,0,0,0,6,17,940,95.7,2.3,0.235,,,,,, +1999,5,28,23,30,0,0,0,6,16,940,97.7,2,0.235,,,,,, +1999,5,29,0,30,0,0,0,7,15,940,102.8,1.7,0.235,,,,,, +1999,5,29,1,30,0,0,0,7,14,940,110.9,1.5,0.235,,,,,, +1999,5,29,2,30,0,0,0,7,13,940,119.1,1.2,0.235,,,,,, +1999,5,29,3,30,0,0,0,7,12,940,125.3,1,0.235,,,,,, +1999,5,29,4,30,0,0,0,6,12,940,126.3,1.6,0.235,,,,,, +1999,5,29,5,30,473,44,121,6,14,940,127.2,2.3,0.235,,,,,, +1999,5,29,6,30,722,71,331,5,18,940,135.9,1.6,0.235,,,,,, +1999,5,29,7,30,840,87,549,3,21,940,134.5,1.4,0.235,,,,,, +1999,5,29,8,30,903,99,746,1,24,940,15.6,2.7,0.235,,,,,, +1999,5,29,9,30,937,109,902,0,27,940,11.5,4.3,0.235,,,,,, +1999,5,29,10,30,953,117,1007,-2,29,940,12.1,5.5,0.235,,,,,, +1999,5,29,11,30,959,122,1054,-3,30,940,14.3,6.4,0.235,,,,,, +1999,5,29,12,30,954,123,1038,-4,31,940,15.9,6.9,0.235,,,,,, +1999,5,29,13,30,934,122,958,-5,31,940,17.7,7.1,0.235,,,,,, +1999,5,29,14,30,896,118,821,-4,30,940,19.7,7,0.235,,,,,, +1999,5,29,15,30,835,110,639,-3,29,940,21.4,6.8,0.235,,,,,, +1999,5,29,16,30,737,94,429,-2,26,940,24.3,6.5,0.235,,,,,, +1999,5,29,17,30,561,68,214,-1,23,940,29.4,5.3,0.235,,,,,, +1999,5,29,18,30,170,23,33,0,19,940,36.8,3.9,0.235,,,,,, +1999,5,29,19,30,0,0,0,2,17,940,42,3.2,0.235,,,,,, +1999,5,29,20,30,0,0,0,4,15,940,47.3,2.6,0.235,,,,,, +1999,5,29,21,30,0,0,0,5,13,940,53.9,2.2,0.235,,,,,, +1999,5,29,22,30,0,0,0,6,12,940,65.7,1.9,0.235,,,,,, +1999,5,29,23,30,0,0,0,6,12,940,86.5,1.5,0.235,,,,,, +1999,5,30,0,30,0,0,0,6,11,940,106.6,1.2,0.235,,,,,, +1999,5,30,1,30,0,0,0,6,11,940,123.6,1.2,0.235,,,,,, +1999,5,30,2,30,0,0,0,6,10,940,129.5,1.1,0.235,,,,,, +1999,5,30,3,30,0,0,0,6,9,940,129.6,1,0.235,,,,,, +1999,5,30,4,30,0,0,0,6,9,940,127.1,1.4,0.235,,,,,, +1999,5,30,5,30,0,30,30,6,11,940,126.7,1.9,0.235,,,,,, +1999,5,30,6,30,294,132,238,5,15,940,128.5,1.1,0.235,,,,,, +1999,5,30,7,30,831,91,549,3,19,940,51.1,1.3,0.235,,,,,, +1999,5,30,8,30,903,101,747,0,22,940,351.7,2.7,0.235,,,,,, +1999,5,30,9,30,946,107,908,-1,25,940,356.2,3.6,0.235,,,,,, +1999,5,30,10,30,970,110,1017,-2,27,940,0.5,4.3,0.235,,,,,, +1999,5,30,11,30,980,111,1065,-3,28,940,6.1,4.7,0.235,,,,,, +1999,5,30,12,30,977,110,1049,-4,29,940,11.2,4.9,0.235,,,,,, +1999,5,30,13,30,962,107,969,-5,30,940,16.6,5,0.235,,,,,, +1999,5,30,14,30,932,102,833,-5,29,940,22.1,5,0.235,,,,,, +1999,5,30,15,30,880,93,652,-6,28,940,27.4,5,0.235,,,,,, +1999,5,30,16,30,791,81,442,-6,27,940,32.1,4.8,0.235,,,,,, +1999,5,30,17,30,619,61,223,-6,24,940,36.4,3.6,0.235,,,,,, +1999,5,30,18,30,215,23,37,-5,20,940,40.4,2.4,0.235,,,,,, +1999,5,30,19,30,0,0,0,-4,17,940,43.7,2.3,0.235,,,,,, +1999,5,30,20,30,0,0,0,-2,15,940,49.5,2.4,0.235,,,,,, +1999,5,30,21,30,0,0,0,0,13,940,57,2.2,0.235,,,,,, +1999,5,30,22,30,0,0,0,2,12,940,67.7,1.9,0.235,,,,,, +1999,5,30,23,30,0,0,0,3,10,940,83.2,1.7,0.235,,,,,, +1999,5,31,0,30,0,0,0,3,9,940,100.2,1.6,0.235,,,,,, +1999,5,31,1,30,0,0,0,3,9,940,117.2,1.6,0.235,,,,,, +1999,5,31,2,30,0,0,0,3,8,940,129.6,1.7,0.235,,,,,, +1999,5,31,3,30,0,0,0,3,8,940,133.1,1.8,0.235,,,,,, +1999,5,31,4,30,0,0,0,4,8,940,131.6,2.4,0.235,,,,,, +1999,5,31,5,30,473,46,124,4,10,940,131.4,3,0.235,,,,,, +1999,5,31,6,30,718,74,335,3,14,940,132.2,2.6,0.235,,,,,, +1999,5,31,7,30,837,91,552,3,18,940,134.6,1.8,0.235,,,,,, +1999,5,31,8,30,905,101,750,0,21,940,82.1,1.8,0.235,,,,,, +1999,5,31,9,30,944,109,908,-3,24,940,54.4,2.5,0.235,,,,,, +1999,5,31,10,30,964,114,1015,-6,27,940,51.1,2.9,0.235,,,,,, +1999,5,31,11,30,972,116,1062,-7,28,940,49.8,3.1,0.235,,,,,, +1999,5,31,12,30,969,115,1045,-7,29,940,48.2,3.3,0.235,,,,,, +1999,5,31,13,30,953,111,966,-6,30,940,45.9,3.5,0.235,,,,,, +1999,5,31,14,30,923,105,830,-6,30,940,42.6,3.8,0.235,,,,,, +1999,5,31,15,30,869,96,649,-5,29,940,40.4,4.2,0.235,,,,,, +2013,5,31,16,30,784,79,439,-6,33,940,152.6,0.7,0.241,,,,,, +2013,5,31,17,30,628,57,223,-6,31,940,146.7,0.6,0.241,,,,,, +2013,5,31,18,30,250,22,39,-5,28,940,105.9,0.6,0.241,,,,,, +2013,5,31,19,30,0,0,0,-5,26,940,80.7,0.9,0.241,,,,,, +2013,5,31,20,30,0,0,0,-5,26,940,81.7,1,0.241,,,,,, +2013,5,31,21,30,0,0,0,-5,25,940,91,1,0.241,,,,,, +2013,5,31,22,30,0,0,0,-5,24,940,106.5,1,0.241,,,,,, +2013,5,31,23,30,0,0,0,-6,23,940,128.5,1,0.241,,,,,, +2013,6,1,0,30,0,0,0,-6,22,940,154.3,1.2,0.241,,,,,, +2013,6,1,1,30,0,0,0,-6,20,940,170.4,1.4,0.241,,,,,, +2013,6,1,2,30,0,0,0,-5,19,940,180.6,1.6,0.241,,,,,, +2013,6,1,3,30,0,0,0,-5,18,940,189.7,1.9,0.241,,,,,, +2013,6,1,4,30,0,0,0,-5,19,940,199.9,2.5,0.241,,,,,, +2013,6,1,5,30,491,43,124,-6,22,940,207.4,3.6,0.241,,,,,, +2013,6,1,6,30,729,68,333,-7,26,940,214.8,4.3,0.241,,,,,, +2013,6,1,7,30,836,87,549,-8,29,940,228.3,4.3,0.241,,,,,, +2013,6,1,8,30,896,102,744,-8,32,940,231.4,3.9,0.241,,,,,, +2013,6,1,9,30,932,112,902,-9,33,940,232.4,3.3,0.241,,,,,, +2013,6,1,10,30,943,125,1007,-9,35,940,237.9,2.4,0.241,,,,,, +2013,6,1,11,30,785,245,1010,-10,36,940,246.9,1.5,0.241,,,,,, +2013,6,1,12,30,474,429,886,-10,36,940,259.1,0.7,0.241,,,,,, +2013,6,1,13,30,426,372,754,-10,36,940,324.6,0.6,0.241,,,,,, +2013,6,1,14,30,478,298,675,-10,36,940,35.5,1,0.241,,,,,, +2013,6,1,15,30,74,281,329,-10,35,940,52.6,1.4,0.241,,,,,, +2013,6,1,16,30,495,141,368,-9,34,940,61.1,1.3,0.242,,,,,, +2013,6,1,17,30,555,70,218,-8,31,940,64,1.1,0.242,,,,,, +2013,6,1,18,30,178,25,37,-7,29,940,57.9,1,0.242,,,,,, +2013,6,1,19,30,0,0,0,-8,28,940,53.3,1.1,0.242,,,,,, +2013,6,1,20,30,0,0,0,-7,27,940,51.2,1.2,0.242,,,,,, +2013,6,1,21,30,0,0,0,-6,26,940,49.7,1.4,0.242,,,,,, +2013,6,1,22,30,0,0,0,-5,24,940,47.9,1.5,0.242,,,,,, +2013,6,1,23,30,0,0,0,-3,22,940,45.4,1.5,0.242,,,,,, +2013,6,2,0,30,0,0,0,0,20,940,41.9,1.4,0.242,,,,,, +2013,6,2,1,30,0,0,0,3,19,940,38.5,1.1,0.242,,,,,, +2013,6,2,2,30,0,0,0,6,18,940,30,0.8,0.242,,,,,, +2013,6,2,3,30,0,0,0,8,17,940,9.3,0.7,0.242,,,,,, +2013,6,2,4,30,0,0,0,9,18,940,342.2,0.9,0.242,,,,,, +2013,6,2,5,30,253,59,101,9,20,940,339.9,1.6,0.242,,,,,, +2013,6,2,6,30,489,115,293,9,24,940,336.5,2.3,0.242,,,,,, +2013,6,2,7,30,656,143,505,7,29,940,341.9,3,0.242,,,,,, +2013,6,2,8,30,741,165,697,3,32,940,347.7,3.6,0.242,,,,,, +2013,6,2,9,30,795,180,854,1,35,940,355.9,4.2,0.242,,,,,, +2013,6,2,10,30,870,160,975,0,36,940,355.2,4.5,0.242,,,,,, +2013,6,2,11,30,890,158,1025,0,37,930,356.3,4.8,0.242,,,,,, +2013,6,2,12,30,893,152,1012,-1,38,930,0.3,4.8,0.242,,,,,, +2013,6,2,13,30,867,153,932,-1,38,930,7.2,4.7,0.242,,,,,, +2013,6,2,14,30,837,141,801,-1,37,930,13.4,4.7,0.242,,,,,, +2013,6,2,15,30,772,129,623,0,36,930,17.6,4.7,0.242,,,,,, +2013,6,2,16,30,647,115,414,0,34,930,20.7,4.5,0.242,,,,,, +2013,6,2,17,30,432,86,201,0,31,930,22.3,3.3,0.242,,,,,, +2013,6,2,18,30,98,23,30,1,28,930,21.4,2.4,0.242,,,,,, +2013,6,2,19,30,0,0,0,2,25,930,19.5,2.9,0.242,,,,,, +2013,6,2,20,30,0,0,0,4,23,940,22,3.1,0.242,,,,,, +2013,6,2,21,30,0,0,0,5,21,940,26.3,2.6,0.242,,,,,, +2013,6,2,22,30,0,0,0,6,19,940,30.2,1.9,0.242,,,,,, +2013,6,2,23,30,0,0,0,6,18,940,35.9,1.3,0.242,,,,,, +2013,6,3,0,30,0,0,0,6,17,940,49.3,0.9,0.242,,,,,, +2013,6,3,1,30,0,0,0,6,16,940,73.7,0.6,0.242,,,,,, +2013,6,3,2,30,0,0,0,6,16,940,93.3,0.5,0.242,,,,,, +2013,6,3,3,30,0,0,0,7,15,940,102.9,0.5,0.242,,,,,, +2013,6,3,4,30,0,0,0,8,15,940,104.1,0.7,0.242,,,,,, +2013,6,3,5,30,411,48,117,8,17,940,87.3,0.7,0.242,,,,,, +2013,6,3,6,30,661,79,321,9,21,940,98,0.4,0.242,,,,,, +2013,6,3,7,30,768,105,530,9,24,940,330.9,0.8,0.242,,,,,, +2013,6,3,8,30,847,116,724,8,27,940,343.8,1.8,0.242,,,,,, +2013,6,3,9,30,896,122,883,7,30,940,0.1,2.7,0.242,,,,,, +2013,6,3,10,30,905,138,985,5,33,940,9.2,3.6,0.242,,,,,, +2013,6,3,11,30,926,133,1036,4,35,940,12.5,4.2,0.242,,,,,, +2013,6,3,12,30,933,126,1024,3,36,940,13.6,4.5,0.242,,,,,, +2013,6,3,13,30,923,118,949,2,36,930,15,4.6,0.242,,,,,, +2013,6,3,14,30,900,108,818,1,36,930,16.1,4.5,0.242,,,,,, +2013,6,3,15,30,853,95,642,0,35,930,17.1,4.4,0.242,,,,,, +2013,6,3,16,30,775,79,438,0,34,930,18.6,4,0.242,,,,,, +2013,6,3,17,30,612,59,224,0,31,930,20.8,2.6,0.242,,,,,, +2013,6,3,18,30,244,24,41,1,28,940,25.1,1.6,0.242,,,,,, +2013,6,3,19,30,0,0,0,2,24,940,29.7,1.7,0.242,,,,,, +2013,6,3,20,30,0,0,0,3,22,940,30.4,1.8,0.242,,,,,, +2013,6,3,21,30,0,0,0,3,20,940,28.5,1.7,0.242,,,,,, +2013,6,3,22,30,0,0,0,4,19,940,26.4,1.6,0.242,,,,,, +2013,6,3,23,30,0,0,0,4,18,940,28.4,1.3,0.242,,,,,, +2013,6,4,0,30,0,0,0,5,17,940,40.2,0.9,0.242,,,,,, +2013,6,4,1,30,0,0,0,5,16,940,61.8,0.7,0.242,,,,,, +2013,6,4,2,30,0,0,0,6,15,940,83.1,0.5,0.242,,,,,, +2013,6,4,3,30,0,0,0,7,15,940,95.6,0.5,0.242,,,,,, +2013,6,4,4,30,0,0,0,7,15,940,101.6,0.6,0.242,,,,,, +2013,6,4,5,30,444,46,120,8,17,940,88.7,0.8,0.242,,,,,, +2013,6,4,6,30,682,75,324,9,20,940,91.8,0.8,0.242,,,,,, +2013,6,4,7,30,812,90,538,9,24,940,68.7,1,0.242,,,,,, +2013,6,4,8,30,874,104,731,8,27,940,42.2,1.6,0.242,,,,,, +2013,6,4,9,30,912,113,888,6,30,940,27.9,2.2,0.242,,,,,, +2013,6,4,10,30,932,121,994,4,33,940,20.8,2.8,0.242,,,,,, +2013,6,4,11,30,944,123,1044,2,34,940,18.5,3.4,0.242,,,,,, +2013,6,4,12,30,943,122,1030,0,35,940,19.3,3.7,0.242,,,,,, +2013,6,4,13,30,918,125,952,0,36,940,23,3.9,0.242,,,,,, +2013,6,4,14,30,890,117,820,-1,35,940,26.5,4,0.242,,,,,, +2013,6,4,15,30,839,105,644,-1,35,940,29.6,3.9,0.242,,,,,, +2013,6,4,16,30,766,84,440,-2,34,940,32.7,3.7,0.242,,,,,, +2013,6,4,17,30,603,62,226,-1,31,940,34.4,2.5,0.242,,,,,, +2013,6,4,18,30,234,25,42,0,28,940,33.7,1.7,0.242,,,,,, +2013,6,4,19,30,0,0,0,1,24,940,36.5,1.8,0.242,,,,,, +2013,6,4,20,30,0,0,0,3,22,940,43.4,1.8,0.242,,,,,, +2013,6,4,21,30,0,0,0,5,20,940,57.5,1.8,0.242,,,,,, +2013,6,4,22,30,0,0,0,5,19,940,75.6,1.7,0.242,,,,,, +2013,6,4,23,30,0,0,0,5,18,940,94.4,1.6,0.242,,,,,, +2013,6,5,0,30,0,0,0,6,17,940,111.9,1.5,0.242,,,,,, +2013,6,5,1,30,0,0,0,6,17,940,127.6,1.4,0.242,,,,,, +2013,6,5,2,30,0,0,0,6,16,940,139.7,1.3,0.242,,,,,, +2013,6,5,3,30,0,0,0,7,15,940,148.7,1.2,0.242,,,,,, +2013,6,5,4,30,0,0,0,7,15,940,156,1.4,0.242,,,,,, +2013,6,5,5,30,423,49,120,7,17,940,162.6,1.8,0.242,,,,,, +2013,6,5,6,30,667,79,323,8,21,940,165.3,1.9,0.242,,,,,, +2013,6,5,7,30,804,94,539,8,24,940,179.4,1.2,0.242,,,,,, +2013,6,5,8,30,871,107,732,7,27,940,199.2,0.6,0.242,,,,,, +2013,6,5,9,30,911,116,888,6,31,940,298.5,0.7,0.242,,,,,, +2013,6,5,10,30,932,122,995,3,34,940,333.2,1.1,0.242,,,,,, +2013,6,5,11,30,942,124,1044,1,35,940,351.9,1.4,0.242,,,,,, +2013,6,5,12,30,940,123,1029,0,36,940,8.1,1.6,0.242,,,,,, +2013,6,5,13,30,930,116,954,0,37,940,18.9,1.8,0.242,,,,,, +2013,6,5,14,30,899,110,821,0,37,940,23.2,2.1,0.242,,,,,, +2013,6,5,15,30,845,100,644,-1,36,940,26.5,2.3,0.242,,,,,, +2013,6,5,16,30,760,85,439,-1,35,940,29.5,2.4,0.242,,,,,, +2013,6,5,17,30,593,63,225,0,33,940,31.2,1.8,0.242,,,,,, +2013,6,5,18,30,226,26,43,0,29,940,30.1,1.4,0.242,,,,,, +2013,6,5,19,30,0,0,0,1,27,940,34,1.6,0.242,,,,,, +2013,6,5,20,30,0,0,0,2,25,940,42,1.6,0.242,,,,,, +2013,6,5,21,30,0,0,0,2,23,940,54.8,1.5,0.242,,,,,, +2013,6,5,22,30,0,0,0,2,22,940,73.7,1.5,0.242,,,,,, +2013,6,5,23,30,0,0,0,2,21,940,96,1.6,0.242,,,,,, +2013,6,6,0,30,0,0,0,1,20,940,115.4,1.7,0.242,,,,,, +2013,6,6,1,30,0,0,0,2,19,940,128.8,1.7,0.242,,,,,, +2013,6,6,2,30,0,0,0,2,19,940,137.3,1.6,0.242,,,,,, +2013,6,6,3,30,0,0,0,3,18,940,142.1,1.5,0.242,,,,,, +2013,6,6,4,30,0,0,0,4,18,940,143.2,1.8,0.242,,,,,, +2013,6,6,5,30,442,46,121,5,20,940,142.3,2.4,0.242,,,,,, +2013,6,6,6,30,680,75,323,6,23,940,141.1,2.5,0.242,,,,,, +2013,6,6,7,30,801,92,535,6,27,940,150.2,2,0.242,,,,,, +2013,6,6,8,30,865,105,726,6,30,940,159.2,1.1,0.242,,,,,, +2013,6,6,9,30,904,115,882,5,33,940,174.1,0.4,0.242,,,,,, +2013,6,6,10,30,914,129,985,3,36,940,313.2,0.6,0.242,,,,,, +2013,6,6,11,30,925,131,1035,1,37,940,350.7,1.3,0.242,,,,,, +2013,6,6,12,30,922,131,1020,0,38,940,9,1.8,0.242,,,,,, +2013,6,6,13,30,900,131,942,0,39,940,26.2,2.4,0.242,,,,,, +2013,6,6,14,30,862,126,809,0,38,940,37,3,0.242,,,,,, +2013,6,6,15,30,800,117,632,0,38,940,44.9,3.5,0.242,,,,,, +2013,6,6,16,30,705,99,428,0,37,940,50.9,3.6,0.242,,,,,, +2013,6,6,17,30,530,73,218,1,34,940,53.9,2.8,0.242,,,,,, +2013,6,6,18,30,177,27,41,2,30,940,53.3,2.1,0.242,,,,,, +2013,6,6,19,30,0,0,0,3,28,940,56,2.1,0.242,,,,,, +2013,6,6,20,30,0,0,0,4,26,940,64.1,2,0.242,,,,,, +2013,6,6,21,30,0,0,0,4,24,940,76.3,2,0.242,,,,,, +2013,6,6,22,30,0,0,0,4,23,940,89.3,2,0.242,,,,,, +2013,6,6,23,30,0,0,0,3,22,940,104.5,2,0.242,,,,,, +2013,6,7,0,30,0,0,0,2,21,940,120.7,2.1,0.242,,,,,, +2013,6,7,1,30,0,0,0,1,20,940,134.6,2.2,0.242,,,,,, +2013,6,7,2,30,0,0,0,2,20,940,145.1,2.2,0.242,,,,,, +2013,6,7,3,30,0,0,0,3,19,940,153.5,2,0.242,,,,,, +2013,6,7,4,30,0,0,0,4,19,940,159,2.5,0.242,,,,,, +2013,6,7,5,30,363,54,115,5,21,940,161.5,3.1,0.242,,,,,, +2013,6,7,6,30,612,90,314,5,25,940,161.9,2.8,0.242,,,,,, +2013,6,7,7,30,801,92,535,6,29,940,168.1,2.2,0.242,,,,,, +2013,6,7,8,30,864,106,727,5,32,940,195.7,1.6,0.242,,,,,, +2013,6,7,9,30,901,117,882,2,36,940,255.4,1.7,0.242,,,,,, +2013,6,7,10,30,922,125,989,0,38,940,297.8,2.2,0.242,,,,,, +2013,6,7,11,30,928,130,1037,-1,39,940,319.8,2.5,0.242,,,,,, +2013,6,7,12,30,923,132,1023,-2,40,940,336.6,2.8,0.242,,,,,, +2013,6,7,13,30,924,118,952,-3,41,940,352.9,3.2,0.242,,,,,, +2013,6,7,14,30,894,112,821,-3,40,940,7.9,3.6,0.242,,,,,, +2013,6,7,15,30,842,102,646,-4,40,940,20.5,3.9,0.242,,,,,, +2013,6,7,16,30,741,91,439,-4,39,940,29.9,4,0.242,,,,,, +2013,6,7,17,30,573,68,226,-4,36,940,36,3.2,0.242,,,,,, +2013,6,7,18,30,214,27,44,-3,32,940,37.4,2.6,0.242,,,,,, +2013,6,7,19,30,0,0,0,-3,30,940,37.7,2.9,0.242,,,,,, +2013,6,7,20,30,0,0,0,-2,28,940,37.1,3,0.242,,,,,, +2013,6,7,21,30,0,0,0,-1,26,940,36.2,2.8,0.242,,,,,, +2013,6,7,22,30,0,0,0,0,24,940,34.8,2.3,0.242,,,,,, +2013,6,7,23,30,0,0,0,0,23,940,33.3,1.8,0.242,,,,,, +2013,6,8,0,30,0,0,0,0,22,940,31.9,1.4,0.242,,,,,, +2013,6,8,1,30,0,0,0,1,21,940,33.5,0.9,0.242,,,,,, +2013,6,8,2,30,0,0,0,1,21,940,42.6,0.4,0.242,,,,,, +2013,6,8,3,30,0,0,0,2,20,940,62.4,0.1,0.242,,,,,, +2013,6,8,4,30,0,0,0,3,21,940,231.4,0.2,0.242,,,,,, +2013,6,8,5,30,442,47,121,3,23,940,300.4,0.6,0.242,,,,,, +2013,6,8,6,30,686,75,326,4,27,940,310.3,1.2,0.242,,,,,, +2013,6,8,7,30,814,89,540,4,31,940,347.5,2.2,0.242,,,,,, +2013,6,8,8,30,882,101,734,2,36,940,4.2,3.2,0.242,,,,,, +2013,6,8,9,30,921,110,892,-1,39,940,10.4,4,0.242,,,,,, +2013,6,8,10,30,922,129,994,-4,40,940,11.7,4.5,0.242,,,,,, +2013,6,8,11,30,931,133,1042,-5,41,940,10.6,4.8,0.242,,,,,, +2013,6,8,12,30,927,133,1028,-5,42,940,9.1,5.1,0.242,,,,,, +2013,6,8,13,30,911,129,952,-5,42,940,9.3,5.4,0.242,,,,,, +2013,6,8,14,30,876,123,819,-5,42,940,9.5,5.5,0.242,,,,,, +2013,6,8,15,30,819,113,642,-4,41,930,10.4,5.5,0.242,,,,,, +2013,6,8,16,30,741,91,440,-3,39,940,12.1,5.3,0.242,,,,,, +2013,6,8,17,30,571,69,226,-2,36,940,14.3,4,0.242,,,,,, +2013,6,8,18,30,207,28,44,-1,32,940,15.7,2.7,0.242,,,,,, +2013,6,8,19,30,0,0,0,0,28,940,15.8,2.7,0.242,,,,,, +2013,6,8,20,30,0,0,0,1,26,940,15.3,3,0.242,,,,,, +2013,6,8,21,30,0,0,0,2,24,940,16,3.3,0.242,,,,,, +2013,6,8,22,30,0,0,0,2,23,940,15.9,3,0.242,,,,,, +2013,6,8,23,30,0,0,0,2,22,940,12.4,2.3,0.242,,,,,, +2013,6,9,0,30,0,0,0,3,21,940,4.6,1.6,0.242,,,,,, +2013,6,9,1,30,0,0,0,3,20,940,356,1.2,0.242,,,,,, +2013,6,9,2,30,0,0,0,4,20,940,345.8,1,0.242,,,,,, +2013,6,9,3,30,0,0,0,4,19,940,334,0.8,0.242,,,,,, +2013,6,9,4,30,0,0,0,4,20,940,322.5,1.3,0.242,,,,,, +2013,6,9,5,30,432,48,121,4,22,940,314.8,1.8,0.242,,,,,, +2013,6,9,6,30,673,78,324,4,26,940,308.4,2,0.242,,,,,, +2013,6,9,7,30,802,95,538,3,30,940,302.3,2.4,0.242,,,,,, +2013,6,9,8,30,865,111,732,1,33,940,311.1,2.7,0.242,,,,,, +2013,6,9,9,30,899,125,889,0,36,940,326.1,3.1,0.242,,,,,, +2013,6,9,10,30,646,314,920,-2,38,940,344,3.7,0.242,,,,,, +2013,6,9,11,30,412,444,846,-3,39,940,352.2,4.2,0.242,,,,,, +2013,6,9,12,30,559,386,926,-5,39,940,356.4,4.5,0.242,,,,,, +2013,6,9,13,30,790,200,914,-6,39,940,2.5,4.7,0.242,,,,,, +2013,6,9,14,30,895,124,836,-7,38,940,9.2,4.7,0.242,,,,,, +2013,6,9,15,30,381,260,507,-8,37,940,15.5,4.6,0.242,,,,,, +2013,6,9,16,30,42,184,204,-8,35,940,20.8,4.1,0.243,,,,,, +2013,6,9,17,30,352,88,186,-8,32,940,25.2,2.6,0.243,,,,,, +2013,6,9,18,30,0,17,17,-7,28,940,29,1.6,0.243,,,,,, +2013,6,9,19,30,0,0,0,-6,25,940,25.3,1.8,0.243,,,,,, +2013,6,9,20,30,0,0,0,-5,24,940,19.2,2.2,0.243,,,,,, +2013,6,9,21,30,0,0,0,-4,22,940,18.2,2.5,0.243,,,,,, +2013,6,9,22,30,0,0,0,-3,21,940,19,2.4,0.243,,,,,, +2013,6,9,23,30,0,0,0,-3,20,940,19.2,1.9,0.243,,,,,, +2013,6,10,0,30,0,0,0,-2,18,940,18,1.4,0.243,,,,,, +2013,6,10,1,30,0,0,0,-2,17,940,16.3,1.1,0.243,,,,,, +2013,6,10,2,30,0,0,0,-2,16,940,10.3,0.9,0.243,,,,,, +2013,6,10,3,30,0,0,0,-2,16,940,0.1,0.8,0.243,,,,,, +2013,6,10,4,30,0,0,0,-1,16,940,354.4,1.2,0.243,,,,,, +2013,6,10,5,30,426,50,122,0,18,940,2.8,1.9,0.243,,,,,, +2013,6,10,6,30,671,81,327,1,20,940,4.2,2.8,0.243,,,,,, +2013,6,10,7,30,810,95,543,1,23,940,13.6,3.8,0.243,,,,,, +2013,6,10,8,30,871,110,736,1,26,940,13.7,4.7,0.243,,,,,, +2013,6,10,9,30,903,124,890,0,29,940,11.8,5.6,0.243,,,,,, +2013,6,10,10,30,930,126,998,0,30,940,13.6,6.3,0.243,,,,,, +2013,6,10,11,30,923,140,1042,-1,31,940,18.6,6.6,0.243,,,,,, +2013,6,10,12,30,891,160,1020,0,32,940,24.6,6.6,0.243,,,,,, +2013,6,10,13,30,886,148,950,0,31,940,29.6,6.5,0.243,,,,,, +2013,6,10,14,30,851,142,820,0,30,940,32.4,6.5,0.243,,,,,, +2013,6,10,15,30,803,126,647,-1,29,940,36.2,6.5,0.243,,,,,, +2013,6,10,16,30,734,100,447,-2,28,940,43.9,6.4,0.243,,,,,, +2013,6,10,17,30,592,69,234,-2,26,940,54.7,5.2,0.243,,,,,, +2013,6,10,18,30,246,28,48,-1,24,940,67.9,3.8,0.243,,,,,, +2013,6,10,19,30,0,0,0,0,22,940,85.1,3.2,0.243,,,,,, +2013,6,10,20,30,0,0,0,1,21,940,97.4,2.8,0.243,,,,,, +2013,6,10,21,30,0,0,0,2,20,940,104.4,2.5,0.243,,,,,, +2013,6,10,22,30,0,0,0,3,19,940,108.7,2.3,0.243,,,,,, +2013,6,10,23,30,0,0,0,3,18,940,117,2.1,0.243,,,,,, +2013,6,11,0,30,0,0,0,3,18,940,126.5,2.1,0.243,,,,,, +2013,6,11,1,30,0,0,0,3,17,940,134.2,2.1,0.243,,,,,, +2013,6,11,2,30,0,0,0,3,17,940,141.6,1.9,0.243,,,,,, +2013,6,11,3,30,0,0,0,3,16,940,147.9,1.6,0.243,,,,,, +2013,6,11,4,30,0,0,0,3,17,940,154.1,2,0.243,,,,,, +2013,6,11,5,30,228,55,94,3,19,940,159.4,2.7,0.243,,,,,, +2013,6,11,6,30,196,144,215,3,23,940,157.1,2.9,0.243,,,,,, +2013,6,11,7,30,531,165,459,3,26,940,154.8,2.6,0.243,,,,,, +2013,6,11,8,30,646,199,663,3,28,940,135.2,1.9,0.243,,,,,, +2013,6,11,9,30,914,105,881,3,30,940,105.2,1.6,0.243,,,,,, +2013,6,11,10,30,918,121,982,3,32,940,78.5,1.5,0.243,,,,,, +2013,6,11,11,30,441,450,881,2,34,940,64.8,1.6,0.243,,,,,, +2013,6,11,12,30,435,439,860,2,36,940,61,1.7,0.243,,,,,, +2013,6,11,13,30,415,386,762,2,37,940,58,1.8,0.243,,,,,, +2013,6,11,14,30,400,331,650,1,37,940,49.2,1.9,0.243,,,,,, +2013,6,11,15,30,257,289,457,0,36,940,38.4,2,0.243,,,,,, +2013,6,11,16,30,520,138,384,0,35,940,31.4,1.7,0.243,,,,,, +2013,6,11,17,30,397,95,206,0,32,940,24.1,1.1,0.243,,,,,, +2013,6,11,18,30,167,29,43,0,29,940,14.1,1.1,0.243,,,,,, +2013,6,11,19,30,0,0,0,0,27,940,15.6,1.2,0.243,,,,,, +2013,6,11,20,30,0,0,0,0,25,940,23.2,1.3,0.243,,,,,, +2013,6,11,21,30,0,0,0,1,24,940,35.2,1.2,0.243,,,,,, +2013,6,11,22,30,0,0,0,1,23,940,51.8,1.1,0.243,,,,,, +2013,6,11,23,30,0,0,0,2,22,940,75.9,1.1,0.243,,,,,, +2013,6,12,0,30,0,0,0,2,21,940,102.8,1.1,0.243,,,,,, +2013,6,12,1,30,0,0,0,3,21,940,125.6,1.1,0.243,,,,,, +2013,6,12,2,30,0,0,0,3,20,940,141.4,1,0.243,,,,,, +2013,6,12,3,30,0,0,0,3,20,940,150.6,0.9,0.243,,,,,, +2013,6,12,4,30,0,0,0,4,20,940,154.9,0.8,0.243,,,,,, +2013,6,12,5,30,153,58,84,4,22,940,156,1.1,0.243,,,,,, +2013,6,12,6,30,616,77,302,4,24,940,158.8,0.8,0.243,,,,,, +2013,6,12,7,30,537,170,467,4,28,940,147.7,0.9,0.243,,,,,, +2013,6,12,8,30,753,152,692,3,32,940,7,2.2,0.243,,,,,, +2013,6,12,9,30,843,144,860,1,34,940,3.1,3.4,0.243,,,,,, +2013,6,12,10,30,470,409,850,1,36,940,4.3,4.5,0.243,,,,,, +2013,6,12,11,30,381,442,815,1,37,940,9.2,5.3,0.243,,,,,, +2013,6,12,12,30,298,475,764,1,37,940,14.6,5.8,0.243,,,,,, +2013,6,12,13,30,874,142,933,1,37,940,20.7,5.8,0.243,,,,,, +2013,6,12,14,30,725,198,776,1,36,940,26.6,5.6,0.243,,,,,, +2013,6,12,15,30,533,207,554,1,34,940,33.1,5.3,0.243,,,,,, +2013,6,12,16,30,396,168,356,1,33,940,40.5,4.8,0.243,,,,,, +2013,6,12,17,30,597,66,234,0,30,940,47.9,3.5,0.243,,,,,, +2013,6,12,18,30,283,27,51,0,27,940,55.5,2.4,0.243,,,,,, +2013,6,12,19,30,0,0,0,1,25,940,61.8,2.3,0.243,,,,,, +2013,6,12,20,30,0,0,0,2,23,940,67.8,2.3,0.243,,,,,, +2013,6,12,21,30,0,0,0,3,21,940,77.4,2.2,0.243,,,,,, +2013,6,12,22,30,0,0,0,3,20,940,89.4,2.1,0.243,,,,,, +2013,6,12,23,30,0,0,0,4,19,940,101.9,2.1,0.243,,,,,, +2013,6,13,0,30,0,0,0,4,18,940,115.1,2.3,0.243,,,,,, +2013,6,13,1,30,0,0,0,3,17,940,123,2.5,0.243,,,,,, +2013,6,13,2,30,0,0,0,3,16,940,128,2.5,0.243,,,,,, +2013,6,13,3,30,0,0,0,3,16,940,133,2.4,0.243,,,,,, +2013,6,13,4,30,0,0,0,2,16,940,135.8,2.6,0.243,,,,,, +2013,6,13,5,30,482,46,127,1,18,940,137.2,3,0.243,,,,,, +2013,6,13,6,30,726,72,337,0,22,940,139.5,2.8,0.243,,,,,, +2013,6,13,7,30,849,87,556,0,25,940,135.3,2,0.243,,,,,, +2013,6,13,8,30,912,99,754,-2,28,940,95.5,1.6,0.243,,,,,, +2013,6,13,9,30,951,108,915,-4,31,940,62.4,1.8,0.243,,,,,, +2013,6,13,10,30,973,114,1027,-5,33,940,46.2,2.2,0.243,,,,,, +2013,6,13,11,30,985,117,1080,-7,34,940,38.7,2.5,0.243,,,,,, +2013,6,13,12,30,985,117,1069,-8,35,940,34.9,2.9,0.243,,,,,, +2013,6,13,13,30,974,113,995,-9,35,940,33,3.2,0.243,,,,,, +2013,6,13,14,30,948,107,863,-10,35,940,31.8,3.5,0.243,,,,,, +2013,6,13,15,30,900,97,684,-11,34,940,31.1,3.6,0.243,,,,,, +2013,6,13,16,30,820,83,473,-11,32,940,30.9,3.5,0.243,,,,,, +2013,6,13,17,30,665,63,251,-11,29,940,30.2,2.5,0.243,,,,,, +2013,6,13,18,30,304,29,55,-10,26,940,24.5,1.7,0.243,,,,,, +2013,6,13,19,30,0,0,0,-9,23,940,27.5,1.8,0.243,,,,,, +2013,6,13,20,30,0,0,0,-8,21,940,41.8,1.9,0.243,,,,,, +2013,6,13,21,30,0,0,0,-8,20,940,64.5,2,0.243,,,,,, +2013,6,13,22,30,0,0,0,-7,18,940,90.4,1.9,0.243,,,,,, +2013,6,13,23,30,0,0,0,-7,17,940,111.8,1.8,0.243,,,,,, +2013,6,14,0,30,0,0,0,-7,17,940,123.2,1.5,0.243,,,,,, +2013,6,14,1,30,0,0,0,-6,16,940,116.3,1.2,0.243,,,,,, +2013,6,14,2,30,0,0,0,-6,15,940,104,1,0.243,,,,,, +2013,6,14,3,30,0,0,0,-6,15,940,100.9,1,0.243,,,,,, +2013,6,14,4,30,0,0,0,-6,16,940,102.8,1,0.243,,,,,, +2013,6,14,5,30,501,47,131,-6,19,940,107.4,1.3,0.243,,,,,, +2013,6,14,6,30,742,74,345,-6,23,940,114.5,1,0.243,,,,,, +2013,6,14,7,30,865,89,566,-8,27,940,79.2,0.9,0.243,,,,,, +2013,6,14,8,30,931,99,767,-11,30,940,346.3,1.8,0.243,,,,,, +2013,6,14,9,30,969,107,929,-13,32,940,343.9,2.7,0.243,,,,,, +2013,6,14,10,30,991,110,1039,-13,34,940,350.7,3.3,0.243,,,,,, +2013,6,14,11,30,997,113,1088,-13,35,940,358.3,3.8,0.243,,,,,, +2013,6,14,12,30,987,117,1071,-14,36,940,4.8,4.1,0.243,,,,,, +2013,6,14,13,30,964,118,992,-14,36,940,11.6,4.2,0.243,,,,,, +2013,6,14,14,30,919,119,853,-13,36,940,19.3,4.2,0.243,,,,,, +2013,6,14,15,30,849,115,669,-13,35,940,26.2,4.1,0.243,,,,,, +2013,6,14,16,30,784,90,464,-12,34,940,31.7,3.7,0.243,,,,,, +2013,6,14,17,30,615,69,243,-10,31,940,35.9,2.5,0.243,,,,,, +2013,6,14,18,30,267,29,53,-8,27,940,39.1,1.5,0.243,,,,,, +2013,6,14,19,30,0,0,0,-5,24,940,40.6,1.5,0.243,,,,,, +2013,6,14,20,30,0,0,0,-3,22,940,41.8,1.5,0.243,,,,,, +2013,6,14,21,30,0,0,0,-1,20,940,45.2,1.4,0.243,,,,,, +2013,6,14,22,30,0,0,0,0,19,940,54.8,1.2,0.243,,,,,, +2013,6,14,23,30,0,0,0,0,17,940,73.4,1,0.243,,,,,, +2013,6,15,0,30,0,0,0,0,16,940,95.6,0.9,0.243,,,,,, +2013,6,15,1,30,0,0,0,0,16,940,111.9,0.9,0.243,,,,,, +2013,6,15,2,30,0,0,0,0,15,940,121.5,0.9,0.243,,,,,, +2013,6,15,3,30,0,0,0,0,14,940,127.6,0.9,0.243,,,,,, +2013,6,15,4,30,0,0,0,0,15,940,129.8,1.2,0.243,,,,,, +2013,6,15,5,30,487,45,127,0,17,940,130.5,1.7,0.243,,,,,, +2013,6,15,6,30,726,72,337,0,21,940,130.3,1.3,0.243,,,,,, +2013,6,15,7,30,845,88,554,-1,25,940,95.1,1.3,0.243,,,,,, +2013,6,15,8,30,908,100,752,-3,28,940,22.9,2.2,0.243,,,,,, +2013,6,15,9,30,946,109,912,-3,31,940,9.7,3.2,0.243,,,,,, +2013,6,15,10,30,965,115,1020,-5,33,940,8.1,3.9,0.243,,,,,, +2013,6,15,11,30,971,119,1069,-6,34,940,11.3,4.3,0.243,,,,,, +2013,6,15,12,30,964,121,1054,-6,35,940,15.8,4.5,0.243,,,,,, +2013,6,15,13,30,953,116,980,-7,35,940,21,4.6,0.243,,,,,, +2013,6,15,14,30,925,109,849,-7,34,940,25.6,4.6,0.243,,,,,, +2013,6,15,15,30,874,101,671,-6,33,940,29.9,4.5,0.243,,,,,, +2013,6,15,16,30,797,84,465,-6,32,940,34.9,4.3,0.243,,,,,, +2013,6,15,17,30,644,64,248,-5,29,940,39.9,3.1,0.243,,,,,, +2013,6,15,18,30,302,29,55,-4,26,940,43.2,2,0.243,,,,,, +2013,6,15,19,30,0,0,0,-2,23,940,46.1,2,0.243,,,,,, +2013,6,15,20,30,0,0,0,0,21,940,53.1,2.1,0.243,,,,,, +2013,6,15,21,30,0,0,0,0,19,940,65.9,2,0.243,,,,,, +2013,6,15,22,30,0,0,0,1,18,940,83.7,1.8,0.243,,,,,, +2013,6,15,23,30,0,0,0,2,17,940,100.4,1.7,0.243,,,,,, +2013,6,16,0,30,0,0,0,3,16,940,115.5,1.7,0.243,,,,,, +2013,6,16,1,30,0,0,0,3,15,940,127.3,1.8,0.243,,,,,, +2013,6,16,2,30,0,0,0,3,15,940,132.4,1.9,0.243,,,,,, +2013,6,16,3,30,0,0,0,3,14,940,133.8,1.9,0.243,,,,,, +2013,6,16,4,30,0,0,0,2,15,940,133.6,2.5,0.243,,,,,, +2013,6,16,5,30,459,47,124,2,17,940,132.9,3,0.243,,,,,, +2013,6,16,6,30,707,74,331,1,21,940,131.9,2.9,0.243,,,,,, +2013,6,16,7,30,838,87,549,0,24,940,137.5,2.3,0.243,,,,,, +2013,6,16,8,30,904,98,746,0,27,940,115.3,1.9,0.243,,,,,, +2013,6,16,9,30,944,106,906,-1,30,940,61.4,2.3,0.243,,,,,, +2013,6,16,10,30,965,111,1015,-2,32,940,43.6,2.8,0.243,,,,,, +2013,6,16,11,30,976,111,1066,-3,34,940,35.2,3.1,0.243,,,,,, +2013,6,16,12,30,978,108,1055,-4,35,940,30.4,3.3,0.243,,,,,, +2013,6,16,13,30,970,102,982,-5,35,940,28.2,3.4,0.243,,,,,, +2013,6,16,14,30,944,96,851,-5,35,940,26.8,3.5,0.243,,,,,, +2013,6,16,15,30,898,87,675,-5,34,940,27,3.5,0.243,,,,,, +2013,6,16,16,30,817,76,467,-5,33,940,27.9,3.1,0.243,,,,,, +2013,6,16,17,30,664,59,249,-5,30,940,26.8,2,0.243,,,,,, +2013,6,16,18,30,313,28,56,-4,26,940,19.2,1.4,0.243,,,,,, +2013,6,16,19,30,0,0,0,-3,24,940,17.6,1.5,0.243,,,,,, +2013,6,16,20,30,0,0,0,-2,22,940,26.1,1.5,0.243,,,,,, +2013,6,16,21,30,0,0,0,-2,21,940,48.5,1.5,0.243,,,,,, +2013,6,16,22,30,0,0,0,-1,20,940,79.5,1.6,0.243,,,,,, +2013,6,16,23,30,0,0,0,-1,18,940,108.2,1.8,0.243,,,,,, +2013,6,17,0,30,0,0,0,0,17,940,126.1,2.1,0.243,,,,,, +2013,6,17,1,30,0,0,0,0,16,940,136,2.2,0.243,,,,,, +2013,6,17,2,30,0,0,0,0,16,940,139.4,2.1,0.243,,,,,, +2013,6,17,3,30,0,0,0,0,15,940,139.6,1.9,0.243,,,,,, +2013,6,17,4,30,0,0,0,0,15,940,140.7,2.5,0.243,,,,,, +2013,6,17,5,30,486,45,126,1,17,940,142.2,3.2,0.243,,,,,, +2013,6,17,6,30,727,72,336,1,21,940,143.4,3.3,0.243,,,,,, +2013,6,17,7,30,854,85,555,0,25,940,145.5,2.4,0.243,,,,,, +2013,6,17,8,30,917,96,753,0,29,940,122.9,1.4,0.243,,,,,, +2013,6,17,9,30,955,104,913,-4,32,940,55.5,1.6,0.243,,,,,, +2013,6,17,10,30,967,115,1021,-6,34,940,23.2,2.4,0.243,,,,,, +2013,6,17,11,30,976,118,1072,-8,35,940,16.1,3,0.243,,,,,, +2013,6,17,12,30,974,117,1060,-9,36,940,16.6,3.4,0.243,,,,,, +2013,6,17,13,30,968,109,988,-10,37,940,20.6,3.6,0.243,,,,,, +2013,6,17,14,30,944,101,857,-10,37,940,25.1,3.7,0.243,,,,,, +2013,6,17,15,30,897,92,679,-10,36,940,28.3,3.6,0.243,,,,,, +2013,6,17,16,30,822,77,471,-9,35,940,29.3,3.2,0.238,,,,,, +2013,6,17,17,30,674,59,252,-8,32,940,27.7,2,0.238,,,,,, +2013,6,17,18,30,334,28,58,-5,28,940,20.7,1.2,0.238,,,,,, +2013,6,17,19,30,0,0,0,-3,25,940,16.4,1.4,0.238,,,,,, +2013,6,17,20,30,0,0,0,-1,23,940,19.8,1.5,0.238,,,,,, +2013,6,17,21,30,0,0,0,-1,22,940,34.9,1.5,0.238,,,,,, +2013,6,17,22,30,0,0,0,0,20,940,62.8,1.5,0.238,,,,,, +2013,6,17,23,30,0,0,0,0,19,940,93.1,1.5,0.238,,,,,, +2013,6,18,0,30,0,0,0,0,18,940,115.5,1.7,0.238,,,,,, +2013,6,18,1,30,0,0,0,0,17,940,130.4,1.8,0.238,,,,,, +2013,6,18,2,30,0,0,0,1,16,940,137.9,1.8,0.238,,,,,, +2013,6,18,3,30,0,0,0,2,15,940,139.9,1.6,0.238,,,,,, +2013,6,18,4,30,0,0,0,2,16,940,139.2,1.7,0.238,,,,,, +2013,6,18,5,30,503,43,127,3,18,940,140.4,2.3,0.238,,,,,, +2013,6,18,6,30,739,68,336,2,21,940,143.2,2.3,0.238,,,,,, +2013,6,18,7,30,862,80,555,0,25,940,130.5,1.7,0.238,,,,,, +2013,6,18,8,30,920,92,750,0,28,940,41.8,2.2,0.238,,,,,, +2013,6,18,9,30,954,100,909,-2,30,940,20.1,3.4,0.238,,,,,, +2013,6,18,10,30,969,108,1016,-3,32,940,17.6,4.2,0.238,,,,,, +2013,6,18,11,30,980,108,1067,-4,33,940,19.3,4.6,0.238,,,,,, +2013,6,18,12,30,978,108,1055,-4,34,940,21.1,4.9,0.238,,,,,, +2013,6,18,13,30,963,105,980,-4,35,940,21.6,5.1,0.238,,,,,, +2013,6,18,14,30,934,100,848,-4,34,940,22,5.3,0.238,,,,,, +2013,6,18,15,30,883,92,671,-3,33,940,23.1,5.5,0.238,,,,,, +2013,6,18,16,30,804,79,465,-2,31,940,25.3,5.4,0.238,,,,,, +2013,6,18,17,30,650,61,248,0,28,940,27.6,4.4,0.238,,,,,, +2013,6,18,18,30,303,29,57,0,25,940,32,3.7,0.238,,,,,, +2013,6,18,19,30,0,0,0,1,23,940,44.1,3.9,0.238,,,,,, +2013,6,18,20,30,0,0,0,2,21,940,62.2,3.6,0.238,,,,,, +2013,6,18,21,30,0,0,0,2,20,940,83.4,3.2,0.238,,,,,, +2013,6,18,22,30,0,0,0,3,19,940,103.5,2.9,0.238,,,,,, +2013,6,18,23,30,0,0,0,3,18,940,115.8,2.5,0.238,,,,,, +2013,6,19,0,30,0,0,0,3,16,940,122.8,2,0.238,,,,,, +2013,6,19,1,30,0,0,0,2,15,940,122.4,1.8,0.238,,,,,, +2013,6,19,2,30,0,0,0,2,14,940,119.3,1.8,0.238,,,,,, +2013,6,19,3,30,0,0,0,1,13,940,119.3,1.9,0.238,,,,,, +2013,6,19,4,30,0,0,0,1,13,940,121.4,2.7,0.238,,,,,, +2013,6,19,5,30,503,44,128,0,15,940,121.6,3.8,0.238,,,,,, +2013,6,19,6,30,750,69,341,-1,18,940,123.2,4.3,0.238,,,,,, +2013,6,19,7,30,874,82,563,-4,22,940,122.8,3.8,0.238,,,,,, +2013,6,19,8,30,940,92,764,-7,25,940,110.9,3,0.238,,,,,, +2013,6,19,9,30,978,99,927,-10,28,940,94.1,2.7,0.238,,,,,, +2013,6,19,10,30,996,105,1039,-13,30,940,81.5,2.6,0.238,,,,,, +2013,6,19,11,30,1005,108,1091,-14,31,940,72.6,2.6,0.238,,,,,, +2013,6,19,12,30,1001,108,1078,-16,32,940,67.9,2.6,0.238,,,,,, +2013,6,19,13,30,979,110,999,-16,33,940,66.2,2.5,0.238,,,,,, +2013,6,19,14,30,946,106,864,-15,32,940,64.8,2.3,0.238,,,,,, +2013,6,19,15,30,894,97,684,-13,31,940,61.1,2,0.238,,,,,, +2013,6,19,16,30,812,83,473,-11,29,940,53,1.7,0.238,,,,,, +2013,6,19,17,30,657,64,253,-9,26,940,38,1.1,0.238,,,,,, +2013,6,19,18,30,313,30,59,-7,23,940,6.2,0.8,0.238,,,,,, +2013,6,19,19,30,0,0,0,-7,21,940,16.7,1,0.238,,,,,, +2013,6,19,20,30,0,0,0,-6,19,940,64.5,1.4,0.238,,,,,, +2013,6,19,21,30,0,0,0,-5,18,940,102.1,2.2,0.238,,,,,, +2013,6,19,22,30,0,0,0,-5,17,940,123.2,3.4,0.238,,,,,, +2013,6,19,23,30,0,0,0,-4,16,940,134.2,3.9,0.238,,,,,, +2013,6,20,0,30,0,0,0,-4,15,940,137.8,3.2,0.238,,,,,, +2013,6,20,1,30,0,0,0,-3,14,940,137.3,2.4,0.238,,,,,, +2013,6,20,2,30,0,0,0,-3,13,940,134,1.9,0.238,,,,,, +2013,6,20,3,30,0,0,0,-2,13,940,131.2,1.6,0.238,,,,,, +2013,6,20,4,30,0,0,0,-2,14,940,129.9,1.8,0.238,,,,,, +2013,6,20,5,30,477,46,125,-2,16,940,128.5,2.6,0.238,,,,,, +2013,6,20,6,30,727,72,335,-3,20,940,125.3,2.8,0.238,,,,,, +2013,6,20,7,30,845,88,553,-5,24,940,113.5,2.3,0.238,,,,,, +2013,6,20,8,30,912,100,751,-6,27,940,59.9,2.3,0.238,,,,,, +2013,6,20,9,30,951,107,912,-7,29,940,31.1,2.9,0.238,,,,,, +2013,6,20,10,30,968,114,1021,-8,30,940,22.5,3.3,0.238,,,,,, +2013,6,20,11,30,977,115,1071,-8,32,940,21.5,3.6,0.238,,,,,, +2013,6,20,12,30,978,112,1059,-9,33,940,23.2,3.8,0.238,,,,,, +2013,6,20,13,30,957,112,981,-9,33,940,24.3,3.9,0.238,,,,,, +2013,6,20,14,30,936,101,852,-9,34,940,24.1,3.8,0.238,,,,,, +2013,6,20,15,30,894,89,676,-9,33,940,23.5,3.8,0.238,,,,,, +2013,6,20,16,30,819,76,470,-9,32,940,21.7,3.5,0.238,,,,,, +2013,6,20,17,30,669,59,252,-8,29,940,17.7,2.4,0.238,,,,,, +2013,6,20,18,30,329,28,59,-7,25,940,10.8,1.7,0.238,,,,,, +2013,6,20,19,30,0,0,0,-5,23,940,13.4,1.9,0.238,,,,,, +2013,6,20,20,30,0,0,0,-4,21,940,26.8,2,0.238,,,,,, +2013,6,20,21,30,0,0,0,-4,20,940,47.6,2.1,0.238,,,,,, +2013,6,20,22,30,0,0,0,-3,19,940,74.8,2.2,0.238,,,,,, +2013,6,20,23,30,0,0,0,-2,18,940,101.6,2.3,0.238,,,,,, +2013,6,21,0,30,0,0,0,-2,17,940,119.2,2.4,0.238,,,,,, +2013,6,21,1,30,0,0,0,-1,16,940,131,2.3,0.238,,,,,, +2013,6,21,2,30,0,0,0,0,15,940,136.5,2,0.238,,,,,, +2013,6,21,3,30,0,0,0,0,14,940,139.9,1.6,0.238,,,,,, +2013,6,21,4,30,0,0,0,0,14,940,143.6,1.6,0.238,,,,,, +2013,6,21,5,30,505,42,125,0,16,940,145.3,2.1,0.238,,,,,, +2013,6,21,6,30,421,112,264,-1,20,940,144,2,0.238,,,,,, +2013,6,21,7,30,852,80,548,-2,23,940,127.5,1.5,0.238,,,,,, +2013,6,21,8,30,603,214,645,-4,26,940,37.9,2.1,0.238,,,,,, +2013,6,21,9,30,478,335,740,-4,29,940,20.7,3,0.238,,,,,, +2013,6,21,10,30,963,107,1009,-4,30,940,20.3,3.6,0.238,,,,,, +2013,6,21,11,30,977,105,1061,-5,32,940,25.2,3.9,0.238,,,,,, +2013,6,21,12,30,981,101,1051,-5,33,940,30.2,3.9,0.238,,,,,, +2013,6,21,13,30,971,97,980,-6,34,940,32.4,3.8,0.238,,,,,, +2013,6,21,14,30,947,92,852,-6,34,940,33,3.8,0.238,,,,,, +2013,6,21,15,30,903,85,679,-7,33,940,31.8,3.8,0.238,,,,,, +2013,6,21,16,30,811,79,470,-7,32,940,29.6,3.8,0.238,,,,,, +2013,6,21,17,30,661,62,253,-7,29,940,25.4,2.8,0.238,,,,,, +2013,6,21,18,30,326,30,60,-6,26,940,18.2,2.2,0.238,,,,,, +2013,6,21,19,30,0,0,0,-5,23,940,24.5,2.7,0.238,,,,,, +2013,6,21,20,30,0,0,0,-4,22,940,39.4,3.1,0.238,,,,,, +2013,6,21,21,30,0,0,0,-3,20,940,57,3,0.238,,,,,, +2013,6,21,22,30,0,0,0,-3,19,940,78.2,2.7,0.238,,,,,, +2013,6,21,23,30,0,0,0,-2,19,940,96.3,2.3,0.238,,,,,, +2013,6,22,0,30,0,0,0,-2,18,940,110.6,1.9,0.238,,,,,, +2013,6,22,1,30,0,0,0,-1,17,940,117.8,1.6,0.238,,,,,, +2013,6,22,2,30,0,0,0,-1,16,940,120.1,1.4,0.238,,,,,, +2013,6,22,3,30,0,0,0,-1,15,940,122.8,1.2,0.238,,,,,, +2013,6,22,4,30,0,0,0,-1,16,940,126.1,1.5,0.238,,,,,, +2013,6,22,5,30,485,42,122,-1,18,940,130,2.1,0.238,,,,,, +2013,6,22,6,30,716,68,326,-1,21,940,129.3,2.2,0.238,,,,,, +2013,6,22,7,30,834,83,540,-2,25,940,127.6,1.5,0.238,,,,,, +2013,6,22,8,30,899,93,735,-3,28,940,56.1,1.4,0.238,,,,,, +2013,6,22,9,30,939,100,894,-3,30,940,13.5,2.2,0.238,,,,,, +2013,6,22,10,30,957,107,1003,-4,32,940,8.4,2.6,0.238,,,,,, +2013,6,22,11,30,966,109,1054,-4,33,940,9.8,2.7,0.238,,,,,, +2013,6,22,12,30,965,108,1043,-4,34,940,14.3,2.8,0.238,,,,,, +2013,6,22,13,30,946,109,969,-4,34,940,17.5,2.8,0.238,,,,,, +2013,6,22,14,30,922,101,842,-5,34,940,19.4,2.9,0.238,,,,,, +2013,6,22,15,30,880,90,669,-5,34,940,20.7,2.9,0.238,,,,,, +2013,6,22,16,30,804,77,465,-5,33,940,20.9,2.9,0.238,,,,,, +2013,6,22,17,30,660,59,251,-4,30,940,18.3,2.1,0.238,,,,,, +2013,6,22,18,30,328,29,60,-4,27,940,11.3,1.4,0.238,,,,,, +2013,6,22,19,30,0,0,0,-2,25,940,10.8,1.6,0.238,,,,,, +2013,6,22,20,30,0,0,0,-1,23,940,17.7,1.8,0.238,,,,,, +2013,6,22,21,30,0,0,0,0,22,940,29.2,1.9,0.238,,,,,, +2013,6,22,22,30,0,0,0,1,20,940,44.8,1.8,0.238,,,,,, +2013,6,22,23,30,0,0,0,2,19,940,62.5,1.6,0.238,,,,,, +2013,6,23,0,30,0,0,0,2,18,940,78.9,1.5,0.238,,,,,, +2013,6,23,1,30,0,0,0,2,17,940,91,1.4,0.238,,,,,, +2013,6,23,2,30,0,0,0,2,16,940,101.5,1.3,0.238,,,,,, +2013,6,23,3,30,0,0,0,2,16,940,110.7,1.2,0.238,,,,,, +2013,6,23,4,30,0,0,0,2,16,940,119.2,1.5,0.238,,,,,, +2013,6,23,5,30,469,42,119,2,18,940,126.5,2,0.238,,,,,, +2013,6,23,6,30,711,68,324,1,21,940,132.6,1.7,0.238,,,,,, +2013,6,23,7,30,836,81,538,0,24,940,129.5,1.5,0.238,,,,,, +2013,6,23,8,30,898,92,733,0,26,940,24.3,2.4,0.238,,,,,, +2013,6,23,9,30,933,102,890,-1,29,940,11.4,3.6,0.238,,,,,, +2013,6,23,10,30,952,108,999,-1,30,940,10.7,4.5,0.238,,,,,, +2013,6,23,11,30,463,446,899,-1,31,940,13.3,5.1,0.238,,,,,, +2013,6,23,12,30,672,313,965,0,32,940,16.2,5.5,0.238,,,,,, +2013,6,23,13,30,464,388,810,0,32,930,18.2,5.7,0.238,,,,,, +2013,6,23,14,30,295,373,610,0,31,930,19.8,5.8,0.238,,,,,, +2013,6,23,15,30,719,143,617,2,30,930,23.9,5.7,0.238,,,,,, +2013,6,23,16,30,295,191,334,3,29,930,29.8,5.6,0.238,,,,,, +2013,6,23,17,30,10,99,102,4,26,930,35,4.6,0.238,,,,,, +2013,6,23,18,30,0,1,1,5,24,930,38.2,3.5,0.238,,,,,, +2013,6,23,19,30,0,0,0,6,23,940,44.6,3.5,0.238,,,,,, +2013,6,23,20,30,0,0,0,7,21,940,54.8,3.4,0.238,,,,,, +2013,6,23,21,30,0,0,0,7,20,940,64.6,3,0.238,,,,,, +2013,6,23,22,30,0,0,0,8,18,940,67.5,2.5,0.238,,,,,, +2013,6,23,23,30,0,0,0,8,17,940,71.6,2,0.238,,,,,, +2013,6,24,0,30,0,0,0,8,17,940,77.7,1.6,0.238,,,,,, +2013,6,24,1,30,0,0,0,8,16,940,75.7,1.3,0.238,,,,,, +2013,6,24,2,30,0,0,0,9,15,940,70.4,1.3,0.238,,,,,, +2013,6,24,3,30,0,0,0,9,15,940,63.5,1.4,0.238,,,,,, +2013,6,24,4,30,0,0,0,10,15,940,63.9,2.1,0.238,,,,,, +2013,6,24,5,30,62,56,67,10,16,940,70,2.8,0.238,,,,,, +2013,6,24,6,30,525,93,281,10,18,940,86.6,2.8,0.238,,,,,, +2013,6,24,7,30,496,173,445,10,20,940,73.1,2.7,0.238,,,,,, +2013,6,24,8,30,611,199,635,9,23,940,45.7,3.2,0.238,,,,,, +2013,6,24,9,30,720,200,808,9,25,940,36,3.9,0.238,,,,,, +2013,6,24,10,30,501,395,864,10,26,940,33.1,4.6,0.238,,,,,, +2013,6,24,11,30,389,439,820,10,26,940,32.9,5.4,0.238,,,,,, +2013,6,24,12,30,266,485,743,10,27,940,33.2,5.8,0.238,,,,,, +2013,6,24,13,30,892,114,925,10,27,940,34,6.1,0.238,,,,,, +2013,6,24,14,30,870,104,803,10,28,940,35.3,6.2,0.238,,,,,, +2013,6,24,15,30,818,96,635,10,27,940,36.6,6.1,0.238,,,,,, +2013,6,24,16,30,747,79,440,10,26,940,38.2,5.9,0.238,,,,,, +2013,6,24,17,30,274,102,182,11,25,940,39.4,4.8,0.238,,,,,, +2013,6,24,18,30,287,28,56,12,23,940,40.9,3.6,0.238,,,,,, +2013,6,24,19,30,0,0,0,12,21,940,45.9,3.3,0.238,,,,,, +2013,6,24,20,30,0,0,0,13,20,940,56.2,3.2,0.238,,,,,, +2013,6,24,21,30,0,0,0,13,20,940,69.5,2.7,0.238,,,,,, +2013,6,24,22,30,0,0,0,13,19,940,81,2.1,0.238,,,,,, +2013,6,24,23,30,0,0,0,13,18,940,91.3,1.6,0.238,,,,,, +2013,6,25,0,30,0,0,0,13,18,940,100.3,1.4,0.238,,,,,, +2013,6,25,1,30,0,0,0,13,17,940,105.6,1.2,0.238,,,,,, +2013,6,25,2,30,0,0,0,13,16,940,108.1,1.1,0.238,,,,,, +2013,6,25,3,30,0,0,0,13,16,940,110.3,0.9,0.238,,,,,, +2013,6,25,4,30,0,0,0,13,16,940,114,1.4,0.238,,,,,, +2013,6,25,5,30,468,38,114,13,18,940,126,2,0.238,,,,,, +2013,6,25,6,30,700,60,310,12,20,940,142.6,1.5,0.238,,,,,, +2013,6,25,7,30,824,69,518,12,23,940,124.6,0.6,0.238,,,,,, +2013,6,25,8,30,883,77,706,11,25,940,38.7,0.7,0.238,,,,,, +2013,6,25,9,30,918,84,859,11,28,940,0.1,1.2,0.238,,,,,, +2013,6,25,10,30,920,100,961,10,31,940,354.9,1.7,0.238,,,,,, +2013,6,25,11,30,927,104,1010,10,32,940,357.9,2,0.238,,,,,, +2013,6,25,12,30,923,104,998,10,33,940,3.4,2.1,0.238,,,,,, +2013,6,25,13,30,920,94,930,10,34,940,6.1,2.2,0.238,,,,,, +2013,6,25,14,30,894,88,807,10,33,940,5,2.3,0.238,,,,,, +2013,6,25,15,30,848,81,640,10,32,940,1.6,2.3,0.238,,,,,, +2013,6,25,16,30,782,68,446,10,31,940,357,2.4,0.236,,,,,, +2013,6,25,17,30,644,52,241,10,29,940,352.3,1.9,0.236,,,,,, +2013,6,25,18,30,339,26,58,10,27,940,347.1,1.4,0.236,,,,,, +2013,6,25,19,30,0,0,0,11,25,940,346.4,1.6,0.236,,,,,, +2013,6,25,20,30,0,0,0,11,23,940,350.2,1.9,0.236,,,,,, +2013,6,25,21,30,0,0,0,11,22,940,355.1,2.1,0.236,,,,,, +2013,6,25,22,30,0,0,0,11,22,940,0.2,2.4,0.236,,,,,, +2013,6,25,23,30,0,0,0,11,21,940,4.5,2.5,0.236,,,,,, +2013,6,26,0,30,0,0,0,11,20,940,7.3,2,0.236,,,,,, +2013,6,26,1,30,0,0,0,12,20,940,10.3,1.4,0.236,,,,,, +2013,6,26,2,30,0,0,0,12,19,940,11.2,1,0.236,,,,,, +2013,6,26,3,30,0,0,0,12,19,940,4.2,0.8,0.236,,,,,, +2013,6,26,4,30,0,0,0,12,19,940,342.2,1.1,0.236,,,,,, +2013,6,26,5,30,473,37,113,12,21,940,318.7,1.6,0.236,,,,,, +2013,6,26,6,30,702,60,310,12,25,940,312.2,2.1,0.236,,,,,, +2013,6,26,7,30,824,70,519,12,28,940,321.1,2.9,0.236,,,,,, +2013,6,26,8,30,886,80,709,10,31,940,327.8,3.4,0.236,,,,,, +2013,6,26,9,30,923,86,865,9,33,940,331.7,3.7,0.236,,,,,, +2013,6,26,10,30,942,92,973,7,35,940,338,3.8,0.236,,,,,, +2013,6,26,11,30,952,94,1025,6,36,940,344.9,3.9,0.236,,,,,, +2013,6,26,12,30,949,95,1015,5,37,940,351.6,3.8,0.236,,,,,, +2013,6,26,13,30,929,98,943,5,38,940,357.6,3.7,0.236,,,,,, +2013,6,26,14,30,900,93,817,4,38,940,2.5,3.5,0.236,,,,,, +2013,6,26,15,30,851,86,647,5,38,940,7.9,3.2,0.236,,,,,, +2013,6,26,16,30,784,71,451,5,37,940,13.2,2.9,0.236,,,,,, +2013,6,26,17,30,643,55,243,5,34,940,17.4,1.9,0.236,,,,,, +2013,6,26,18,30,333,27,58,5,31,940,19.3,1.1,0.236,,,,,, +2013,6,26,19,30,0,0,0,6,28,940,20.4,1.1,0.236,,,,,, +2013,6,26,20,30,0,0,0,6,27,940,23.6,1.2,0.236,,,,,, +2013,6,26,21,30,0,0,0,6,26,940,29.3,1.5,0.236,,,,,, +2013,6,26,22,30,0,0,0,7,24,940,36,1.7,0.236,,,,,, +2013,6,26,23,30,0,0,0,7,23,940,42.6,1.7,0.236,,,,,, +2013,6,27,0,30,0,0,0,7,22,940,50.8,1.4,0.236,,,,,, +2013,6,27,1,30,0,0,0,7,22,940,61.6,1.1,0.236,,,,,, +2013,6,27,2,30,0,0,0,7,21,940,76.6,0.9,0.236,,,,,, +2013,6,27,3,30,0,0,0,7,21,940,92.8,0.8,0.236,,,,,, +2013,6,27,4,30,0,0,0,7,21,940,112.5,0.6,0.236,,,,,, +2013,6,27,5,30,489,36,114,7,23,940,136.7,0.4,0.236,,,,,, +2013,6,27,6,30,715,58,313,7,27,950,120.3,0.7,0.236,,,,,, +2013,6,27,7,30,828,70,521,7,31,950,11.7,1.3,0.236,,,,,, +2013,6,27,8,30,887,80,710,7,34,950,351.2,1.7,0.236,,,,,, +2013,6,27,9,30,923,88,866,7,36,950,343,1.9,0.236,,,,,, +2013,6,27,10,30,940,95,973,6,37,950,343.6,2,0.236,,,,,, +2013,6,27,11,30,949,98,1025,6,38,950,347.9,1.9,0.236,,,,,, +2013,6,27,12,30,947,98,1016,6,39,940,354.9,1.8,0.236,,,,,, +2013,6,27,13,30,911,111,940,6,40,940,6.2,1.8,0.236,,,,,, +2013,6,27,14,30,878,107,814,6,40,940,19.6,1.8,0.236,,,,,, +2013,6,27,15,30,824,100,643,6,39,940,31.4,1.8,0.236,,,,,, +2013,6,27,16,30,761,79,448,6,38,940,42.8,1.8,0.236,,,,,, +2013,6,27,17,30,609,62,240,6,36,940,51.1,1.4,0.236,,,,,, +2013,6,27,18,30,292,29,57,6,33,940,52.4,1.1,0.236,,,,,, +2013,6,27,19,30,0,0,0,6,31,940,50.1,1.2,0.236,,,,,, +2013,6,27,20,30,0,0,0,5,29,940,50.7,1.4,0.236,,,,,, +2013,6,27,21,30,0,0,0,5,28,940,56.2,1.5,0.236,,,,,, +2013,6,27,22,30,0,0,0,5,27,940,66,1.6,0.236,,,,,, +2013,6,27,23,30,0,0,0,6,26,940,80.8,1.6,0.236,,,,,, +2013,6,28,0,30,0,0,0,7,25,940,98.2,1.7,0.236,,,,,, +2013,6,28,1,30,0,0,0,9,24,940,116.2,2,0.236,,,,,, +2013,6,28,2,30,0,0,0,10,24,940,130,2.5,0.236,,,,,, +2013,6,28,3,30,0,0,0,10,23,940,138.1,2.9,0.236,,,,,, +2013,6,28,4,30,0,0,0,10,23,940,142.8,3.6,0.236,,,,,, +2013,6,28,5,30,404,43,107,10,25,940,145.3,4,0.236,,,,,, +2013,6,28,6,30,652,72,303,10,29,940,145.3,4.2,0.236,,,,,, +2013,6,28,7,30,796,82,514,10,33,940,150.2,4.2,0.236,,,,,, +2013,6,28,8,30,856,96,703,9,36,940,155.9,3.4,0.236,,,,,, +2013,6,28,9,30,887,109,857,8,38,940,153.2,2.5,0.236,,,,,, +2013,6,28,10,30,870,141,954,7,39,940,140.2,2.2,0.236,,,,,, +2013,6,28,11,30,883,143,1006,6,40,940,125.5,2.1,0.236,,,,,, +2013,6,28,12,30,890,137,999,5,41,940,115.1,2.2,0.236,,,,,, +2013,6,28,13,30,869,137,928,5,42,940,107.8,2.4,0.236,,,,,, +2013,6,28,14,30,847,124,806,5,42,940,101.5,2.8,0.236,,,,,, +2013,6,28,15,30,800,110,638,5,41,940,98.1,3.1,0.236,,,,,, +2013,6,28,16,30,697,99,438,4,40,940,97,2.8,0.236,,,,,, +2013,6,28,17,30,540,74,232,4,37,940,96.3,1.8,0.236,,,,,, +2013,6,28,18,30,226,31,53,4,34,940,92.9,1.3,0.236,,,,,, +2013,6,28,19,30,0,0,0,4,32,940,91.2,1.5,0.236,,,,,, +2013,6,28,20,30,0,0,0,4,31,940,96.2,1.7,0.236,,,,,, +2013,6,28,21,30,0,0,0,5,29,940,107.9,2,0.236,,,,,, +2013,6,28,22,30,0,0,0,5,28,940,119.7,2.5,0.236,,,,,, +2013,6,28,23,30,0,0,0,6,27,940,127.5,3,0.236,,,,,, +2013,6,29,0,30,0,0,0,6,26,940,132.3,3.3,0.236,,,,,, +2013,6,29,1,30,0,0,0,6,25,940,135.6,3.3,0.236,,,,,, +2013,6,29,2,30,0,0,0,6,25,940,138,3.2,0.236,,,,,, +2013,6,29,3,30,0,0,0,6,24,940,140.9,3.2,0.236,,,,,, +2013,6,29,4,30,0,0,0,6,25,940,144.5,3.6,0.236,,,,,, +2013,6,29,5,30,291,54,100,7,27,940,149.2,3.9,0.236,,,,,, +2013,6,29,6,30,517,104,288,7,30,940,154,3.7,0.236,,,,,, +2013,6,29,7,30,560,173,476,7,34,940,163.6,3.5,0.236,,,,,, +2013,6,29,8,30,647,204,662,6,38,940,179.8,2.7,0.236,,,,,, +2013,6,29,9,30,713,217,817,3,40,940,196,1.5,0.236,,,,,, +2013,6,29,10,30,628,309,895,3,41,940,223.3,0.8,0.236,,,,,, +2013,6,29,11,30,660,304,949,2,42,940,329.1,1.2,0.236,,,,,, +2013,6,29,12,30,672,292,943,2,43,940,8.7,2.2,0.236,,,,,, +2013,6,29,13,30,612,308,865,2,44,940,23.6,3,0.236,,,,,, +2013,6,29,14,30,576,282,746,1,43,940,32.4,3.7,0.236,,,,,, +2013,6,29,15,30,511,246,583,2,42,940,38.8,4.2,0.236,,,,,, +2013,6,29,16,30,388,202,391,2,41,940,40.8,4.4,0.236,,,,,, +2013,6,29,17,30,251,125,198,2,39,940,37.5,3.6,0.236,,,,,, +2013,6,29,18,30,69,30,37,3,35,940,31,3,0.236,,,,,, +2013,6,29,19,30,0,0,0,4,33,940,27.3,3.1,0.236,,,,,, +2013,6,29,20,30,0,0,0,5,32,940,23.2,3.1,0.236,,,,,, +2013,6,29,21,30,0,0,0,6,30,940,21.6,3.1,0.236,,,,,, +2013,6,29,22,30,0,0,0,6,29,940,24.2,2.8,0.236,,,,,, +2013,6,29,23,30,0,0,0,6,28,940,31.2,2.3,0.236,,,,,, +2013,6,30,0,30,0,0,0,6,27,940,38.1,1.6,0.236,,,,,, +2013,6,30,1,30,0,0,0,6,26,940,42.6,1.1,0.236,,,,,, +2013,6,30,2,30,0,0,0,6,26,940,44.9,0.8,0.236,,,,,, +2013,6,30,3,30,0,0,0,6,25,940,45.6,0.5,0.236,,,,,, +2013,6,30,4,30,0,0,0,6,26,940,41.2,0.4,0.236,,,,,, +2013,6,30,5,30,158,60,84,5,28,940,323.6,0.7,0.236,,,,,, +2013,6,30,6,30,366,137,266,5,32,940,311.6,1.5,0.236,,,,,, +2013,6,30,7,30,626,144,483,5,36,940,333.3,2.4,0.236,,,,,, +2013,6,30,8,30,709,168,670,3,39,940,342,3,0.236,,,,,, +2013,6,30,9,30,759,185,824,2,42,940,345.8,3.6,0.236,,,,,, +2013,6,30,10,30,752,220,922,2,43,940,346.8,4.1,0.236,,,,,, +2013,6,30,11,30,755,233,971,2,44,940,349.6,4.4,0.236,,,,,, +2013,6,30,12,30,741,241,959,2,44,940,355.8,4.5,0.236,,,,,, +2013,6,30,13,30,665,272,878,3,44,940,7.6,4.6,0.236,,,,,, +2013,6,30,14,30,307,375,623,2,44,940,22.1,4.7,0.236,,,,,, +2013,6,30,15,30,557,203,571,2,43,940,34.2,4.6,0.236,,,,,, +2011,6,30,16,30,810,74,468,-1,33,940,108.2,1.4,0.232,,,,,, +2011,6,30,17,30,673,57,254,-1,31,940,103.1,1,0.232,,,,,, +2011,6,30,18,30,360,27,62,0,29,940,77.7,0.9,0.232,,,,,, +2011,6,30,19,30,0,0,0,0,27,940,65.9,1.2,0.232,,,,,, +2011,6,30,20,30,0,0,0,0,26,940,66.4,1.3,0.232,,,,,, +2011,6,30,21,30,0,0,0,0,24,940,72.4,1.4,0.232,,,,,, +2011,6,30,22,30,0,0,0,0,22,940,83.7,1.4,0.232,,,,,, +2011,6,30,23,30,0,0,0,1,20,940,100.5,1.4,0.232,,,,,, +2011,7,1,0,30,0,0,0,3,19,940,120,1.4,0.232,,,,,, +2011,7,1,1,30,0,0,0,4,18,940,138.5,1.5,0.232,,,,,, +2011,7,1,2,30,0,0,0,5,17,940,154.4,1.6,0.232,,,,,, +2011,7,1,3,30,0,0,0,6,17,940,168.7,1.7,0.232,,,,,, +2011,7,1,4,30,0,0,0,6,18,940,180.3,2,0.232,,,,,, +2011,7,1,5,30,484,38,114,6,20,940,188.2,2.7,0.232,,,,,, +2011,7,1,6,30,723,63,318,6,24,940,194.9,3,0.232,,,,,, +2011,7,1,7,30,842,76,532,5,29,940,208.7,2.7,0.232,,,,,, +2011,7,1,8,30,905,87,727,1,32,940,224.7,2.2,0.232,,,,,, +2011,7,1,9,30,942,96,888,0,34,940,237.8,1.7,0.232,,,,,, +2011,7,1,10,30,945,112,994,0,36,940,241.7,1.2,0.232,,,,,, +2011,7,1,11,30,954,115,1047,0,37,940,242.6,0.7,0.232,,,,,, +2011,7,1,12,30,953,115,1039,-1,37,940,236.3,0.3,0.232,,,,,, +2011,7,1,13,30,921,125,963,-1,38,940,191.6,0.2,0.232,,,,,, +2011,7,1,14,30,893,118,837,-2,38,940,136.9,0.2,0.232,,,,,, +2011,7,1,15,30,846,106,665,-3,38,940,127,0.2,0.232,,,,,, +2011,7,1,16,30,795,81,467,-3,37,940,133,0.1,0.232,,,,,, +2011,7,1,17,30,652,62,253,-3,35,940,148.7,0.1,0.232,,,,,, +2011,7,1,18,30,331,29,61,-2,33,940,356.2,0.5,0.232,,,,,, +2011,7,1,19,30,0,0,0,-2,31,940,11.2,0.8,0.232,,,,,, +2011,7,1,20,30,0,0,0,-2,30,940,24.3,1,0.232,,,,,, +2011,7,1,21,30,0,0,0,-1,28,940,36.4,1.1,0.232,,,,,, +2011,7,1,22,30,0,0,0,0,26,940,46.8,1,0.232,,,,,, +2011,7,1,23,30,0,0,0,1,24,940,59.9,0.8,0.232,,,,,, +2011,7,2,0,30,0,0,0,2,22,940,84.9,0.7,0.232,,,,,, +2011,7,2,1,30,0,0,0,4,21,940,135.8,0.8,0.232,,,,,, +2011,7,2,2,30,0,0,0,5,20,940,172.7,1,0.232,,,,,, +2011,7,2,3,30,0,0,0,6,20,940,193,1.2,0.232,,,,,, +2011,7,2,4,30,0,0,0,6,21,940,206.3,1.2,0.232,,,,,, +2011,7,2,5,30,420,44,108,6,24,940,214.9,1.5,0.232,,,,,, +2011,7,2,6,30,667,76,311,6,27,940,217.9,1.8,0.232,,,,,, +2011,7,2,7,30,817,89,530,5,32,940,217.9,1.6,0.232,,,,,, +2011,7,2,8,30,885,103,728,0,36,940,267,1.9,0.232,,,,,, +2011,7,2,9,30,925,113,890,-3,38,940,313.6,2.4,0.232,,,,,, +2011,7,2,10,30,957,114,1006,-4,39,940,332.6,2.6,0.232,,,,,, +2011,7,2,11,30,967,116,1060,-5,40,940,346.6,2.7,0.232,,,,,, +2011,7,2,12,30,966,116,1051,-6,41,940,356.5,2.6,0.232,,,,,, +2011,7,2,13,30,954,111,979,-6,42,940,1.8,2.4,0.232,,,,,, +2011,7,2,14,30,925,105,850,-7,42,940,6,2.3,0.232,,,,,, +2011,7,2,15,30,875,96,674,-6,41,940,12.3,2.1,0.232,,,,,, +2011,7,2,16,30,796,81,468,-6,40,930,22.5,1.8,0.232,,,,,, +2011,7,2,17,30,645,62,252,-5,36,940,37,1.2,0.232,,,,,, +2011,7,2,18,30,322,29,60,-3,32,940,62.8,1,0.232,,,,,, +2011,7,2,19,30,0,0,0,-1,30,940,74.5,1.1,0.232,,,,,, +2011,7,2,20,30,0,0,0,0,28,940,73.8,1.2,0.232,,,,,, +2011,7,2,21,30,0,0,0,1,26,940,68.7,1.2,0.232,,,,,, +2011,7,2,22,30,0,0,0,2,25,940,63.2,1.1,0.232,,,,,, +2011,7,2,23,30,0,0,0,2,24,940,53,0.9,0.232,,,,,, +2011,7,3,0,30,0,0,0,2,24,940,34.5,0.7,0.232,,,,,, +2011,7,3,1,30,0,0,0,2,23,940,31.1,0.6,0.232,,,,,, +2011,7,3,2,30,0,0,0,2,23,940,49.3,0.7,0.232,,,,,, +2011,7,3,3,30,0,0,0,2,22,940,15.4,1.3,0.232,,,,,, +2011,7,3,4,30,0,0,0,2,23,940,340.3,2.7,0.232,,,,,, +2011,7,3,5,30,254,56,94,2,25,940,330.3,4.2,0.232,,,,,, +2011,7,3,6,30,448,118,274,2,28,940,338.1,5.1,0.232,,,,,, +2011,7,3,7,30,194,234,338,3,32,940,336.6,5.1,0.232,,,,,, +2011,7,3,8,30,668,183,654,6,35,940,333.7,5,0.232,,,,,, +2011,7,3,9,30,401,352,689,7,36,940,336.3,4.8,0.232,,,,,, +2011,7,3,10,30,17,293,309,7,38,940,341.1,4.6,0.232,,,,,, +2011,7,3,11,30,419,445,854,7,39,940,345.2,4.5,0.232,,,,,, +2011,7,3,12,30,92,481,571,8,39,940,349,4.5,0.232,,,,,, +2011,7,3,13,30,0,107,107,8,40,940,354.4,4.5,0.232,,,,,, +2011,7,3,14,30,534,277,707,9,39,940,2.2,4.6,0.232,,,,,, +2011,7,3,15,30,555,217,583,9,39,940,11,4.9,0.232,,,,,, +2011,7,3,16,30,437,181,393,10,38,940,18.4,5.1,0.227,,,,,, +2011,7,3,17,30,267,122,200,10,35,940,23,5.2,0.227,,,,,, +2011,7,3,18,30,49,34,39,10,32,940,26,5,0.227,,,,,, +2011,7,3,19,30,0,0,0,10,30,940,27.3,4.8,0.227,,,,,, +2011,7,3,20,30,0,0,0,9,29,940,26.6,4.4,0.227,,,,,, +2011,7,3,21,30,0,0,0,9,27,940,24.2,3.9,0.227,,,,,, +2011,7,3,22,30,0,0,0,9,26,940,19.3,3.4,0.227,,,,,, +2011,7,3,23,30,0,0,0,9,25,940,12.1,3,0.227,,,,,, +2011,7,4,0,30,0,0,0,10,24,940,2.7,2.7,0.227,,,,,, +2011,7,4,1,30,0,0,0,10,24,940,356.6,2.6,0.227,,,,,, +2011,7,4,2,30,0,0,0,11,23,940,357.7,2.5,0.227,,,,,, +2011,7,4,3,30,0,0,0,13,23,940,6.5,2.3,0.227,,,,,, +2011,7,4,4,30,0,0,0,14,24,940,19.4,2.2,0.227,,,,,, +2011,7,4,5,30,213,55,87,15,26,940,31.7,2.2,0.227,,,,,, +2011,7,4,6,30,457,107,267,15,29,940,33.1,2.1,0.227,,,,,, +2011,7,4,7,30,647,123,471,16,31,940,12.1,2.1,0.227,,,,,, +2011,7,4,8,30,381,290,559,16,32,940,345.3,2.2,0.227,,,,,, +2011,7,4,9,30,541,310,764,16,33,940,329.6,2.3,0.227,,,,,, +2011,7,4,10,30,439,405,814,16,34,940,323.5,2.3,0.227,,,,,, +2011,7,4,11,30,787,189,957,16,35,940,323.6,2.1,0.227,,,,,, +2011,7,4,12,30,785,188,948,16,35,940,328.5,1.9,0.227,,,,,, +2011,7,4,13,30,809,154,891,16,35,940,343.7,1.7,0.227,,,,,, +2011,7,4,14,30,787,140,773,15,35,940,1.8,1.7,0.227,,,,,, +2011,7,4,15,30,742,122,612,15,34,940,12.9,1.9,0.227,,,,,, +2011,7,4,16,30,667,100,423,14,33,940,16.3,2.2,0.227,,,,,, +2011,7,4,17,30,513,74,224,14,32,940,17.3,2.1,0.227,,,,,, +2011,7,4,18,30,0,29,29,14,30,940,20.2,1.7,0.227,,,,,, +2011,7,4,19,30,0,0,0,14,28,940,21.4,1.7,0.227,,,,,, +2011,7,4,20,30,0,0,0,15,27,940,23.1,2.1,0.227,,,,,, +2011,7,4,21,30,0,0,0,15,26,940,25.9,2.2,0.227,,,,,, +2011,7,4,22,30,0,0,0,15,25,940,26.7,2.1,0.227,,,,,, +2011,7,4,23,30,0,0,0,15,25,940,23.2,1.8,0.227,,,,,, +2011,7,5,0,30,0,0,0,16,24,940,16.8,1.4,0.227,,,,,, +2011,7,5,1,30,0,0,0,16,23,940,13,1,0.227,,,,,, +2011,7,5,2,30,0,0,0,16,23,940,10,0.7,0.227,,,,,, +2011,7,5,3,30,0,0,0,16,23,940,358.8,0.6,0.227,,,,,, +2011,7,5,4,30,0,0,0,15,23,940,325.6,0.9,0.227,,,,,, +2011,7,5,5,30,0,39,39,15,25,940,302.7,1.6,0.227,,,,,, +2011,7,5,6,30,491,94,265,14,28,940,308.6,2.2,0.227,,,,,, +2011,7,5,7,30,192,232,336,14,31,940,308.5,2.7,0.227,,,,,, +2011,7,5,8,30,384,290,561,15,33,940,315.6,3.1,0.227,,,,,, +2011,7,5,9,30,538,310,762,15,34,940,322.9,3.3,0.227,,,,,, +2011,7,5,10,30,693,240,885,15,34,940,330,3.4,0.227,,,,,, +2011,7,5,11,30,276,485,755,16,34,940,337.3,3.3,0.227,,,,,, +2011,7,5,12,30,157,497,649,16,34,940,344.3,3.2,0.227,,,,,, +2011,7,5,13,30,759,187,878,16,33,940,350.7,2.9,0.227,,,,,, +2011,7,5,14,30,0,49,49,16,33,940,354.9,2.7,0.227,,,,,, +2011,7,5,15,30,505,220,553,16,32,940,359.1,2.4,0.227,,,,,, +2011,7,5,16,30,641,107,418,16,31,940,5.3,2,0.227,,,,,, +2011,7,5,17,30,224,107,172,17,30,940,12.1,1.4,0.227,,,,,, +2011,7,5,18,30,188,32,50,17,28,940,16,1,0.227,,,,,, +2011,7,5,19,30,0,0,0,17,27,940,12.9,1,0.227,,,,,, +2011,7,5,20,30,0,0,0,18,26,940,9.9,1.1,0.227,,,,,, +2011,7,5,21,30,0,0,0,17,25,940,10.3,1.2,0.227,,,,,, +2011,7,5,22,30,0,0,0,17,25,940,14.7,1.2,0.227,,,,,, +2011,7,5,23,30,0,0,0,16,24,940,16.9,1.1,0.227,,,,,, +2011,7,6,0,30,0,0,0,16,24,940,9.3,1.1,0.227,,,,,, +2011,7,6,1,30,0,0,0,15,23,940,350.8,1.1,0.227,,,,,, +2011,7,6,2,30,0,0,0,15,22,940,331.4,1.2,0.227,,,,,, +2011,7,6,3,30,0,0,0,15,22,940,319.9,1.3,0.227,,,,,, +2011,7,6,4,30,0,0,0,14,22,940,307.8,1.2,0.227,,,,,, +2011,7,6,5,30,289,47,90,14,24,940,305.3,1,0.227,,,,,, +2011,7,6,6,30,535,89,274,15,27,940,311.5,1,0.227,,,,,, +2011,7,6,7,30,19,190,200,16,30,940,314.9,1,0.227,,,,,, +2011,7,6,8,30,3,162,165,16,32,940,330.1,1.1,0.227,,,,,, +2011,7,6,9,30,12,238,249,16,33,940,345.2,1.2,0.227,,,,,, +2011,7,6,10,30,48,414,459,15,34,940,355.9,1.4,0.227,,,,,, +2011,7,6,11,30,528,407,922,15,35,940,3,1.5,0.227,,,,,, +2011,7,6,12,30,0,69,69,15,36,940,8.1,1.6,0.227,,,,,, +2011,7,6,13,30,295,438,707,14,35,940,10.6,1.7,0.227,,,,,, +2011,7,6,14,30,115,388,481,15,34,940,13.6,1.8,0.227,,,,,, +2011,7,6,15,30,24,243,259,15,33,940,17.6,1.9,0.227,,,,,, +2011,7,6,16,30,123,207,267,15,32,940,21.4,1.8,0.227,,,,,, +2011,7,6,17,30,0,70,70,16,31,940,20.2,1.3,0.227,,,,,, +2011,7,6,18,30,0,21,21,16,30,940,19.9,1,0.227,,,,,, +2011,7,6,19,30,0,0,0,17,28,940,16.4,0.9,0.227,,,,,, +2011,7,6,20,30,0,0,0,17,27,940,21.8,0.9,0.227,,,,,, +2011,7,6,21,30,0,0,0,16,26,940,31.3,0.9,0.227,,,,,, +2011,7,6,22,30,0,0,0,15,25,940,40.4,0.8,0.227,,,,,, +2011,7,6,23,30,0,0,0,15,25,940,49.5,0.8,0.227,,,,,, +2011,7,7,0,30,0,0,0,14,24,940,61.3,0.7,0.227,,,,,, +2011,7,7,1,30,0,0,0,13,24,940,74.9,0.5,0.227,,,,,, +2011,7,7,2,30,0,0,0,13,23,940,93.3,0.4,0.227,,,,,, +2011,7,7,3,30,0,0,0,13,23,940,120.6,0.4,0.227,,,,,, +2011,7,7,4,30,0,0,0,13,23,940,150.3,0.5,0.227,,,,,, +2011,7,7,5,30,208,48,78,13,25,940,180.6,1,0.227,,,,,, +2011,7,7,6,30,463,105,265,14,27,940,187.3,0.9,0.227,,,,,, +2011,7,7,7,30,668,115,471,15,30,940,194.3,0.5,0.227,,,,,, +2011,7,7,8,30,751,131,658,15,32,940,3.5,0.9,0.227,,,,,, +2011,7,7,9,30,800,142,811,15,33,940,4.8,1.8,0.227,,,,,, +2011,7,7,10,30,830,148,919,15,34,940,10.4,2.4,0.227,,,,,, +2011,7,7,11,30,841,151,971,15,35,940,20.1,2.6,0.227,,,,,, +2011,7,7,12,30,518,408,910,15,36,940,28.5,2.6,0.227,,,,,, +2011,7,7,13,30,473,384,815,15,36,940,36.2,2.5,0.227,,,,,, +2011,7,7,14,30,494,274,672,14,35,940,41,2.4,0.227,,,,,, +2011,7,7,15,30,485,227,547,14,35,940,45.1,2.3,0.227,,,,,, +2011,7,7,16,30,404,176,372,13,34,940,51.7,2,0.227,,,,,, +2011,7,7,17,30,431,90,216,12,33,940,58.5,1.4,0.227,,,,,, +2011,7,7,18,30,148,34,47,12,31,940,59.5,0.9,0.227,,,,,, +2011,7,7,19,30,0,0,0,12,29,940,52.3,1,0.227,,,,,, +2011,7,7,20,30,0,0,0,11,28,940,45.6,1.2,0.227,,,,,, +2011,7,7,21,30,0,0,0,11,26,940,51.8,1.3,0.227,,,,,, +2011,7,7,22,30,0,0,0,11,25,940,70.4,1.3,0.227,,,,,, +2011,7,7,23,30,0,0,0,11,25,940,92.2,1.4,0.227,,,,,, +2011,7,8,0,30,0,0,0,12,24,940,107.8,1.5,0.227,,,,,, +2011,7,8,1,30,0,0,0,11,24,940,119.2,1.4,0.227,,,,,, +2011,7,8,2,30,0,0,0,11,23,940,126.7,1.2,0.227,,,,,, +2011,7,8,3,30,0,0,0,11,22,940,134.6,1,0.227,,,,,, +2011,7,8,4,30,0,0,0,11,22,940,143.4,1.2,0.227,,,,,, +2011,7,8,5,30,242,51,86,11,24,940,153.5,1.9,0.227,,,,,, +2011,7,8,6,30,498,98,269,11,27,940,167.3,2.1,0.227,,,,,, +2011,7,8,7,30,700,105,478,12,30,940,187.8,1.3,0.227,,,,,, +2011,7,8,8,30,776,121,665,12,33,940,222.8,0.7,0.227,,,,,, +2011,7,8,9,30,821,133,820,12,34,940,344.4,1.2,0.227,,,,,, +2011,7,8,10,30,798,175,916,12,35,940,2.2,1.8,0.227,,,,,, +2011,7,8,11,30,816,175,969,12,36,940,12.7,2.2,0.227,,,,,, +2011,7,8,12,30,819,171,963,12,37,940,22.1,2.5,0.227,,,,,, +2011,7,8,13,30,763,193,887,12,38,940,30.4,2.6,0.227,,,,,, +2011,7,8,14,30,735,177,768,12,37,940,35.4,2.7,0.227,,,,,, +2011,7,8,15,30,682,156,606,11,37,940,36.1,2.6,0.227,,,,,, +2011,7,8,16,30,645,110,422,11,36,940,32.4,2.3,0.227,,,,,, +2011,7,8,17,30,481,81,222,11,35,940,23.3,1.6,0.227,,,,,, +2011,7,8,18,30,175,33,49,12,32,940,8.7,1.1,0.227,,,,,, +2011,7,8,19,30,0,0,0,12,30,940,2.9,1.2,0.227,,,,,, +2011,7,8,20,30,0,0,0,13,28,940,5.7,1.2,0.227,,,,,, +2011,7,8,21,30,0,0,0,13,26,940,16,1.1,0.227,,,,,, +2011,7,8,22,30,0,0,0,14,25,940,28.6,0.8,0.227,,,,,, +2011,7,8,23,30,0,0,0,14,24,940,62.7,0.5,0.227,,,,,, +2011,7,9,0,30,0,0,0,14,23,940,124.4,0.6,0.227,,,,,, +2011,7,9,1,30,0,0,0,14,23,940,151,0.8,0.227,,,,,, +2011,7,9,2,30,0,0,0,13,22,940,169.6,0.9,0.227,,,,,, +2011,7,9,3,30,0,0,0,13,22,940,183.9,1,0.227,,,,,, +2011,7,9,4,30,0,0,0,13,22,940,193.9,1.4,0.227,,,,,, +2011,7,9,5,30,316,45,90,12,24,940,203.5,2,0.227,,,,,, +2011,7,9,6,30,579,81,279,11,27,940,205.9,2,0.227,,,,,, +2011,7,9,7,30,736,96,487,11,30,940,223,1.4,0.227,,,,,, +2011,7,9,8,30,811,109,676,12,33,940,282.7,1.5,0.227,,,,,, +2011,7,9,9,30,856,117,832,12,35,940,340.4,2.3,0.227,,,,,, +2011,7,9,10,30,885,119,941,12,37,940,357.5,3.1,0.227,,,,,, +2011,7,9,11,30,893,122,992,12,38,940,6.7,3.7,0.227,,,,,, +2011,7,9,12,30,886,126,982,12,38,940,13,4.2,0.227,,,,,, +2011,7,9,13,30,425,387,773,12,38,940,16.6,4.5,0.227,,,,,, +2011,7,9,14,30,476,307,690,11,38,940,18.7,4.6,0.227,,,,,, +2011,7,9,15,30,701,150,613,11,37,940,19.5,4.5,0.227,,,,,, +2011,7,9,16,30,0,73,73,10,36,940,19.1,4.2,0.227,,,,,, +2011,7,9,17,30,491,79,222,9,34,940,17.1,2.8,0.227,,,,,, +2011,7,9,18,30,233,31,52,8,31,940,9.7,1.8,0.227,,,,,, +2011,7,9,19,30,0,0,0,7,29,940,2.8,1.9,0.227,,,,,, +2011,7,9,20,30,0,0,0,7,28,940,359.8,2.1,0.227,,,,,, +2011,7,9,21,30,0,0,0,6,26,940,1.4,2,0.227,,,,,, +2011,7,9,22,30,0,0,0,5,24,940,2.8,1.6,0.227,,,,,, +2011,7,9,23,30,0,0,0,5,23,940,357,1.2,0.227,,,,,, +2011,7,10,0,30,0,0,0,4,22,940,333.2,1.1,0.227,,,,,, +2011,7,10,1,30,0,0,0,4,22,940,307.1,1.5,0.227,,,,,, +2011,7,10,2,30,0,0,0,3,21,940,296.4,2.1,0.227,,,,,, +2011,7,10,3,30,0,0,0,3,21,940,298.8,2.5,0.227,,,,,, +2011,7,10,4,30,0,0,0,3,21,940,304.4,2.9,0.227,,,,,, +2011,7,10,5,30,441,36,99,3,23,940,313.8,3.6,0.227,,,,,, +2011,7,10,6,30,694,62,298,4,27,940,326,4.1,0.227,,,,,, +2011,7,10,7,30,817,77,510,4,30,940,328.8,4.2,0.227,,,,,, +2011,7,10,8,30,883,88,705,4,33,940,331.4,4.3,0.227,,,,,, +2011,7,10,9,30,922,96,865,4,35,940,336.4,4.4,0.227,,,,,, +2011,7,10,10,30,936,106,974,4,36,940,341.6,4.3,0.227,,,,,, +2011,7,10,11,30,943,109,1026,3,37,940,345.7,4.1,0.227,,,,,, +2011,7,10,12,30,937,111,1016,3,38,940,349.8,3.8,0.227,,,,,, +2011,7,10,13,30,903,122,942,3,38,940,356.1,3.4,0.227,,,,,, +2011,7,10,14,30,874,116,818,3,38,940,1.9,3.2,0.227,,,,,, +2011,7,10,15,30,825,105,649,2,37,940,4.6,3,0.227,,,,,, +2011,7,10,16,30,750,88,450,2,36,940,3.8,2.8,0.227,,,,,, +2011,7,10,17,30,595,67,240,2,33,940,0.3,2,0.227,,,,,, +2011,7,10,18,30,264,30,55,3,30,940,351.1,1.5,0.227,,,,,, +2011,7,10,19,30,0,0,0,4,28,940,347.1,2.2,0.227,,,,,, +2011,7,10,20,30,0,0,0,3,26,940,351.4,3,0.227,,,,,, +2011,7,10,21,30,0,0,0,3,25,940,0,3.3,0.227,,,,,, +2011,7,10,22,30,0,0,0,3,23,940,5.7,2.8,0.227,,,,,, +2011,7,10,23,30,0,0,0,2,22,940,7.5,2,0.227,,,,,, +2011,7,11,0,30,0,0,0,2,21,940,4.4,1.5,0.227,,,,,, +2011,7,11,1,30,0,0,0,2,20,940,355.2,1.3,0.227,,,,,, +2011,7,11,2,30,0,0,0,2,19,940,346.3,1.2,0.227,,,,,, +2011,7,11,3,30,0,0,0,2,18,940,340.4,1.2,0.227,,,,,, +2011,7,11,4,30,0,0,0,2,18,940,336.9,2,0.227,,,,,, +2011,7,11,5,30,435,38,99,2,20,940,335,3.2,0.227,,,,,, +2011,7,11,6,30,696,65,301,1,23,940,337.7,3.9,0.227,,,,,, +2011,7,11,7,30,824,79,514,2,26,940,340.3,4.2,0.227,,,,,, +2011,7,11,8,30,891,89,710,2,29,940,347.7,4.3,0.227,,,,,, +2011,7,11,9,30,930,95,870,3,32,940,357.1,4.4,0.227,,,,,, +2011,7,11,10,30,938,108,978,3,34,940,0.9,4.4,0.227,,,,,, +2011,7,11,11,30,943,113,1029,3,35,940,2.8,4.4,0.227,,,,,, +2011,7,11,12,30,934,116,1019,3,36,940,4.9,4.3,0.227,,,,,, +2011,7,11,13,30,922,112,949,2,36,940,8.3,4.2,0.227,,,,,, +2011,7,11,14,30,890,108,823,2,36,940,12.7,4.1,0.227,,,,,, +2011,7,11,15,30,835,102,652,2,35,940,17.8,4.1,0.227,,,,,, +2011,7,11,16,30,756,86,451,2,34,940,22.2,3.7,0.228,,,,,, +2011,7,11,17,30,594,67,239,2,31,940,24.8,2.5,0.228,,,,,, +2011,7,11,18,30,261,30,54,2,28,940,27.2,1.6,0.228,,,,,, +2011,7,11,19,30,0,0,0,3,26,940,27.2,1.8,0.228,,,,,, +2011,7,11,20,30,0,0,0,4,24,940,27.7,1.9,0.228,,,,,, +2011,7,11,21,30,0,0,0,5,22,940,30.9,1.9,0.228,,,,,, +2011,7,11,22,30,0,0,0,6,20,940,37.4,1.7,0.228,,,,,, +2011,7,11,23,30,0,0,0,6,19,940,50.1,1.3,0.228,,,,,, +2011,7,12,0,30,0,0,0,6,18,940,70.3,1.1,0.228,,,,,, +2011,7,12,1,30,0,0,0,6,18,940,91.5,0.9,0.228,,,,,, +2011,7,12,2,30,0,0,0,7,17,940,109.9,0.8,0.228,,,,,, +2011,7,12,3,30,0,0,0,7,16,940,126.9,0.7,0.228,,,,,, +2011,7,12,4,30,0,0,0,8,16,940,141.4,0.7,0.228,,,,,, +2011,7,12,5,30,386,41,94,8,18,940,155.4,0.8,0.228,,,,,, +2011,7,12,6,30,680,68,298,8,21,940,149.9,0.6,0.228,,,,,, +2011,7,12,7,30,829,79,516,7,25,940,4.9,1.4,0.228,,,,,, +2011,7,12,8,30,903,88,717,3,28,940,359.1,2.8,0.228,,,,,, +2011,7,12,9,30,946,94,881,1,31,940,359.6,3.7,0.228,,,,,, +2011,7,12,10,30,967,100,996,0,33,940,2.9,4.5,0.228,,,,,, +2011,7,12,11,30,979,101,1053,-1,34,940,7.2,4.9,0.228,,,,,, +2011,7,12,12,30,979,101,1046,-2,34,940,11.7,5,0.228,,,,,, +2011,7,12,13,30,961,102,974,-3,35,940,17.2,4.9,0.228,,,,,, +2011,7,12,14,30,934,97,847,-3,34,940,21.3,4.7,0.228,,,,,, +2011,7,12,15,30,885,90,672,-3,33,940,23.7,4.4,0.228,,,,,, +2011,7,12,16,30,809,76,467,-2,32,940,25.4,3.8,0.228,,,,,, +2011,7,12,17,30,656,60,249,-1,29,940,26,2.5,0.228,,,,,, +2011,7,12,18,30,315,28,57,0,26,940,29.4,1.5,0.228,,,,,, +2011,7,12,19,30,0,0,0,2,24,940,37,1.5,0.228,,,,,, +2011,7,12,20,30,0,0,0,3,22,940,47.8,1.7,0.228,,,,,, +2011,7,12,21,30,0,0,0,4,20,940,62.8,1.9,0.228,,,,,, +2011,7,12,22,30,0,0,0,5,19,940,80.2,2,0.228,,,,,, +2011,7,12,23,30,0,0,0,5,18,940,96.5,2.2,0.228,,,,,, +2011,7,13,0,30,0,0,0,6,17,940,111.1,2.3,0.228,,,,,, +2011,7,13,1,30,0,0,0,6,16,940,122.1,2.1,0.228,,,,,, +2011,7,13,2,30,0,0,0,6,16,940,128.7,1.8,0.228,,,,,, +2011,7,13,3,30,0,0,0,7,15,940,131.8,1.6,0.228,,,,,, +2011,7,13,4,30,0,0,0,7,15,940,131.7,1.7,0.228,,,,,, +2011,7,13,5,30,433,37,96,8,17,940,127.7,2,0.228,,,,,, +2011,7,13,6,30,700,64,299,9,20,940,118.6,1.5,0.228,,,,,, +2011,7,13,7,30,825,79,513,9,23,940,100.6,1.3,0.228,,,,,, +2011,7,13,8,30,893,90,710,7,26,940,20.5,2.1,0.228,,,,,, +2011,7,13,9,30,932,98,873,6,28,940,9.2,3,0.228,,,,,, +2011,7,13,10,30,937,114,982,5,30,940,7,3.7,0.228,,,,,, +2011,7,13,11,30,951,114,1037,4,31,940,9,4.3,0.228,,,,,, +2011,7,13,12,30,951,112,1030,4,32,940,12.2,4.6,0.228,,,,,, +2011,7,13,13,30,945,104,962,3,32,940,15.1,4.7,0.228,,,,,, +2011,7,13,14,30,916,99,835,3,32,940,17.2,4.8,0.228,,,,,, +2011,7,13,15,30,866,92,661,3,31,940,19.7,4.6,0.228,,,,,, +2011,7,13,16,30,792,77,459,3,30,940,21.9,4.3,0.228,,,,,, +2011,7,13,17,30,643,60,245,4,28,940,23.2,2.9,0.228,,,,,, +2011,7,13,18,30,307,27,55,5,25,940,24.9,1.8,0.228,,,,,, +2011,7,13,19,30,0,0,0,6,23,940,32.2,1.8,0.228,,,,,, +2011,7,13,20,30,0,0,0,7,22,940,42.7,1.8,0.228,,,,,, +2011,7,13,21,30,0,0,0,8,20,940,57.6,1.8,0.228,,,,,, +2011,7,13,22,30,0,0,0,9,18,940,75,1.7,0.228,,,,,, +2011,7,13,23,30,0,0,0,9,17,940,92.1,1.7,0.228,,,,,, +2011,7,14,0,30,0,0,0,10,16,940,105.9,1.6,0.228,,,,,, +2011,7,14,1,30,0,0,0,10,16,940,116.9,1.6,0.228,,,,,, +2011,7,14,2,30,0,0,0,11,15,940,125.5,1.5,0.228,,,,,, +2011,7,14,3,30,0,0,0,11,15,940,132.4,1.2,0.228,,,,,, +2011,7,14,4,30,0,0,0,11,15,940,136.3,1.5,0.228,,,,,, +2011,7,14,5,30,385,39,91,11,16,940,136.9,1.8,0.228,,,,,, +2011,7,14,6,30,663,69,290,10,19,940,146.8,1.1,0.228,,,,,, +2011,7,14,7,30,795,87,504,9,22,940,160,1,0.228,,,,,, +2011,7,14,8,30,868,98,701,8,25,940,349.4,2.2,0.228,,,,,, +2011,7,14,9,30,911,107,864,6,27,940,350.6,3.1,0.228,,,,,, +2011,7,14,10,30,931,116,976,5,29,940,353.9,3.7,0.228,,,,,, +2011,7,14,11,30,941,119,1032,3,30,940,358.9,4.1,0.228,,,,,, +2011,7,14,12,30,940,119,1025,3,31,940,3.6,4.3,0.228,,,,,, +2011,7,14,13,30,928,115,956,2,32,940,7.3,4.4,0.228,,,,,, +2011,7,14,14,30,900,108,830,2,32,940,10.9,4.5,0.228,,,,,, +2011,7,14,15,30,851,99,658,2,31,940,14.2,4.4,0.228,,,,,, +2011,7,14,16,30,778,82,456,2,30,940,16.3,4.2,0.228,,,,,, +2011,7,14,17,30,626,62,242,3,27,940,17.2,3.1,0.228,,,,,, +2011,7,14,18,30,290,28,53,4,24,940,17.3,2.2,0.228,,,,,, +2011,7,14,19,30,0,0,0,5,22,940,23.2,2.3,0.228,,,,,, +2011,7,14,20,30,0,0,0,6,20,940,33.5,2.3,0.228,,,,,, +2011,7,14,21,30,0,0,0,7,19,940,46.7,2.2,0.228,,,,,, +2011,7,14,22,30,0,0,0,8,17,940,61.7,1.9,0.228,,,,,, +2011,7,14,23,30,0,0,0,9,16,940,76.7,1.6,0.228,,,,,, +2011,7,15,0,30,0,0,0,9,15,940,91.5,1.4,0.228,,,,,, +2011,7,15,1,30,0,0,0,9,14,940,103.7,1.3,0.228,,,,,, +2011,7,15,2,30,0,0,0,10,14,940,114.1,1.3,0.228,,,,,, +2011,7,15,3,30,0,0,0,10,13,940,123,1.3,0.228,,,,,, +2011,7,15,4,30,0,0,0,9,13,940,130.3,1.7,0.228,,,,,, +2011,7,15,5,30,437,35,94,9,15,940,134.7,2.3,0.228,,,,,, +2011,7,15,6,30,710,61,297,7,18,940,146,1.7,0.228,,,,,, +2011,7,15,7,30,836,75,513,6,22,940,144.1,1.2,0.228,,,,,, +2011,7,15,8,30,904,86,712,3,25,940,14.6,1.9,0.228,,,,,, +2011,7,15,9,30,944,94,876,2,27,940,359.9,2.7,0.228,,,,,, +2011,7,15,10,30,951,109,987,1,29,940,354.9,3.3,0.228,,,,,, +2011,7,15,11,30,968,107,1045,0,30,940,356.2,3.7,0.228,,,,,, +2011,7,15,12,30,971,104,1039,0,31,940,0.8,4,0.228,,,,,, +2011,7,15,13,30,950,106,967,0,32,940,5,4.1,0.228,,,,,, +2011,7,15,14,30,926,99,840,-1,32,940,8.7,4.3,0.228,,,,,, +2011,7,15,15,30,882,89,667,-1,31,940,13,4.3,0.228,,,,,, +2011,7,15,16,30,802,77,462,0,30,940,17.8,4.1,0.228,,,,,, +2011,7,15,17,30,644,60,245,0,28,940,22.4,2.9,0.228,,,,,, +2011,7,15,18,30,296,27,53,0,25,940,28.1,1.7,0.228,,,,,, +2011,7,15,19,30,0,0,0,2,23,940,40,1.6,0.228,,,,,, +2011,7,15,20,30,0,0,0,3,21,940,51.4,1.5,0.228,,,,,, +2011,7,15,21,30,0,0,0,4,19,940,62.6,1.4,0.228,,,,,, +2011,7,15,22,30,0,0,0,5,17,940,77.3,1.3,0.228,,,,,, +2011,7,15,23,30,0,0,0,5,16,940,96.3,1.3,0.228,,,,,, +2011,7,16,0,30,0,0,0,6,15,940,116.9,1.4,0.228,,,,,, +2011,7,16,1,30,0,0,0,6,15,940,132.3,1.5,0.228,,,,,, +2011,7,16,2,30,0,0,0,7,14,940,141.4,1.6,0.228,,,,,, +2011,7,16,3,30,0,0,0,7,14,940,146.1,1.6,0.228,,,,,, +2011,7,16,4,30,0,0,0,8,14,940,146.4,2.1,0.228,,,,,, +2011,7,16,5,30,394,38,90,8,15,940,142.6,3,0.228,,,,,, +2011,7,16,6,30,681,68,293,8,19,940,144,3,0.228,,,,,, +2011,7,16,7,30,823,83,512,6,22,940,152.3,2,0.228,,,,,, +2011,7,16,8,30,899,92,714,4,25,940,142.2,1,0.228,,,,,, +2011,7,16,9,30,944,98,880,1,28,940,13.6,1.2,0.228,,,,,, +2011,7,16,10,30,968,102,995,0,30,940,350.2,2.3,0.228,,,,,, +2011,7,16,11,30,977,104,1051,-1,31,940,352.5,3,0.228,,,,,, +2011,7,16,12,30,977,104,1045,-2,32,940,0.9,3.4,0.228,,,,,, +2011,7,16,13,30,966,101,976,-3,33,940,8.9,3.6,0.228,,,,,, +2011,7,16,14,30,942,95,849,-4,34,940,17.7,3.5,0.228,,,,,, +2011,7,16,15,30,899,86,675,-4,33,940,25.3,3.4,0.228,,,,,, +2011,7,16,16,30,822,74,468,-4,32,940,29.8,3,0.228,,,,,, +2011,7,16,17,30,673,57,249,-4,29,940,31.3,2,0.228,,,,,, +2011,7,16,18,30,329,26,54,-2,25,940,31.2,1.2,0.228,,,,,, +2011,7,16,19,30,0,0,0,0,23,940,36.5,1.3,0.228,,,,,, +2011,7,16,20,30,0,0,0,1,22,940,45.9,1.4,0.228,,,,,, +2011,7,16,21,30,0,0,0,2,20,940,59.3,1.4,0.228,,,,,, +2011,7,16,22,30,0,0,0,4,19,940,77.1,1.4,0.228,,,,,, +2011,7,16,23,30,0,0,0,4,18,940,97.8,1.5,0.228,,,,,, +2011,7,17,0,30,0,0,0,4,17,940,114.9,1.7,0.228,,,,,, +2011,7,17,1,30,0,0,0,4,16,940,127.3,1.9,0.228,,,,,, +2011,7,17,2,30,0,0,0,4,15,940,136.4,2,0.228,,,,,, +2011,7,17,3,30,0,0,0,4,15,940,142.8,2.1,0.228,,,,,, +2011,7,17,4,30,0,0,0,4,15,940,147.1,2.6,0.228,,,,,, +2011,7,17,5,30,480,33,95,4,17,940,148.7,3.3,0.228,,,,,, +2011,7,17,6,30,749,57,304,4,21,940,148.6,3.2,0.228,,,,,, +2011,7,17,7,30,871,71,524,3,25,940,158.1,2,0.228,,,,,, +2011,7,17,8,30,936,80,727,-1,29,940,158.5,0.8,0.228,,,,,, +2011,7,17,9,30,975,87,894,-3,32,940,20.2,1,0.228,,,,,, +2011,7,17,10,30,989,97,1009,-5,34,940,357.4,1.7,0.228,,,,,, +2011,7,17,11,30,1001,98,1067,-6,35,940,351.9,2,0.228,,,,,, +2011,7,17,12,30,1001,97,1060,-6,36,940,350.5,2.2,0.228,,,,,, +2011,7,17,13,30,977,103,987,-7,37,940,355.9,2.3,0.228,,,,,, +2011,7,17,14,30,954,96,859,-7,37,940,1.9,2.3,0.228,,,,,, +2011,7,17,15,30,912,86,683,-7,36,940,5.2,2.3,0.228,,,,,, +2011,7,17,16,30,840,73,475,-7,35,940,7.1,1.9,0.228,,,,,, +2011,7,17,17,30,696,56,253,-7,32,940,10,1.3,0.228,,,,,, +2011,7,17,18,30,354,26,55,-4,28,940,21.7,1.1,0.228,,,,,, +2011,7,17,19,30,0,0,0,-4,25,940,30.2,1.2,0.228,,,,,, +2011,7,17,20,30,0,0,0,-2,23,940,36.5,1.3,0.228,,,,,, +2011,7,17,21,30,0,0,0,-1,22,940,41,1.3,0.228,,,,,, +2011,7,17,22,30,0,0,0,0,21,940,43.3,1.2,0.228,,,,,, +2011,7,17,23,30,0,0,0,0,19,940,44.8,1,0.228,,,,,, +2011,7,18,0,30,0,0,0,0,19,940,46.4,0.7,0.228,,,,,, +2011,7,18,1,30,0,0,0,0,18,940,47.1,0.3,0.228,,,,,, +2011,7,18,2,30,0,0,0,0,18,940,50.4,0.2,0.228,,,,,, +2011,7,18,3,30,0,0,0,0,17,940,233.4,0.5,0.228,,,,,, +2011,7,18,4,30,0,0,0,0,18,940,255.3,0.9,0.228,,,,,, +2011,7,18,5,30,426,35,89,0,20,940,280.9,1.8,0.228,,,,,, +2011,7,18,6,30,691,64,290,0,24,940,295.4,2.5,0.228,,,,,, +2011,7,18,7,30,819,80,505,-1,29,940,305.8,3,0.228,,,,,, +2011,7,18,8,30,882,93,701,-4,33,940,313.1,3.4,0.228,,,,,, +2011,7,18,9,30,918,103,862,-5,36,940,321.8,3.8,0.228,,,,,, +2011,7,18,10,30,936,110,973,-5,37,940,331.3,4.1,0.228,,,,,, +2011,7,18,11,30,937,117,1024,-5,38,940,341.2,4.2,0.228,,,,,, +2011,7,18,12,30,926,122,1013,-4,39,940,349.7,4.2,0.228,,,,,, +2011,7,18,13,30,917,114,944,-3,40,940,357.3,4.1,0.228,,,,,, +2011,7,18,14,30,883,111,816,-3,39,940,4,4,0.228,,,,,, +2011,7,18,15,30,831,101,644,-2,38,940,10.3,3.7,0.228,,,,,, +2011,7,18,16,30,758,83,444,-1,37,940,15.5,3.3,0.228,,,,,, +2011,7,18,17,30,610,61,233,-1,34,940,19,2.1,0.228,,,,,, +2011,7,18,18,30,282,26,49,-1,30,940,22.6,1.3,0.228,,,,,, +2011,7,18,19,30,0,0,0,-1,28,940,23.7,1.4,0.228,,,,,, +2011,7,18,20,30,0,0,0,-2,27,940,23.1,1.6,0.228,,,,,, +2011,7,18,21,30,0,0,0,-2,25,940,23.2,1.7,0.228,,,,,, +2011,7,18,22,30,0,0,0,-2,24,940,23.5,1.7,0.228,,,,,, +2011,7,18,23,30,0,0,0,-3,23,940,24,1.5,0.228,,,,,, +2011,7,19,0,30,0,0,0,-3,22,940,22.6,1.1,0.228,,,,,, +2011,7,19,1,30,0,0,0,-3,22,940,14.9,0.8,0.228,,,,,, +2011,7,19,2,30,0,0,0,-2,21,940,345.4,0.6,0.228,,,,,, +2011,7,19,3,30,0,0,0,-2,20,940,295.5,0.8,0.228,,,,,, +2011,7,19,4,30,0,0,0,-1,20,940,286.5,1.2,0.228,,,,,, +2011,7,19,5,30,408,33,84,0,22,940,291.7,1.8,0.228,,,,,, +2011,7,19,6,30,680,60,281,1,25,940,297.5,2.2,0.228,,,,,, +2011,7,19,7,30,808,75,493,1,29,940,309.2,2.4,0.228,,,,,, +2011,7,19,8,30,878,86,689,0,33,940,320,2.7,0.228,,,,,, +2011,7,19,9,30,920,93,852,0,36,940,334.6,2.9,0.228,,,,,, +2011,7,19,10,30,937,103,965,0,37,940,347.1,3,0.228,,,,,, +2011,7,19,11,30,950,104,1022,2,38,940,357.6,3.1,0.228,,,,,, +2011,7,19,12,30,951,103,1016,2,39,940,6.2,3.1,0.228,,,,,, +2011,7,19,13,30,926,109,946,2,40,940,13.3,3.3,0.228,,,,,, +2011,7,19,14,30,902,102,822,2,39,940,18.3,3.4,0.228,,,,,, +2011,7,19,15,30,859,92,652,2,38,940,21.1,3.5,0.228,,,,,, +2011,7,19,16,30,800,73,454,2,37,940,21.5,3.4,0.226,,,,,, +2011,7,19,17,30,664,55,241,1,34,940,19.3,2.4,0.226,,,,,, +2011,7,19,18,30,341,24,51,0,30,940,12.6,1.7,0.226,,,,,, +2011,7,19,19,30,0,0,0,0,28,940,11.4,2.2,0.226,,,,,, +2011,7,19,20,30,0,0,0,-1,26,940,15.2,2.9,0.226,,,,,, +2011,7,19,21,30,0,0,0,-1,24,940,19.5,3,0.226,,,,,, +2011,7,19,22,30,0,0,0,-1,23,940,24.6,2.5,0.226,,,,,, +2011,7,19,23,30,0,0,0,-1,22,940,31.9,1.7,0.226,,,,,, +2011,7,20,0,30,0,0,0,-1,20,940,46.4,1.2,0.226,,,,,, +2011,7,20,1,30,0,0,0,-1,20,940,68.7,0.9,0.226,,,,,, +2011,7,20,2,30,0,0,0,-1,19,940,95.7,0.8,0.226,,,,,, +2011,7,20,3,30,0,0,0,-1,18,940,126.9,0.8,0.226,,,,,, +2011,7,20,4,30,0,0,0,0,19,940,148.1,1,0.226,,,,,, +2011,7,20,5,30,489,31,91,1,21,940,159.5,1.6,0.226,,,,,, +2011,7,20,6,30,760,55,301,3,24,940,164.4,2.1,0.226,,,,,, +2011,7,20,7,30,888,66,524,4,28,940,192,1.7,0.226,,,,,, +2011,7,20,8,30,951,75,728,1,31,940,246.5,1.5,0.226,,,,,, +2011,7,20,9,30,987,82,895,0,34,940,309.1,1.9,0.226,,,,,, +2011,7,20,10,30,1001,90,1010,-3,36,940,337.2,2.2,0.226,,,,,, +2011,7,20,11,30,1009,92,1066,-4,37,940,356,2.3,0.226,,,,,, +2011,7,20,12,30,1006,93,1059,-6,38,940,10.6,2.5,0.226,,,,,, +2011,7,20,13,30,997,88,989,-7,39,940,23.8,2.8,0.226,,,,,, +2011,7,20,14,30,971,85,860,-7,38,940,33.7,3,0.226,,,,,, +2011,7,20,15,30,925,79,682,-7,37,940,38.9,3.3,0.226,,,,,, +2011,7,20,16,30,850,69,473,-6,36,940,41.3,3.2,0.226,,,,,, +2011,7,20,17,30,701,54,250,-5,33,940,39.6,2.3,0.226,,,,,, +2011,7,20,18,30,348,24,52,-3,29,940,30.8,2,0.226,,,,,, +2011,7,20,19,30,0,0,0,-1,27,940,27.9,2.5,0.226,,,,,, +2011,7,20,20,30,0,0,0,0,25,940,36.7,2.7,0.226,,,,,, +2011,7,20,21,30,0,0,0,0,24,940,52.9,2.3,0.226,,,,,, +2011,7,20,22,30,0,0,0,0,22,940,75.3,2,0.226,,,,,, +2011,7,20,23,30,0,0,0,0,21,940,98.9,2,0.226,,,,,, +2011,7,21,0,30,0,0,0,0,21,940,120.4,2.4,0.226,,,,,, +2011,7,21,1,30,0,0,0,0,20,940,131.7,2.6,0.226,,,,,, +2011,7,21,2,30,0,0,0,0,19,940,138,2.3,0.226,,,,,, +2011,7,21,3,30,0,0,0,1,18,940,142.6,2,0.226,,,,,, +2011,7,21,4,30,0,0,0,2,18,940,146.9,2.2,0.226,,,,,, +2011,7,21,5,30,460,32,88,3,20,940,150,2.4,0.226,,,,,, +2011,7,21,6,30,740,58,296,4,24,940,151.5,2,0.226,,,,,, +2011,7,21,7,30,869,71,518,4,28,940,157.8,1.3,0.226,,,,,, +2011,7,21,8,30,933,82,721,3,31,940,108.8,1.1,0.226,,,,,, +2011,7,21,9,30,972,89,889,1,33,940,47,1.9,0.226,,,,,, +2011,7,21,10,30,990,97,1006,-1,35,940,35.6,2.5,0.226,,,,,, +2011,7,21,11,30,1003,97,1065,-3,36,940,30.7,3.1,0.226,,,,,, +2011,7,21,12,30,1004,97,1060,-4,37,940,27.8,3.6,0.226,,,,,, +2011,7,21,13,30,987,98,988,-6,37,940,28,4,0.226,,,,,, +2011,7,21,14,30,962,92,858,-6,37,940,28.6,4.3,0.226,,,,,, +2011,7,21,15,30,916,84,680,-6,36,940,29.2,4.6,0.226,,,,,, +2011,7,21,16,30,836,73,469,-5,35,940,30,4.5,0.226,,,,,, +2011,7,21,17,30,683,56,246,-4,32,940,30.5,3.4,0.226,,,,,, +2011,7,21,18,30,328,24,49,-2,28,940,31.5,2.6,0.226,,,,,, +2011,7,21,19,30,0,0,0,-1,26,940,41.5,2.8,0.226,,,,,, +2011,7,21,20,30,0,0,0,0,24,940,56,2.8,0.226,,,,,, +2011,7,21,21,30,0,0,0,0,23,940,72.3,2.6,0.226,,,,,, +2011,7,21,22,30,0,0,0,1,21,940,86.4,2.4,0.226,,,,,, +2011,7,21,23,30,0,0,0,1,20,940,98.7,2.3,0.226,,,,,, +2011,7,22,0,30,0,0,0,0,19,940,109,2,0.226,,,,,, +2011,7,22,1,30,0,0,0,0,18,940,114.7,1.7,0.226,,,,,, +2011,7,22,2,30,0,0,0,0,18,940,119.2,1.4,0.226,,,,,, +2011,7,22,3,30,0,0,0,0,17,940,124.2,1.2,0.226,,,,,, +2011,7,22,4,30,0,0,0,0,17,940,130.2,1.3,0.226,,,,,, +2011,7,22,5,30,457,32,86,1,19,940,137.9,1.6,0.226,,,,,, +2011,7,22,6,30,742,57,295,1,23,940,146,1.3,0.226,,,,,, +2011,7,22,7,30,871,71,517,1,27,940,147.1,0.8,0.226,,,,,, +2011,7,22,8,30,937,80,721,0,30,940,28.4,1.4,0.226,,,,,, +2011,7,22,9,30,976,87,889,-1,33,940,21.8,2.5,0.226,,,,,, +2011,7,22,10,30,990,95,1004,-3,35,940,21.2,3.1,0.226,,,,,, +2011,7,22,11,30,1001,96,1061,-4,36,940,20.3,3.7,0.226,,,,,, +2011,7,22,12,30,1000,95,1053,-5,37,940,19,4.2,0.226,,,,,, +2011,7,22,13,30,984,94,980,-5,37,940,19.1,4.5,0.226,,,,,, +2011,7,22,14,30,954,90,848,-4,37,940,20.1,4.8,0.226,,,,,, +2011,7,22,15,30,903,83,669,-3,36,940,21.1,4.9,0.226,,,,,, +2011,7,22,16,30,821,72,459,-1,35,940,21,4.7,0.226,,,,,, +2011,7,22,17,30,663,56,239,0,32,940,19.3,3.5,0.226,,,,,, +2011,7,22,18,30,303,24,47,2,28,940,15.3,2.5,0.226,,,,,, +2011,7,22,19,30,0,0,0,3,26,940,11.6,3.2,0.226,,,,,, +2011,7,22,20,30,0,0,0,3,24,940,11.5,4,0.226,,,,,, +2011,7,22,21,30,0,0,0,3,23,940,12.7,3.9,0.226,,,,,, +2011,7,22,22,30,0,0,0,3,22,940,12.7,3,0.226,,,,,, +2011,7,22,23,30,0,0,0,2,21,940,10.4,2,0.226,,,,,, +2011,7,23,0,30,0,0,0,2,20,940,6.2,1.4,0.226,,,,,, +2011,7,23,1,30,0,0,0,1,19,940,357.9,1.1,0.226,,,,,, +2011,7,23,2,30,0,0,0,1,18,940,345,0.9,0.226,,,,,, +2011,7,23,3,30,0,0,0,1,18,940,329.8,0.9,0.226,,,,,, +2011,7,23,4,30,0,0,0,1,18,940,317.4,1.5,0.226,,,,,, +2011,7,23,5,30,423,31,81,2,20,940,315.3,2.6,0.226,,,,,, +2011,7,23,6,30,705,58,282,2,24,940,320.1,3.2,0.226,,,,,, +2011,7,23,7,30,829,73,497,3,28,940,322.9,3.6,0.226,,,,,, +2011,7,23,8,30,896,85,696,4,31,940,328.6,3.7,0.226,,,,,, +2011,7,23,9,30,935,92,860,3,34,940,333.8,3.8,0.226,,,,,, +2011,7,23,10,30,948,103,972,3,36,940,338.7,3.9,0.226,,,,,, +2011,7,23,11,30,954,108,1026,3,37,940,343.1,4,0.226,,,,,, +2011,7,23,12,30,948,109,1017,3,38,940,346.9,3.9,0.226,,,,,, +2011,7,23,13,30,932,107,946,3,39,940,352.4,3.8,0.226,,,,,, +2011,7,23,14,30,904,101,819,2,39,940,358.1,3.8,0.226,,,,,, +2011,7,23,15,30,855,92,646,2,38,940,1.9,4,0.226,,,,,, +2011,7,23,16,30,771,79,442,1,37,940,3,4.3,0.226,,,,,, +2011,7,23,17,30,608,60,227,1,34,940,1.8,4,0.226,,,,,, +2011,7,23,18,30,243,24,41,0,30,940,358.6,3.8,0.226,,,,,, +2011,7,23,19,30,0,0,0,0,28,940,358,4.2,0.226,,,,,, +2011,7,23,20,30,0,0,0,0,26,940,359.9,4.3,0.226,,,,,, +2011,7,23,21,30,0,0,0,-1,24,940,4.7,3.9,0.226,,,,,, +2011,7,23,22,30,0,0,0,-1,23,940,10.5,3.1,0.226,,,,,, +2011,7,23,23,30,0,0,0,-1,22,940,14.3,2,0.226,,,,,, +2011,7,24,0,30,0,0,0,-1,22,940,13.7,1.2,0.226,,,,,, +2011,7,24,1,30,0,0,0,-1,21,940,0.8,1,0.226,,,,,, +2011,7,24,2,30,0,0,0,0,21,940,331.7,1.1,0.226,,,,,, +2011,7,24,3,30,0,0,0,0,20,940,308.1,1.6,0.226,,,,,, +2011,7,24,4,30,0,0,0,0,20,940,301.7,2.5,0.226,,,,,, +2011,7,24,5,30,322,34,71,1,22,940,307.5,3.1,0.226,,,,,, +2011,7,24,6,30,611,68,262,2,26,940,313.9,3.3,0.226,,,,,, +2011,7,24,7,30,740,91,468,2,30,940,314.4,3.3,0.226,,,,,, +2011,7,24,8,30,805,109,658,4,34,950,316.7,3.4,0.226,,,,,, +2011,7,24,9,30,838,126,813,7,36,940,321.7,3.4,0.226,,,,,, +2011,7,24,10,30,790,181,904,8,37,940,328.2,3.3,0.226,,,,,, +2011,7,24,11,30,541,349,870,9,38,940,334.6,3.1,0.226,,,,,, +2011,7,24,12,30,67,455,519,10,38,940,341.2,2.8,0.226,,,,,, +2011,7,24,13,30,611,281,830,11,38,940,352.2,2.5,0.226,,,,,, +2011,7,24,14,30,169,386,520,11,37,940,8.5,2.3,0.226,,,,,, +2011,7,24,15,30,404,254,515,12,36,940,24.2,2.3,0.226,,,,,, +2011,7,24,16,30,120,198,255,12,35,940,31.9,2.3,0.226,,,,,, +2011,7,24,17,30,357,96,193,12,34,940,31.3,2,0.226,,,,,, +2011,7,24,18,30,72,26,31,11,32,940,28.9,1.5,0.226,,,,,, +2011,7,24,19,30,0,0,0,10,30,940,28.3,1.4,0.226,,,,,, +2011,7,24,20,30,0,0,0,9,28,940,30.3,1.6,0.226,,,,,, +2011,7,24,21,30,0,0,0,8,27,940,34.9,1.8,0.226,,,,,, +2011,7,24,22,30,0,0,0,7,26,940,37.4,2,0.226,,,,,, +2011,7,24,23,30,0,0,0,7,25,940,36.1,2.2,0.226,,,,,, +2011,7,25,0,30,0,0,0,6,25,940,30.9,2.4,0.226,,,,,, +2011,7,25,1,30,0,0,0,6,24,940,23.1,2.8,0.226,,,,,, +2011,7,25,2,30,0,0,0,6,24,940,14.1,3.2,0.226,,,,,, +2011,7,25,3,30,0,0,0,5,23,940,12.9,3.6,0.226,,,,,, +2011,7,25,4,30,0,0,0,5,23,940,20,3.8,0.226,,,,,, +2011,7,25,5,30,0,28,28,4,25,940,32.1,4,0.226,,,,,, +2011,7,25,6,30,183,120,178,3,27,940,42.3,4,0.226,,,,,, +2011,7,25,7,30,321,199,362,3,30,940,51.9,3.7,0.226,,,,,, +2011,7,25,8,30,502,232,573,6,31,940,63.3,3.2,0.226,,,,,, +2011,7,25,9,30,184,390,541,8,31,940,65.3,2.7,0.226,,,,,, +2011,7,25,10,30,217,432,631,10,32,940,60.4,2.5,0.226,,,,,, +2011,7,25,11,30,494,417,892,11,33,940,57.8,2.2,0.226,,,,,, +2011,7,25,12,30,13,197,210,11,34,940,53.5,1.9,0.226,,,,,, +2011,7,25,13,30,231,447,655,10,35,940,49.5,1.6,0.226,,,,,, +2011,7,25,14,30,264,357,567,10,36,940,46.3,1.5,0.226,,,,,, +2011,7,25,15,30,523,200,538,10,36,940,40.2,1.4,0.226,,,,,, +2011,7,25,16,30,452,152,364,9,35,940,29.9,1.4,0.226,,,,,, +2011,7,25,17,30,345,86,179,9,34,940,16,1.1,0.226,,,,,, +2011,7,25,18,30,87,24,30,8,31,940,2.7,0.8,0.226,,,,,, +2011,7,25,19,30,0,0,0,8,29,940,357.9,0.9,0.226,,,,,, +2011,7,25,20,30,0,0,0,7,28,940,3.1,1,0.226,,,,,, +2011,7,25,21,30,0,0,0,6,26,940,10.6,1.2,0.226,,,,,, +2011,7,25,22,30,0,0,0,5,25,940,18.6,1.5,0.226,,,,,, +2011,7,25,23,30,0,0,0,5,24,940,26,1.6,0.226,,,,,, +2011,7,26,0,30,0,0,0,4,23,940,31.5,1.5,0.226,,,,,, +2011,7,26,1,30,0,0,0,4,23,940,37.5,1.2,0.226,,,,,, +2011,7,26,2,30,0,0,0,3,23,940,47,1,0.226,,,,,, +2011,7,26,3,30,0,0,0,2,22,940,59.1,0.8,0.226,,,,,, +2011,7,26,4,30,0,0,0,2,22,940,66.9,0.8,0.226,,,,,, +2011,7,26,5,30,257,36,64,1,24,940,62.6,1,0.226,,,,,, +2011,7,26,6,30,561,74,249,2,27,940,73.3,1.1,0.226,,,,,, +2011,7,26,7,30,713,95,456,5,30,940,33.1,1.6,0.226,,,,,, +2011,7,26,8,30,801,107,650,7,33,940,12.4,2.3,0.226,,,,,, +2011,7,26,9,30,855,114,812,9,34,940,11.1,2.8,0.226,,,,,, +2011,7,26,10,30,878,122,924,9,35,940,14.1,3.1,0.226,,,,,, +2011,7,26,11,30,896,121,981,9,36,940,17.4,3.2,0.226,,,,,, +2011,7,26,12,30,897,119,976,9,37,940,19.6,3.3,0.226,,,,,, +2011,7,26,13,30,860,131,903,8,38,940,23.4,3.5,0.226,,,,,, +2011,7,26,14,30,833,122,781,8,37,940,25.5,3.6,0.226,,,,,, +2011,7,26,15,30,785,108,613,8,36,940,26.3,3.7,0.226,,,,,, +2011,7,26,16,30,699,90,416,8,35,940,26.3,3.8,0.226,,,,,, +2011,7,26,17,30,539,65,210,8,33,940,24.8,3,0.226,,,,,, +2011,7,26,18,30,189,23,35,8,30,940,22.3,2.5,0.226,,,,,, +2011,7,26,19,30,0,0,0,8,28,940,20.9,3.4,0.226,,,,,, +2011,7,26,20,30,0,0,0,7,27,940,21.5,3.7,0.226,,,,,, +2011,7,26,21,30,0,0,0,7,25,940,21.9,3,0.226,,,,,, +2011,7,26,22,30,0,0,0,6,24,940,20.3,1.9,0.226,,,,,, +2011,7,26,23,30,0,0,0,6,23,940,20,1.2,0.226,,,,,, +2011,7,27,0,30,0,0,0,6,22,940,29.6,0.8,0.226,,,,,, +2011,7,27,1,30,0,0,0,6,21,940,50.9,0.4,0.226,,,,,, +2011,7,27,2,30,0,0,0,6,20,940,58.7,0.2,0.226,,,,,, +2011,7,27,3,30,0,0,0,7,19,940,359,0.2,0.226,,,,,, +2011,7,27,4,30,0,0,0,7,19,940,327.5,0.6,0.226,,,,,, +2011,7,27,5,30,328,32,68,8,21,940,329.5,1.3,0.226,,,,,, +2011,7,27,6,30,619,66,258,8,24,940,321.3,2.1,0.226,,,,,, +2011,7,27,7,30,751,88,467,7,28,940,319,2.9,0.226,,,,,, +2011,7,27,8,30,824,103,661,7,31,940,321.3,3.4,0.226,,,,,, +2011,7,27,9,30,868,114,822,8,34,940,322.4,3.8,0.226,,,,,, +2011,7,27,10,30,906,112,938,8,35,940,326.4,4.1,0.226,,,,,, +2011,7,27,11,30,915,116,993,8,36,940,331.7,4.2,0.226,,,,,, +2011,7,27,12,30,910,118,985,8,37,940,336.8,4.3,0.226,,,,,, +2011,7,27,13,30,890,118,914,8,38,940,343.2,4.3,0.226,,,,,, +2011,7,27,14,30,857,112,788,8,38,940,349.3,4.3,0.226,,,,,, +2011,7,27,15,30,800,103,617,8,37,940,354.8,4.4,0.226,,,,,, +2011,7,27,16,30,503,139,372,9,36,940,359,4.5,0.22,,,,,, +2011,7,27,17,30,0,74,74,9,34,940,3,3.8,0.22,,,,,, +2011,7,27,18,30,157,23,33,10,31,940,8.1,3.1,0.22,,,,,, +2011,7,27,19,30,0,0,0,10,29,940,12.6,3,0.22,,,,,, +2011,7,27,20,30,0,0,0,10,28,940,14.2,3,0.22,,,,,, +2011,7,27,21,30,0,0,0,11,26,940,13.5,2.9,0.22,,,,,, +2011,7,27,22,30,0,0,0,11,25,940,12.5,2.7,0.22,,,,,, +2011,7,27,23,30,0,0,0,11,23,940,12.5,2.1,0.22,,,,,, +2011,7,28,0,30,0,0,0,11,22,940,13.2,1.4,0.22,,,,,, +2011,7,28,1,30,0,0,0,11,22,940,13.2,1,0.22,,,,,, +2011,7,28,2,30,0,0,0,11,21,940,9,0.7,0.22,,,,,, +2011,7,28,3,30,0,0,0,11,20,940,357.5,0.5,0.22,,,,,, +2011,7,28,4,30,0,0,0,11,20,940,335.6,0.7,0.22,,,,,, +2011,7,28,5,30,285,34,64,11,22,940,307.3,1.3,0.22,,,,,, +2011,7,28,6,30,592,72,254,10,25,940,289.1,1.8,0.22,,,,,, +2011,7,28,7,30,744,91,465,8,28,940,286.4,2,0.22,,,,,, +2011,7,28,8,30,824,104,661,7,31,940,299.4,2.1,0.22,,,,,, +2011,7,28,9,30,872,112,822,7,34,940,316.4,2.3,0.22,,,,,, +2011,7,28,10,30,895,118,934,7,35,940,331.3,2.6,0.22,,,,,, +2011,7,28,11,30,905,120,987,7,36,940,338.5,2.7,0.22,,,,,, +2011,7,28,12,30,900,121,978,7,37,940,338.8,2.6,0.22,,,,,, +2011,7,28,13,30,866,129,904,7,37,940,337.8,2.3,0.22,,,,,, +2011,7,28,14,30,831,123,778,7,37,940,337.1,1.9,0.22,,,,,, +2011,7,28,15,30,779,109,608,8,37,940,336.5,1.8,0.22,,,,,, +2011,7,28,16,30,685,92,409,8,36,940,333.6,2.3,0.22,,,,,, +2011,7,28,17,30,511,67,202,8,33,940,335.2,2,0.22,,,,,, +2011,7,28,18,30,0,10,10,9,31,940,341,1.5,0.22,,,,,, +2011,7,28,19,30,0,0,0,9,29,940,350.6,1.5,0.22,,,,,, +2011,7,28,20,30,0,0,0,10,28,940,1.9,1.7,0.22,,,,,, +2011,7,28,21,30,0,0,0,10,27,940,14.1,1.9,0.22,,,,,, +2011,7,28,22,30,0,0,0,10,26,940,24,2,0.22,,,,,, +2011,7,28,23,30,0,0,0,10,25,940,31.2,1.8,0.22,,,,,, +2011,7,29,0,30,0,0,0,10,24,940,32.4,1.5,0.22,,,,,, +2011,7,29,1,30,0,0,0,10,24,940,24.4,1.1,0.22,,,,,, +2011,7,29,2,30,0,0,0,10,23,940,7.8,0.9,0.22,,,,,, +2011,7,29,3,30,0,0,0,10,23,940,353.4,0.8,0.22,,,,,, +2011,7,29,4,30,0,0,0,9,23,940,338.4,1,0.22,,,,,, +2011,7,29,5,30,188,37,56,9,24,940,314.6,1.6,0.22,,,,,, +2011,7,29,6,30,513,77,234,8,26,940,289.6,2.3,0.22,,,,,, +2011,7,29,7,30,466,165,399,8,29,940,272.8,2.8,0.22,,,,,, +2011,7,29,8,30,696,151,620,8,32,940,268.4,3.1,0.22,,,,,, +2011,7,29,9,30,779,152,786,10,35,940,273.3,3.1,0.22,,,,,, +2011,7,29,10,30,824,151,901,11,37,940,281.8,3.1,0.22,,,,,, +2011,7,29,11,30,834,156,954,11,38,940,293,3.1,0.22,,,,,, +2011,7,29,12,30,828,158,946,12,38,940,304.7,3,0.22,,,,,, +2011,7,29,13,30,382,368,709,12,37,940,315.3,2.7,0.22,,,,,, +2011,7,29,14,30,515,287,692,12,36,940,324.1,2.5,0.22,,,,,, +2011,7,29,15,30,301,275,467,13,35,940,329.3,2.4,0.22,,,,,, +2011,7,29,16,30,587,114,384,13,34,940,330.3,2.4,0.22,,,,,, +2011,7,29,17,30,18,92,97,13,33,940,330.2,1.9,0.22,,,,,, +2011,7,29,18,30,0,13,13,13,31,940,331.6,1.3,0.22,,,,,, +2011,7,29,19,30,0,0,0,13,29,940,332.7,1.5,0.22,,,,,, +2011,7,29,20,30,0,0,0,13,28,940,336.7,2,0.22,,,,,, +2011,7,29,21,30,0,0,0,13,27,940,344.9,2.4,0.22,,,,,, +2011,7,29,22,30,0,0,0,12,26,940,349.8,2.3,0.22,,,,,, +2011,7,29,23,30,0,0,0,12,25,940,351,1.9,0.22,,,,,, +2011,7,30,0,30,0,0,0,12,25,940,348.8,1.7,0.22,,,,,, +2011,7,30,1,30,0,0,0,11,24,940,340.8,1.8,0.22,,,,,, +2011,7,30,2,30,0,0,0,11,24,940,331.7,1.8,0.22,,,,,, +2011,7,30,3,30,0,0,0,11,24,940,324.9,1.5,0.22,,,,,, +2011,7,30,4,30,0,0,0,11,24,940,318.2,1.4,0.22,,,,,, +2011,7,30,5,30,168,37,54,11,24,940,308,1.5,0.22,,,,,, +2011,7,30,6,30,467,89,231,10,26,940,289.3,1.7,0.22,,,,,, +2011,7,30,7,30,481,160,400,10,30,940,287.4,2.2,0.22,,,,,, +2011,7,30,8,30,718,141,623,11,33,940,305,2.8,0.22,,,,,, +2011,7,30,9,30,773,153,782,12,36,940,310,3.1,0.22,,,,,, +2011,7,30,10,30,781,178,887,12,37,940,315.1,3.2,0.22,,,,,, +2011,7,30,11,30,419,424,824,12,37,940,321.7,3.2,0.22,,,,,, +2011,7,30,12,30,14,264,278,12,37,940,328.4,3.1,0.22,,,,,, +2011,7,30,13,30,186,449,615,13,37,940,335.4,3.1,0.22,,,,,, +2011,7,30,14,30,469,298,666,13,36,940,342.9,3.1,0.22,,,,,, +2011,7,30,15,30,24,235,250,14,35,940,349.8,3.3,0.22,,,,,, +2011,7,30,16,30,344,170,328,14,34,940,356.3,3.4,0.22,,,,,, +2011,7,30,17,30,289,87,162,15,33,940,5.1,3.2,0.22,,,,,, +2011,7,30,18,30,18,19,20,15,31,940,19.6,2.9,0.22,,,,,, +2011,7,30,19,30,0,0,0,16,29,940,27,2.7,0.22,,,,,, +2011,7,30,20,30,0,0,0,17,27,940,31.4,2.4,0.22,,,,,, +2011,7,30,21,30,0,0,0,18,25,940,36.5,2,0.22,,,,,, +2011,7,30,22,30,0,0,0,17,24,940,47.6,1.8,0.22,,,,,, +2011,7,30,23,30,0,0,0,16,23,940,61.9,1.6,0.22,,,,,, +2011,7,31,0,30,0,0,0,16,23,940,74.5,1.3,0.22,,,,,, +2011,7,31,1,30,0,0,0,16,23,940,85.6,1.1,0.22,,,,,, +2011,7,31,2,30,0,0,0,15,23,940,99.7,1,0.22,,,,,, +2011,7,31,3,30,0,0,0,15,23,940,114.9,1.1,0.22,,,,,, +2011,7,31,4,30,0,0,0,15,23,940,127,1.2,0.22,,,,,, +2011,7,31,5,30,0,12,12,15,24,940,132.7,1.2,0.22,,,,,, +2011,7,31,6,30,0,8,8,16,26,940,146.8,0.7,0.22,,,,,, +2011,7,31,7,30,0,90,90,17,28,940,178.6,0.4,0.22,,,,,, +2011,7,31,8,30,0,45,45,17,31,940,324.1,0.8,0.22,,,,,, +2011,7,31,9,30,449,319,683,17,32,940,324.7,1.1,0.22,,,,,, +2011,7,31,10,30,8,177,185,17,33,940,320.4,1.3,0.22,,,,,, +2011,7,31,11,30,1,128,129,17,33,940,318.8,1.6,0.22,,,,,, +2011,7,31,12,30,18,315,333,17,33,940,316.7,1.8,0.22,,,,,, +2011,7,31,13,30,0,93,93,16,33,940,324.7,1.8,0.22,,,,,, +2011,7,31,14,30,243,373,564,16,32,940,331.6,1.4,0.22,,,,,, +2011,7,31,15,30,410,252,512,16,32,940,341.3,0.8,0.22,,,,,, +1998,7,31,16,30,826,67,443,-1,33,940,31.3,3.4,0.225,,,,,, +1998,7,31,17,30,667,50,222,0,30,940,26.2,2,0.225,,,,,, +1998,7,31,18,30,274,18,32,0,26,940,12.4,1.5,0.225,,,,,, +1998,7,31,19,30,0,0,0,1,24,940,9.1,1.6,0.225,,,,,, +1998,7,31,20,30,0,0,0,2,23,940,13.4,1.7,0.225,,,,,, +1998,7,31,21,30,0,0,0,3,22,940,21.2,1.7,0.225,,,,,, +1998,7,31,22,30,0,0,0,3,21,940,29.6,1.6,0.225,,,,,, +1998,7,31,23,30,0,0,0,4,20,940,41.3,1.3,0.225,,,,,, +1998,8,1,0,30,0,0,0,4,19,940,61,1,0.225,,,,,, +1998,8,1,1,30,0,0,0,4,18,940,84.5,0.9,0.225,,,,,, +1998,8,1,2,30,0,0,0,4,17,940,111.6,0.9,0.225,,,,,, +1998,8,1,3,30,0,0,0,3,17,940,139.4,0.9,0.225,,,,,, +1998,8,1,4,30,0,0,0,3,17,940,159.6,0.9,0.225,,,,,, +1998,8,1,5,30,452,25,69,2,19,940,179.8,1.2,0.225,,,,,, +1998,8,1,6,30,752,50,276,2,23,950,198.5,1.4,0.225,,,,,, +1998,8,1,7,30,876,64,498,1,27,950,250.8,1.5,0.225,,,,,, +1998,8,1,8,30,941,73,703,-1,30,950,316.5,2.2,0.225,,,,,, +1998,8,1,9,30,979,80,872,-3,33,950,340.5,2.7,0.225,,,,,, +1998,8,1,10,30,1000,84,990,-5,34,950,349.3,2.8,0.225,,,,,, +1998,8,1,11,30,1008,87,1047,-6,35,950,358.5,2.9,0.225,,,,,, +1998,8,1,12,30,1007,87,1040,-6,36,940,8.3,3,0.225,,,,,, +1998,8,1,13,30,995,85,969,-7,37,940,21.7,3,0.225,,,,,, +1998,8,1,14,30,971,80,839,-8,37,940,36.6,3,0.225,,,,,, +1998,8,1,15,30,927,73,660,-8,36,940,47.6,2.9,0.225,,,,,, +1998,8,1,16,30,850,63,449,-8,35,940,55.4,2.3,0.225,,,,,, +1998,8,1,17,30,699,47,225,-6,33,940,59,1.4,0.225,,,,,, +1998,8,1,18,30,306,17,32,-2,30,940,53.4,1,0.225,,,,,, +1998,8,1,19,30,0,0,0,-3,28,940,34.8,1.2,0.225,,,,,, +1998,8,1,20,30,0,0,0,-2,27,950,20.2,1.6,0.225,,,,,, +1998,8,1,21,30,0,0,0,-1,26,950,19.9,1.9,0.225,,,,,, +1998,8,1,22,30,0,0,0,0,24,950,25.1,2,0.225,,,,,, +1998,8,1,23,30,0,0,0,0,22,950,32.2,1.8,0.225,,,,,, +1998,8,2,0,30,0,0,0,1,21,950,41.1,1.4,0.225,,,,,, +1998,8,2,1,30,0,0,0,2,20,950,57,1.2,0.225,,,,,, +1998,8,2,2,30,0,0,0,3,19,950,79.2,1.1,0.225,,,,,, +1998,8,2,3,30,0,0,0,3,19,950,102.5,1,0.225,,,,,, +1998,8,2,4,30,0,0,0,3,19,950,125.3,0.9,0.225,,,,,, +1998,8,2,5,30,392,27,64,2,22,950,145.8,1.4,0.225,,,,,, +1998,8,2,6,30,706,56,267,2,25,950,160.3,1.8,0.225,,,,,, +1998,8,2,7,30,840,72,487,1,29,950,165.5,1.1,0.225,,,,,, +1998,8,2,8,30,909,84,691,-2,33,950,147.5,0.5,0.225,,,,,, +1998,8,2,9,30,948,92,858,-4,36,950,149.6,0.4,0.225,,,,,, +1998,8,2,10,30,970,97,975,-4,37,950,155.5,0.4,0.225,,,,,, +1998,8,2,11,30,979,100,1031,-3,38,950,149.4,0.6,0.225,,,,,, +1998,8,2,12,30,977,100,1024,-3,38,950,139.4,0.8,0.225,,,,,, +1998,8,2,13,30,965,97,953,-3,39,940,136.9,1.2,0.225,,,,,, +1998,8,2,14,30,939,91,823,-4,38,940,136.7,1.6,0.225,,,,,, +1998,8,2,15,30,894,82,646,-4,38,940,138.3,1.8,0.225,,,,,, +1998,8,2,16,30,815,69,437,-4,37,940,140.8,1.6,0.225,,,,,, +1998,8,2,17,30,659,50,217,-3,34,940,140.6,1,0.225,,,,,, +1998,8,2,18,30,256,17,29,-1,31,940,122.9,0.8,0.225,,,,,, +1998,8,2,19,30,0,0,0,-2,30,940,103.2,0.9,0.225,,,,,, +1998,8,2,20,30,0,0,0,-2,29,940,95.4,1,0.225,,,,,, +1998,8,2,21,30,0,0,0,-2,27,940,99.6,1.2,0.225,,,,,, +1998,8,2,22,30,0,0,0,-2,25,940,108.2,1.3,0.225,,,,,, +1998,8,2,23,30,0,0,0,-2,24,940,119,1.4,0.225,,,,,, +1998,8,3,0,30,0,0,0,-2,23,940,131.4,1.4,0.225,,,,,, +1998,8,3,1,30,0,0,0,-1,22,940,145.3,1.4,0.225,,,,,, +1998,8,3,2,30,0,0,0,0,21,940,159.7,1.6,0.225,,,,,, +1998,8,3,3,30,0,0,0,0,21,940,172,1.7,0.225,,,,,, +1998,8,3,4,30,0,0,0,0,21,940,179.4,2.2,0.225,,,,,, +1998,8,3,5,30,375,26,61,0,24,940,180.4,3.5,0.225,,,,,, +1998,8,3,6,30,691,55,260,0,27,940,177.3,4.4,0.225,,,,,, +1998,8,3,7,30,825,72,478,0,31,950,179.8,4.5,0.225,,,,,, +1998,8,3,8,30,895,83,680,0,35,950,188.8,4.3,0.225,,,,,, +1998,8,3,9,30,935,91,845,0,38,950,201.3,3.7,0.225,,,,,, +1998,8,3,10,30,957,96,961,0,39,940,210.7,2.8,0.225,,,,,, +1998,8,3,11,30,967,98,1017,0,40,940,214.8,2.2,0.225,,,,,, +1998,8,3,12,30,965,97,1009,0,41,940,207.3,2.1,0.225,,,,,, +1998,8,3,13,30,953,94,938,0,41,940,194.4,2.4,0.225,,,,,, +1998,8,3,14,30,927,88,809,0,41,940,185,3,0.225,,,,,, +1998,8,3,15,30,881,79,634,0,40,940,181.9,3.7,0.225,,,,,, +1998,8,3,16,30,802,67,427,0,39,940,182.4,3.7,0.225,,,,,, +1998,8,3,17,30,646,48,210,0,36,940,185.9,2.8,0.225,,,,,, +1998,8,3,18,30,244,16,27,2,33,940,190.8,2.2,0.225,,,,,, +1998,8,3,19,30,0,0,0,2,32,940,195.3,2.1,0.225,,,,,, +1998,8,3,20,30,0,0,0,2,32,940,198.7,1.8,0.225,,,,,, +1998,8,3,21,30,0,0,0,2,31,940,197.4,1.6,0.225,,,,,, +1998,8,3,22,30,0,0,0,2,30,940,190.7,1.4,0.225,,,,,, +1998,8,3,23,30,0,0,0,2,28,940,180,1.4,0.225,,,,,, +1998,8,4,0,30,0,0,0,2,27,940,171.2,1.6,0.225,,,,,, +1998,8,4,1,30,0,0,0,1,26,940,169,1.9,0.225,,,,,, +1998,8,4,2,30,0,0,0,2,25,940,171.7,2.2,0.225,,,,,, +1998,8,4,3,30,0,0,0,2,25,940,176,2.4,0.225,,,,,, +1998,8,4,4,30,0,0,0,2,25,940,177.8,3.2,0.225,,,,,, +1998,8,4,5,30,395,24,59,2,27,940,178.5,4.3,0.225,,,,,, +1998,8,4,6,30,707,49,257,3,31,940,180.1,4.9,0.225,,,,,, +1998,8,4,7,30,838,62,473,4,34,950,185.7,4.8,0.225,,,,,, +1998,8,4,8,30,907,71,674,4,37,950,190.5,4.3,0.225,,,,,, +1998,8,4,9,30,947,78,840,4,39,950,194.3,3.6,0.225,,,,,, +1998,8,4,10,30,969,83,957,3,40,940,200.2,2.8,0.225,,,,,, +1998,8,4,11,30,978,85,1014,2,41,940,207.8,2.1,0.225,,,,,, +1998,8,4,12,30,977,86,1007,2,42,940,216.9,1.7,0.225,,,,,, +1998,8,4,13,30,965,85,937,1,42,940,216.7,1.4,0.225,,,,,, +1998,8,4,14,30,939,81,810,1,41,940,205.1,1.3,0.225,,,,,, +1998,8,4,15,30,894,74,634,0,41,940,192.3,1.2,0.225,,,,,, +1998,8,4,16,30,815,63,427,0,40,940,180.9,0.9,0.226,,,,,, +1998,8,4,17,30,659,46,209,0,36,940,171.1,0.4,0.226,,,,,, +1998,8,4,18,30,252,15,25,2,33,940,138.6,0.3,0.226,,,,,, +1998,8,4,19,30,0,0,0,1,33,940,137.9,0.3,0.226,,,,,, +1998,8,4,20,30,0,0,0,1,32,940,170.1,0.3,0.226,,,,,, +1998,8,4,21,30,0,0,0,1,30,940,172.1,0.2,0.226,,,,,, +1998,8,4,22,30,0,0,0,2,28,940,165.5,0.2,0.226,,,,,, +1998,8,4,23,30,0,0,0,3,27,940,149.4,0.3,0.226,,,,,, +1998,8,5,0,30,0,0,0,4,26,940,131.6,0.5,0.226,,,,,, +1998,8,5,1,30,0,0,0,5,25,940,143.3,0.7,0.226,,,,,, +1998,8,5,2,30,0,0,0,5,24,940,166.2,1.1,0.226,,,,,, +1998,8,5,3,30,0,0,0,5,24,940,180,1.4,0.226,,,,,, +1998,8,5,4,30,0,0,0,4,24,940,187.4,1.9,0.226,,,,,, +1998,8,5,5,30,357,25,56,4,27,940,192.5,3,0.226,,,,,, +1998,8,5,6,30,679,54,252,4,30,940,198.1,3.7,0.226,,,,,, +1998,8,5,7,30,816,70,469,3,34,950,212.7,3.3,0.226,,,,,, +1998,8,5,8,30,887,81,669,3,38,950,224.6,3,0.226,,,,,, +1998,8,5,9,30,928,89,834,2,40,950,229.9,2.8,0.226,,,,,, +1998,8,5,10,30,951,93,950,2,41,940,237,2.7,0.226,,,,,, +1998,8,5,11,30,960,96,1005,2,42,940,244,2.6,0.226,,,,,, +1998,8,5,12,30,958,96,998,2,43,940,250.7,2.3,0.226,,,,,, +1998,8,5,13,30,945,94,927,1,43,940,251.8,2.1,0.226,,,,,, +1998,8,5,14,30,918,88,799,1,42,940,249.9,1.9,0.226,,,,,, +1998,8,5,15,30,870,80,624,1,41,940,253.2,1.7,0.226,,,,,, +1998,8,5,16,30,784,69,417,1,40,940,264.9,1.4,0.226,,,,,, +1998,8,5,17,30,618,50,201,1,38,940,289.4,1.1,0.226,,,,,, +1998,8,5,18,30,200,14,22,3,35,940,321.7,1.3,0.226,,,,,, +1998,8,5,19,30,0,0,0,3,33,940,345.7,2.5,0.226,,,,,, +1998,8,5,20,30,0,0,0,5,31,940,3.2,4.7,0.226,,,,,, +1998,8,5,21,30,0,0,0,7,29,940,11.5,5.9,0.226,,,,,, +1998,8,5,22,30,0,0,0,9,28,940,14.7,5.1,0.226,,,,,, +1998,8,5,23,30,0,0,0,9,27,940,11.6,3.7,0.226,,,,,, +1998,8,6,0,30,0,0,0,8,26,940,1.3,2.8,0.226,,,,,, +1998,8,6,1,30,0,0,0,8,26,940,343.7,2.6,0.226,,,,,, +1998,8,6,2,30,0,0,0,8,25,940,324,2.6,0.226,,,,,, +1998,8,6,3,30,0,0,0,8,24,940,311.4,2.4,0.226,,,,,, +1998,8,6,4,30,0,0,0,8,24,940,305.3,2.7,0.226,,,,,, +1998,8,6,5,30,295,26,51,8,26,940,308.7,3.8,0.226,,,,,, +1998,8,6,6,30,619,62,242,8,29,940,326.6,4.7,0.226,,,,,, +1998,8,6,7,30,759,84,454,8,33,940,335.7,5,0.226,,,,,, +1998,8,6,8,30,832,101,651,7,36,940,342.1,5.2,0.226,,,,,, +1998,8,6,9,30,873,113,814,6,39,940,348.3,5.3,0.226,,,,,, +1998,8,6,10,30,895,122,927,6,40,940,353.6,5.1,0.226,,,,,, +1998,8,6,11,30,901,129,981,6,41,940,357.8,4.9,0.226,,,,,, +1998,8,6,12,30,891,134,971,6,42,940,1.2,4.6,0.226,,,,,, +1998,8,6,13,30,539,320,795,5,42,940,4.3,4.4,0.226,,,,,, +1998,8,6,14,30,352,344,616,5,41,940,7,4.1,0.226,,,,,, +1998,8,6,15,30,561,186,535,5,40,940,9.7,4,0.226,,,,,, +1998,8,6,16,30,575,125,379,6,39,940,12.7,3.9,0.226,,,,,, +1998,8,6,17,30,117,90,118,6,36,940,14.7,3,0.226,,,,,, +1998,8,6,18,30,0,0,0,7,33,940,14.4,2.4,0.226,,,,,, +1998,8,6,19,30,0,0,0,7,31,940,13.9,2.8,0.226,,,,,, +1998,8,6,20,30,0,0,0,8,30,940,15.1,3.1,0.226,,,,,, +1998,8,6,21,30,0,0,0,9,28,940,15.7,3.2,0.226,,,,,, +1998,8,6,22,30,0,0,0,10,27,940,15.7,3.3,0.226,,,,,, +1998,8,6,23,30,0,0,0,10,26,940,19.9,3,0.226,,,,,, +1998,8,7,0,30,0,0,0,10,26,940,29.4,2.7,0.226,,,,,, +1998,8,7,1,30,0,0,0,10,25,940,40.3,2.4,0.226,,,,,, +1998,8,7,2,30,0,0,0,10,25,940,46.1,1.8,0.226,,,,,, +1998,8,7,3,30,0,0,0,9,24,940,41.8,1.3,0.226,,,,,, +1998,8,7,4,30,0,0,0,8,24,940,22,1.4,0.226,,,,,, +1998,8,7,5,30,249,27,48,7,26,940,347.8,2.2,0.226,,,,,, +1998,8,7,6,30,237,104,173,6,30,940,335.9,3,0.226,,,,,, +1998,8,7,7,30,730,92,446,6,33,940,338,3.4,0.226,,,,,, +1998,8,7,8,30,814,108,645,7,36,940,343.9,3.5,0.226,,,,,, +1998,8,7,9,30,863,118,809,6,38,940,347.7,3.4,0.226,,,,,, +1998,8,7,10,30,889,125,923,5,39,940,351.4,3.3,0.226,,,,,, +1998,8,7,11,30,899,129,978,4,40,940,354.7,3.2,0.226,,,,,, +1998,8,7,12,30,892,132,969,4,41,940,357.7,3,0.226,,,,,, +1998,8,7,13,30,872,132,898,4,41,940,1,2.8,0.226,,,,,, +1998,8,7,14,30,832,128,768,4,40,940,3.5,2.6,0.226,,,,,, +1998,8,7,15,30,528,196,523,4,39,940,5.6,2.6,0.226,,,,,, +1998,8,7,16,30,636,107,386,4,38,940,7.3,2.4,0.226,,,,,, +1998,8,7,17,30,433,74,177,4,35,940,8.3,1.7,0.226,,,,,, +1998,8,7,18,30,0,15,15,4,32,940,5.1,1.6,0.226,,,,,, +1998,8,7,19,30,0,0,0,5,30,940,1.3,2.7,0.226,,,,,, +1998,8,7,20,30,0,0,0,6,29,940,0.7,3.6,0.226,,,,,, +1998,8,7,21,30,0,0,0,6,27,940,0.7,3.6,0.226,,,,,, +1998,8,7,22,30,0,0,0,7,26,940,0.2,2.8,0.226,,,,,, +1998,8,7,23,30,0,0,0,8,25,940,358.9,2,0.226,,,,,, +1998,8,8,0,30,0,0,0,8,24,940,352.4,1.5,0.226,,,,,, +1998,8,8,1,30,0,0,0,8,24,940,340.4,1.2,0.226,,,,,, +1998,8,8,2,30,0,0,0,7,23,940,328.1,1.2,0.226,,,,,, +1998,8,8,3,30,0,0,0,7,22,940,324.1,1.2,0.226,,,,,, +1998,8,8,4,30,0,0,0,6,22,940,326.6,2,0.226,,,,,, +1998,8,8,5,30,265,26,48,5,24,940,334.3,3.6,0.226,,,,,, +1998,8,8,6,30,620,62,240,5,28,940,349.2,4.6,0.226,,,,,, +1998,8,8,7,30,777,81,456,3,32,940,353.3,4.8,0.226,,,,,, +1998,8,8,8,30,859,93,659,4,35,940,352.4,4.8,0.226,,,,,, +1998,8,8,9,30,905,102,825,4,37,940,352.9,4.9,0.226,,,,,, +1998,8,8,10,30,928,108,940,3,38,940,354.4,4.9,0.226,,,,,, +1998,8,8,11,30,936,112,995,3,39,940,356,4.9,0.226,,,,,, +1998,8,8,12,30,928,115,984,2,40,940,357.3,4.8,0.226,,,,,, +1998,8,8,13,30,904,117,910,2,40,940,357.6,4.7,0.226,,,,,, +1998,8,8,14,30,861,116,777,2,39,940,357.7,4.8,0.226,,,,,, +1998,8,8,15,30,290,269,448,2,39,940,358.2,5,0.226,,,,,, +1998,8,8,16,30,255,173,285,3,38,940,359.7,5.4,0.226,,,,,, +1998,8,8,17,30,165,91,130,3,35,940,3,5.6,0.226,,,,,, +1998,8,8,18,30,56,12,14,3,32,940,7.5,5.8,0.226,,,,,, +1998,8,8,19,30,0,0,0,4,31,940,11.9,5.8,0.226,,,,,, +1998,8,8,20,30,0,0,0,4,29,940,14.6,5.3,0.226,,,,,, +1998,8,8,21,30,0,0,0,5,28,940,15.3,4.5,0.226,,,,,, +1998,8,8,22,30,0,0,0,5,26,940,14.5,3.7,0.226,,,,,, +1998,8,8,23,30,0,0,0,5,25,940,14.2,2.8,0.226,,,,,, +1998,8,9,0,30,0,0,0,6,25,940,14.3,2.1,0.226,,,,,, +1998,8,9,1,30,0,0,0,7,24,940,14,1.6,0.226,,,,,, +1998,8,9,2,30,0,0,0,7,23,940,14.2,1.4,0.226,,,,,, +1998,8,9,3,30,0,0,0,7,23,940,14.3,1.6,0.226,,,,,, +1998,8,9,4,30,0,0,0,7,23,940,13.6,2.4,0.226,,,,,, +1998,8,9,5,30,188,28,43,8,25,940,16.1,3.8,0.226,,,,,, +1998,8,9,6,30,532,75,227,8,29,940,28.2,4.8,0.226,,,,,, +1998,8,9,7,30,684,105,435,6,32,950,37,4.9,0.226,,,,,, +1998,8,9,8,30,761,128,628,7,34,950,40.5,4.4,0.226,,,,,, +1998,8,9,9,30,806,143,786,8,35,950,39.6,3.6,0.226,,,,,, +1998,8,9,10,30,288,412,670,10,35,950,29.4,2.8,0.226,,,,,, +1998,8,9,11,30,834,161,946,10,34,950,15.2,2.3,0.226,,,,,, +1998,8,9,12,30,310,452,742,11,33,950,0.2,1.9,0.226,,,,,, +1998,8,9,13,30,149,438,569,12,31,950,358.8,1.5,0.226,,,,,, +1998,8,9,14,30,573,255,694,14,29,950,8.2,1.2,0.226,,,,,, +1998,8,9,15,30,515,195,511,16,27,950,17.4,1.2,0.226,,,,,, +1998,8,9,16,30,229,175,274,17,27,950,27.2,1.3,0.226,,,,,, +1998,8,9,17,30,0,8,8,18,26,950,37.1,1,0.226,,,,,, +1998,8,9,18,30,0,0,0,18,25,950,60.5,0.7,0.226,,,,,, +1998,8,9,19,30,0,0,0,18,25,950,90.3,0.8,0.226,,,,,, +1998,8,9,20,30,0,0,0,18,25,950,113.2,1.2,0.226,,,,,, +1998,8,9,21,30,0,0,0,18,25,950,123.9,1.5,0.226,,,,,, +1998,8,9,22,30,0,0,0,18,25,950,131,1.3,0.226,,,,,, +1998,8,9,23,30,0,0,0,18,24,950,136.4,1,0.226,,,,,, +1998,8,10,0,30,0,0,0,17,24,940,138.6,0.8,0.226,,,,,, +1998,8,10,1,30,0,0,0,17,24,940,139.4,0.6,0.226,,,,,, +1998,8,10,2,30,0,0,0,17,23,940,134.9,0.6,0.226,,,,,, +1998,8,10,3,30,0,0,0,17,23,940,127.5,0.6,0.226,,,,,, +1998,8,10,4,30,0,0,0,17,23,950,121.9,1,0.226,,,,,, +1998,8,10,5,30,0,1,1,16,24,950,116,1.8,0.226,,,,,, +1998,8,10,6,30,0,8,8,15,27,950,112.6,2.3,0.226,,,,,, +1998,8,10,7,30,147,205,276,13,30,950,108.1,2,0.226,,,,,, +1998,8,10,8,30,5,181,185,13,31,950,105.7,1.5,0.226,,,,,, +1998,8,10,9,30,0,65,65,13,32,950,98.6,1,0.226,,,,,, +1998,8,10,10,30,11,211,221,13,33,950,69.3,0.8,0.226,,,,,, +1998,8,10,11,30,24,354,377,14,34,940,37.6,1,0.226,,,,,, +1998,8,10,12,30,385,415,774,14,34,940,30.4,1.2,0.226,,,,,, +1998,8,10,13,30,68,408,468,14,34,940,31.2,1.5,0.226,,,,,, +1998,8,10,14,30,0,89,89,13,33,940,34.9,1.6,0.226,,,,,, +1998,8,10,15,30,397,238,481,13,33,940,38,1.7,0.226,,,,,, +1998,8,10,16,30,173,178,253,13,32,940,40,1.8,0.226,,,,,, +1998,8,10,17,30,99,84,107,13,31,940,41.4,1.4,0.226,,,,,, +1998,8,10,18,30,0,8,8,13,29,940,44.6,1.1,0.226,,,,,, +1998,8,10,19,30,0,0,0,13,28,940,48.7,1.3,0.226,,,,,, +1998,8,10,20,30,0,0,0,13,27,940,49.1,1.6,0.226,,,,,, +1998,8,10,21,30,0,0,0,13,27,940,46.4,1.9,0.226,,,,,, +1998,8,10,22,30,0,0,0,14,26,940,42.6,2.1,0.226,,,,,, +1998,8,10,23,30,0,0,0,14,25,940,39.7,2,0.226,,,,,, +1998,8,11,0,30,0,0,0,15,25,940,36.5,1.8,0.226,,,,,, +1998,8,11,1,30,0,0,0,15,24,940,31.7,1.6,0.226,,,,,, +1998,8,11,2,30,0,0,0,15,24,940,26.9,1.5,0.226,,,,,, +1998,8,11,3,30,0,0,0,15,23,940,23.1,1.3,0.226,,,,,, +1998,8,11,4,30,0,0,0,15,23,940,23.4,1.3,0.226,,,,,, +1998,8,11,5,30,217,24,40,14,25,950,25.6,1.5,0.226,,,,,, +1998,8,11,6,30,134,107,144,12,28,950,40.5,1.4,0.226,,,,,, +1998,8,11,7,30,720,86,430,10,32,950,43.5,1.1,0.226,,,,,, +1998,8,11,8,30,795,104,623,12,34,950,6.7,1.3,0.226,,,,,, +1998,8,11,9,30,835,119,782,13,35,950,351.4,1.8,0.226,,,,,, +1998,8,11,10,30,853,132,892,13,36,940,351.8,2.2,0.226,,,,,, +1998,8,11,11,30,850,145,942,13,37,940,356.7,2.4,0.226,,,,,, +1998,8,11,12,30,839,150,931,13,37,940,2.1,2.5,0.226,,,,,, +1998,8,11,13,30,811,151,858,13,37,940,9.1,2.6,0.226,,,,,, +1998,8,11,14,30,6,174,179,13,37,940,15,2.6,0.226,,,,,, +1998,8,11,15,30,26,229,245,14,36,940,20,2.6,0.226,,,,,, +1998,8,11,16,30,569,108,351,14,35,940,25.3,2.5,0.226,,,,,, +1998,8,11,17,30,408,67,160,14,34,940,30.2,1.9,0.226,,,,,, +1998,8,11,18,30,0,9,9,14,32,940,33.8,1.5,0.226,,,,,, +1998,8,11,19,30,0,0,0,15,30,940,32.3,1.8,0.226,,,,,, +1998,8,11,20,30,0,0,0,14,29,940,28.8,2.3,0.226,,,,,, +1998,8,11,21,30,0,0,0,14,28,940,26.4,2.6,0.226,,,,,, +1998,8,11,22,30,0,0,0,14,27,940,24.5,2.6,0.226,,,,,, +1998,8,11,23,30,0,0,0,13,27,940,23.4,2.2,0.226,,,,,, +1998,8,12,0,30,0,0,0,13,26,940,19.5,1.6,0.226,,,,,, +1998,8,12,1,30,0,0,0,12,26,940,13.1,1.1,0.226,,,,,, +1998,8,12,2,30,0,0,0,12,25,940,3,1,0.226,,,,,, +1998,8,12,3,30,0,0,0,11,25,940,350.4,0.9,0.226,,,,,, +1998,8,12,4,30,0,0,0,11,25,950,340.3,1.3,0.226,,,,,, +1998,8,12,5,30,184,24,37,11,26,950,335.4,1.9,0.226,,,,,, +1998,8,12,6,30,537,67,216,11,28,950,337.4,2.1,0.226,,,,,, +1998,8,12,7,30,697,92,423,11,31,950,339.8,1.8,0.226,,,,,, +1998,8,12,8,30,779,110,617,13,34,950,335.2,1.3,0.226,,,,,, +1998,8,12,9,30,825,124,777,13,36,950,318,0.9,0.226,,,,,, +1998,8,12,10,30,849,134,889,13,37,950,285.5,0.7,0.226,,,,,, +1998,8,12,11,30,855,141,942,13,38,950,247.9,0.7,0.226,,,,,, +1998,8,12,12,30,847,145,933,12,38,950,219.8,0.8,0.226,,,,,, +1998,8,12,13,30,378,382,711,12,38,940,195,0.7,0.226,,,,,, +1998,8,12,14,30,666,211,716,11,38,940,162.4,0.6,0.226,,,,,, +1998,8,12,15,30,387,238,473,11,37,940,119.6,0.8,0.226,,,,,, +1998,8,12,16,30,398,149,318,11,36,940,86.9,1.1,0.228,,,,,, +1998,8,12,17,30,419,66,159,11,34,940,64.7,1,0.228,,,,,, +1998,8,12,18,30,0,0,0,13,32,940,44.9,1,0.228,,,,,, +1998,8,12,19,30,0,0,0,13,30,950,39.4,1.4,0.228,,,,,, +1998,8,12,20,30,0,0,0,13,29,950,42.2,1.7,0.228,,,,,, +1998,8,12,21,30,0,0,0,14,28,950,49,1.7,0.228,,,,,, +1998,8,12,22,30,0,0,0,14,27,950,56.6,1.4,0.228,,,,,, +1998,8,12,23,30,0,0,0,14,27,950,65.8,1.1,0.228,,,,,, +1998,8,13,0,30,0,0,0,14,26,950,77.7,0.8,0.228,,,,,, +1998,8,13,1,30,0,0,0,13,26,950,93.2,0.6,0.228,,,,,, +1998,8,13,2,30,0,0,0,13,25,950,114.9,0.4,0.228,,,,,, +1998,8,13,3,30,0,0,0,12,25,950,154,0.3,0.228,,,,,, +1998,8,13,4,30,0,0,0,12,25,950,218.4,0.5,0.228,,,,,, +1998,8,13,5,30,150,25,35,12,26,950,258.6,1.2,0.228,,,,,, +1998,8,13,6,30,483,78,211,12,29,950,258.8,1.8,0.228,,,,,, +1998,8,13,7,30,644,111,416,12,32,950,258.3,1.8,0.228,,,,,, +1998,8,13,8,30,735,134,611,12,35,950,269.7,1.6,0.228,,,,,, +1998,8,13,9,30,790,148,773,12,37,950,282,1.4,0.228,,,,,, +1998,8,13,10,30,824,156,888,11,38,950,289,1.4,0.228,,,,,, +1998,8,13,11,30,840,158,944,11,39,950,292.4,1.4,0.228,,,,,, +1998,8,13,12,30,839,158,936,10,40,940,297.8,1.2,0.228,,,,,, +1998,8,13,13,30,817,155,864,10,40,940,303.3,1.1,0.228,,,,,, +1998,8,13,14,30,778,147,735,9,40,940,315.1,1,0.228,,,,,, +1998,8,13,15,30,712,131,562,9,39,940,335,1,0.228,,,,,, +1998,8,13,16,30,470,138,336,9,38,940,355.9,1.1,0.228,,,,,, +1998,8,13,17,30,257,73,129,10,35,940,10.2,1,0.228,,,,,, +1998,8,13,18,30,0,0,0,13,33,940,13.9,0.9,0.228,,,,,, +1998,8,13,19,30,0,0,0,12,31,940,14.5,1.4,0.228,,,,,, +1998,8,13,20,30,0,0,0,12,29,940,13.7,2.2,0.228,,,,,, +1998,8,13,21,30,0,0,0,12,28,950,12.6,3,0.228,,,,,, +1998,8,13,22,30,0,0,0,12,27,950,12.5,3.5,0.228,,,,,, +1998,8,13,23,30,0,0,0,12,27,940,11.6,3.3,0.228,,,,,, +1998,8,14,0,30,0,0,0,12,26,940,8.5,2.7,0.228,,,,,, +1998,8,14,1,30,0,0,0,12,25,940,5,2.2,0.228,,,,,, +1998,8,14,2,30,0,0,0,11,25,940,356.7,1.9,0.228,,,,,, +1998,8,14,3,30,0,0,0,11,24,940,341.9,2,0.228,,,,,, +1998,8,14,4,30,0,0,0,10,24,940,326.1,2.6,0.228,,,,,, +1998,8,14,5,30,141,24,33,10,26,940,317.6,3.5,0.228,,,,,, +1998,8,14,6,30,481,78,209,9,29,950,325.5,4.1,0.228,,,,,, +1998,8,14,7,30,649,110,416,9,32,950,325.5,4.2,0.228,,,,,, +1998,8,14,8,30,740,132,611,10,35,940,323.2,4,0.228,,,,,, +1998,8,14,9,30,790,149,773,10,37,940,323.4,3.7,0.228,,,,,, +1998,8,14,10,30,814,162,884,10,38,940,325,3.3,0.228,,,,,, +1998,8,14,11,30,819,172,936,10,39,940,327.4,2.9,0.228,,,,,, +1998,8,14,12,30,804,180,924,10,40,940,331,2.5,0.228,,,,,, +1998,8,14,13,30,765,186,847,10,39,940,340.3,2.2,0.228,,,,,, +1998,8,14,14,30,693,191,713,10,38,940,352,2.2,0.228,,,,,, +1998,8,14,15,30,415,228,477,10,37,940,2.3,2.2,0.228,,,,,, +1998,8,14,16,30,0,51,51,11,36,940,11.3,2.3,0.228,,,,,, +1998,8,14,17,30,0,3,3,11,33,940,18.2,1.8,0.228,,,,,, +1998,8,14,18,30,0,0,0,12,31,940,19.3,1.7,0.228,,,,,, +1998,8,14,19,30,0,0,0,13,29,940,16.9,2.5,0.228,,,,,, +1998,8,14,20,30,0,0,0,14,27,940,16.3,3.3,0.228,,,,,, +1998,8,14,21,30,0,0,0,14,26,940,18.4,3.9,0.228,,,,,, +1998,8,14,22,30,0,0,0,14,25,940,20.8,4,0.228,,,,,, +1998,8,14,23,30,0,0,0,15,25,940,21.9,3.4,0.228,,,,,, +1998,8,15,0,30,0,0,0,15,24,940,21.2,2.4,0.228,,,,,, +1998,8,15,1,30,0,0,0,15,24,940,20.4,1.5,0.228,,,,,, +1998,8,15,2,30,0,0,0,14,23,940,15.8,0.9,0.228,,,,,, +1998,8,15,3,30,0,0,0,14,23,940,3.9,0.6,0.228,,,,,, +1998,8,15,4,30,0,0,0,13,23,940,348.5,1,0.228,,,,,, +1998,8,15,5,30,0,6,6,12,25,940,339.4,1.7,0.228,,,,,, +1998,8,15,6,30,0,41,41,11,27,940,338.1,2.1,0.228,,,,,, +1998,8,15,7,30,47,186,209,10,30,940,333.7,2.5,0.228,,,,,, +1998,8,15,8,30,704,146,601,10,33,940,332.7,2.9,0.228,,,,,, +1998,8,15,9,30,758,165,762,11,35,940,337.1,3.1,0.228,,,,,, +1998,8,15,10,30,785,178,873,11,36,940,345.4,3.2,0.228,,,,,, +1998,8,15,11,30,791,188,925,11,36,940,354.4,3.2,0.228,,,,,, +1998,8,15,12,30,783,192,915,12,37,940,2.3,3.1,0.228,,,,,, +1998,8,15,13,30,754,192,841,12,37,940,11.3,3.1,0.228,,,,,, +1998,8,15,14,30,524,265,659,11,37,940,20.7,3.1,0.228,,,,,, +1998,8,15,15,30,475,211,495,11,36,940,27.8,3,0.228,,,,,, +1998,8,15,16,30,508,130,341,11,35,940,32.3,2.6,0.228,,,,,, +1998,8,15,17,30,303,78,142,11,32,940,35.2,1.6,0.228,,,,,, +1998,8,15,18,30,0,0,0,12,30,940,33.8,1.2,0.228,,,,,, +1998,8,15,19,30,0,0,0,12,29,940,36.1,1.3,0.228,,,,,, +1998,8,15,20,30,0,0,0,12,27,940,41,1.4,0.228,,,,,, +1998,8,15,21,30,0,0,0,12,26,940,48.5,1.2,0.228,,,,,, +1998,8,15,22,30,0,0,0,12,25,940,55.1,1,0.228,,,,,, +1998,8,15,23,30,0,0,0,12,24,940,62.3,0.7,0.228,,,,,, +1998,8,16,0,30,0,0,0,12,23,940,73.1,0.5,0.228,,,,,, +1998,8,16,1,30,0,0,0,12,22,940,84.8,0.3,0.228,,,,,, +1998,8,16,2,30,0,0,0,11,21,940,94.6,0.2,0.228,,,,,, +1998,8,16,3,30,0,0,0,11,21,940,98.9,0.1,0.228,,,,,, +1998,8,16,4,30,0,0,0,11,20,940,68,0.1,0.228,,,,,, +1998,8,16,5,30,211,22,34,11,21,940,9.4,0.3,0.228,,,,,, +1998,8,16,6,30,592,63,222,11,24,940,317.7,0.7,0.228,,,,,, +1998,8,16,7,30,757,85,439,9,28,940,302.8,1.1,0.228,,,,,, +1998,8,16,8,30,843,99,642,8,31,940,336.3,1.3,0.228,,,,,, +1998,8,16,9,30,890,109,808,7,34,940,2.3,1.7,0.228,,,,,, +1998,8,16,10,30,914,117,924,6,35,940,13.1,2,0.228,,,,,, +1998,8,16,11,30,0,119,119,6,36,940,18.9,2.3,0.228,,,,,, +1998,8,16,12,30,0,118,118,6,37,940,22.4,2.5,0.228,,,,,, +1998,8,16,13,30,0,108,108,5,37,940,23.8,2.7,0.228,,,,,, +1998,8,16,14,30,495,272,642,5,37,940,21.6,2.9,0.228,,,,,, +1998,8,16,15,30,212,267,393,5,36,940,18.5,3.2,0.228,,,,,, +1998,8,16,16,30,637,101,363,5,34,940,16.1,3.3,0.228,,,,,, +1998,8,16,17,30,422,65,153,6,31,940,16,2.6,0.228,,,,,, +1998,8,16,18,30,0,0,0,7,29,940,17.2,2.2,0.228,,,,,, +1998,8,16,19,30,0,0,0,9,27,940,23.8,2.7,0.228,,,,,, +1998,8,16,20,30,0,0,0,10,25,940,31.1,2.8,0.228,,,,,, +1998,8,16,21,30,0,0,0,12,23,940,35.9,2.4,0.228,,,,,, +1998,8,16,22,30,0,0,0,13,21,940,39.1,2,0.228,,,,,, +1998,8,16,23,30,0,0,0,13,20,940,43.8,1.6,0.228,,,,,, +1998,8,17,0,30,0,0,0,13,19,940,49.7,1.3,0.228,,,,,, +1998,8,17,1,30,0,0,0,13,18,940,51.9,1.1,0.228,,,,,, +1998,8,17,2,30,0,0,0,13,18,940,53.5,1.1,0.228,,,,,, +1998,8,17,3,30,0,0,0,12,17,940,56.6,1,0.228,,,,,, +1998,8,17,4,30,0,0,0,12,17,940,60.1,1.2,0.228,,,,,, +1998,8,17,5,30,214,21,34,11,18,940,67.5,1.7,0.228,,,,,, +1998,8,17,6,30,601,62,223,10,22,940,64.4,2,0.228,,,,,, +1998,8,17,7,30,768,84,442,6,25,940,35.9,2.6,0.228,,,,,, +1998,8,17,8,30,855,97,647,2,28,940,19.7,3.5,0.228,,,,,, +1998,8,17,9,30,906,106,816,0,31,940,11.5,4.4,0.228,,,,,, +1998,8,17,10,30,936,110,935,0,33,940,8.5,5.2,0.228,,,,,, +1998,8,17,11,30,951,111,993,0,34,940,10.3,5.8,0.228,,,,,, +1998,8,17,12,30,954,110,986,0,35,940,14.3,6,0.228,,,,,, +1998,8,17,13,30,944,105,914,-1,35,940,19.9,6,0.228,,,,,, +1998,8,17,14,30,917,99,782,-1,34,940,24.5,5.9,0.228,,,,,, +1998,8,17,15,30,863,89,601,-2,32,940,27.7,5.6,0.228,,,,,, +1998,8,17,16,30,764,75,386,-1,31,940,29.5,5.1,0.228,,,,,, +1998,8,17,17,30,559,50,164,0,28,940,31.5,3.7,0.228,,,,,, +1998,8,17,18,30,0,0,0,1,25,940,36,2.6,0.228,,,,,, +1998,8,17,19,30,0,0,0,3,23,940,40.3,2.4,0.228,,,,,, +1998,8,17,20,30,0,0,0,4,22,940,43,2,0.228,,,,,, +1998,8,17,21,30,0,0,0,6,20,940,46.1,1.6,0.228,,,,,, +1998,8,17,22,30,0,0,0,7,19,940,49.5,1.2,0.228,,,,,, +1998,8,17,23,30,0,0,0,8,18,940,56.1,1,0.228,,,,,, +1998,8,18,0,30,0,0,0,9,17,940,69.3,0.8,0.228,,,,,, +1998,8,18,1,30,0,0,0,9,17,940,88,0.8,0.228,,,,,, +1998,8,18,2,30,0,0,0,10,16,940,104.7,0.7,0.228,,,,,, +1998,8,18,3,30,0,0,0,10,15,940,114.3,0.7,0.228,,,,,, +1998,8,18,4,30,0,0,0,10,15,940,118.9,0.6,0.228,,,,,, +1998,8,18,5,30,322,18,36,9,17,940,118.1,0.6,0.228,,,,,, +1998,8,18,6,30,712,47,235,9,20,940,122.4,0.6,0.228,,,,,, +1998,8,18,7,30,860,61,460,6,23,940,16.9,0.9,0.228,,,,,, +1998,8,18,8,30,934,70,668,4,26,940,13.9,1.7,0.228,,,,,, +1998,8,18,9,30,974,76,838,2,29,940,12.3,2.4,0.228,,,,,, +1998,8,18,10,30,995,80,954,0,32,940,14.3,3,0.228,,,,,, +1998,8,18,11,30,1001,82,1008,0,33,940,16.5,3.5,0.228,,,,,, +1998,8,18,12,30,995,82,994,-1,34,940,18.1,3.8,0.228,,,,,, +1998,8,18,13,30,976,82,916,-1,34,940,20.3,3.9,0.228,,,,,, +1998,8,18,14,30,943,80,780,-1,33,940,22.8,3.8,0.228,,,,,, +1998,8,18,15,30,883,75,595,-1,32,940,23.7,3.8,0.228,,,,,, +1998,8,18,16,30,777,65,379,0,31,940,22.4,3.2,0.228,,,,,, +1998,8,18,17,30,562,46,159,0,28,940,19,2,0.228,,,,,, +1998,8,18,18,30,0,0,0,2,25,940,12.4,1.6,0.228,,,,,, +1998,8,18,19,30,0,0,0,4,23,940,13.7,1.7,0.228,,,,,, +1998,8,18,20,30,0,0,0,5,22,940,20.9,1.7,0.228,,,,,, +1998,8,18,21,30,0,0,0,6,20,940,32.5,1.6,0.228,,,,,, +1998,8,18,22,30,0,0,0,7,19,940,49.7,1.4,0.228,,,,,, +1998,8,18,23,30,0,0,0,7,18,940,73.6,1.2,0.228,,,,,, +1998,8,19,0,30,0,0,0,8,17,940,101.6,1.2,0.228,,,,,, +1998,8,19,1,30,0,0,0,8,17,940,122.6,1.3,0.228,,,,,, +1998,8,19,2,30,0,0,0,8,16,940,135.3,1.3,0.228,,,,,, +1998,8,19,3,30,0,0,0,7,16,940,145,1.2,0.228,,,,,, +1998,8,19,4,30,0,0,0,7,16,940,153.1,1.2,0.228,,,,,, +1998,8,19,5,30,314,17,34,7,17,940,158.1,1.6,0.228,,,,,, +1998,8,19,6,30,706,47,232,7,21,940,163.2,1.4,0.228,,,,,, +1998,8,19,7,30,854,61,456,4,25,940,182.4,1.1,0.228,,,,,, +1998,8,19,8,30,926,71,662,0,28,940,357.5,1.9,0.228,,,,,, +1998,8,19,9,30,965,77,831,-1,30,940,2.5,2.7,0.228,,,,,, +1998,8,19,10,30,986,81,947,-3,32,940,7.4,3.2,0.228,,,,,, +1998,8,19,11,30,994,83,1001,-3,33,940,13.4,3.6,0.228,,,,,, +1998,8,19,12,30,989,83,988,-3,34,940,17.8,3.9,0.228,,,,,, +1998,8,19,13,30,972,81,909,-2,35,940,23,4,0.228,,,,,, +1998,8,19,14,30,939,77,772,-2,34,940,26.4,4,0.228,,,,,, +1998,8,19,15,30,883,71,589,0,33,940,28.2,3.9,0.228,,,,,, +1998,8,19,16,30,785,60,375,0,32,940,28.9,3.3,0.228,,,,,, +1998,8,19,17,30,585,41,156,0,29,940,28.7,2,0.228,,,,,, +1998,8,19,18,30,0,0,0,1,26,940,28.3,1.5,0.228,,,,,, +1998,8,19,19,30,0,0,0,1,24,940,32.5,1.6,0.228,,,,,, +1998,8,19,20,30,0,0,0,1,22,940,39.7,1.6,0.228,,,,,, +1998,8,19,21,30,0,0,0,2,21,940,50.1,1.5,0.228,,,,,, +1998,8,19,22,30,0,0,0,3,20,940,64.4,1.3,0.228,,,,,, +1998,8,19,23,30,0,0,0,4,20,940,82.7,1.2,0.228,,,,,, +1998,8,20,0,30,0,0,0,4,19,940,103.6,1.2,0.228,,,,,, +1998,8,20,1,30,0,0,0,4,19,940,122.3,1.2,0.228,,,,,, +1998,8,20,2,30,0,0,0,4,18,940,136.5,1.2,0.228,,,,,, +1998,8,20,3,30,0,0,0,4,17,940,146.2,1.2,0.228,,,,,, +1998,8,20,4,30,0,0,0,3,17,940,151.1,1.1,0.228,,,,,, +1998,8,20,5,30,276,16,31,3,19,940,151.8,1.5,0.228,,,,,, +1998,8,20,6,30,669,47,221,2,23,940,151.7,1.8,0.228,,,,,, +1998,8,20,7,30,819,63,440,1,26,940,144.8,1.2,0.228,,,,,, +1998,8,20,8,30,894,74,643,1,29,940,46,1.4,0.228,,,,,, +1998,8,20,9,30,937,81,810,2,32,940,10.4,2.3,0.228,,,,,, +1998,8,20,10,30,960,85,926,2,34,940,6,2.9,0.228,,,,,, +1998,8,20,11,30,970,87,980,2,35,940,10.3,3.2,0.228,,,,,, +1998,8,20,12,30,968,86,969,2,36,940,16.4,3.3,0.228,,,,,, +1998,8,20,13,30,954,84,894,2,36,940,22.5,3.3,0.228,,,,,, +1998,8,20,14,30,926,79,761,2,36,940,27.4,3.2,0.228,,,,,, +1998,8,20,15,30,874,72,581,2,35,940,30.8,2.9,0.228,,,,,, +1998,8,20,16,30,780,60,370,2,33,940,32.3,2.2,0.227,,,,,, +1998,8,20,17,30,584,41,153,1,30,940,33.4,1.4,0.227,,,,,, +1998,8,20,18,30,0,0,0,2,27,940,38.8,1.1,0.227,,,,,, +1998,8,20,19,30,0,0,0,2,25,940,46,1,0.227,,,,,, +1998,8,20,20,30,0,0,0,2,23,940,58.4,1,0.227,,,,,, +1998,8,20,21,30,0,0,0,2,22,940,81.1,0.9,0.227,,,,,, +1998,8,20,22,30,0,0,0,2,21,940,115,0.9,0.227,,,,,, +1998,8,20,23,30,0,0,0,2,21,940,147.7,1,0.227,,,,,, +1998,8,21,0,30,0,0,0,2,20,940,169.9,1.1,0.227,,,,,, +1998,8,21,1,30,0,0,0,1,20,940,183.1,1.1,0.227,,,,,, +1998,8,21,2,30,0,0,0,1,19,940,190.1,1.1,0.227,,,,,, +1998,8,21,3,30,0,0,0,1,19,940,192.8,1,0.227,,,,,, +1998,8,21,4,30,0,0,0,1,19,940,188.6,1,0.227,,,,,, +1998,8,21,5,30,267,16,29,1,20,940,190,1.5,0.227,,,,,, +1998,8,21,6,30,669,48,221,2,24,940,211.2,2,0.227,,,,,, +1998,8,21,7,30,820,65,440,2,28,940,283.3,2.3,0.227,,,,,, +1998,8,21,8,30,896,76,645,2,32,940,303.5,2.4,0.227,,,,,, +1998,8,21,9,30,939,83,813,3,34,940,311.3,2.3,0.227,,,,,, +1998,8,21,10,30,961,89,928,3,36,940,320.5,2.2,0.227,,,,,, +1998,8,21,11,30,969,92,982,3,37,940,329.1,2,0.227,,,,,, +1998,8,21,12,30,964,93,970,3,38,940,335.1,1.7,0.227,,,,,, +1998,8,21,13,30,947,92,894,3,38,940,339.9,1.4,0.227,,,,,, +1998,8,21,14,30,914,88,759,2,38,940,342.5,1,0.227,,,,,, +1998,8,21,15,30,857,81,577,2,37,940,338.2,0.8,0.227,,,,,, +1998,8,21,16,30,753,68,364,2,35,940,324.2,0.7,0.227,,,,,, +1998,8,21,17,30,540,45,147,3,32,940,306.7,0.6,0.227,,,,,, +1998,8,21,18,30,0,0,0,3,29,940,287.9,0.6,0.227,,,,,, +1998,8,21,19,30,0,0,0,3,27,940,283.2,0.7,0.227,,,,,, +1998,8,21,20,30,0,0,0,3,26,940,283.7,0.7,0.227,,,,,, +1998,8,21,21,30,0,0,0,2,24,940,282.7,0.6,0.227,,,,,, +1998,8,21,22,30,0,0,0,1,24,940,263.9,0.5,0.227,,,,,, +1998,8,21,23,30,0,0,0,1,23,940,195.8,0.6,0.227,,,,,, +1998,8,22,0,30,0,0,0,0,22,940,169.5,0.9,0.227,,,,,, +1998,8,22,1,30,0,0,0,0,21,940,169.8,1.1,0.227,,,,,, +1998,8,22,2,30,0,0,0,0,21,940,174.6,1.4,0.227,,,,,, +1998,8,22,3,30,0,0,0,0,21,940,178.9,1.5,0.227,,,,,, +1998,8,22,4,30,0,0,0,0,21,940,183.3,1.6,0.227,,,,,, +1998,8,22,5,30,217,17,27,0,22,940,189.9,2.1,0.227,,,,,, +1998,8,22,6,30,624,53,213,0,25,940,199.6,2.2,0.227,,,,,, +1998,8,22,7,30,782,73,430,0,30,940,236.5,2,0.227,,,,,, +1998,8,22,8,30,863,86,632,2,34,940,289.3,2.4,0.227,,,,,, +1998,8,22,9,30,908,94,798,5,36,940,306,2.7,0.227,,,,,, +1998,8,22,10,30,931,100,911,6,38,940,311.6,2.8,0.227,,,,,, +1998,8,22,11,30,937,104,963,7,39,940,316.5,2.9,0.227,,,,,, +1998,8,22,12,30,929,106,949,7,40,940,321,2.9,0.227,,,,,, +1998,8,22,13,30,907,106,871,7,40,940,326.4,2.8,0.227,,,,,, +1998,8,22,14,30,867,101,735,7,40,940,332.6,2.7,0.227,,,,,, +1998,8,22,15,30,797,94,553,7,39,940,339.6,2.6,0.227,,,,,, +1998,8,22,16,30,672,81,343,7,38,940,346.5,2.4,0.227,,,,,, +1998,8,22,17,30,423,53,132,7,35,940,354.6,1.6,0.227,,,,,, +1998,8,22,18,30,0,0,0,8,32,940,6.9,1.2,0.227,,,,,, +1998,8,22,19,30,0,0,0,6,30,940,19.8,1.7,0.227,,,,,, +1998,8,22,20,30,0,0,0,5,29,940,30.4,2.3,0.227,,,,,, +1998,8,22,21,30,0,0,0,3,27,940,35.7,2.6,0.227,,,,,, +1998,8,22,22,30,0,0,0,1,26,940,40.6,2.4,0.227,,,,,, +1998,8,22,23,30,0,0,0,0,25,940,45.5,1.9,0.227,,,,,, +1998,8,23,0,30,0,0,0,-1,25,940,55.2,1.3,0.227,,,,,, +1998,8,23,1,30,0,0,0,-1,24,940,71,0.9,0.227,,,,,, +1998,8,23,2,30,0,0,0,-2,23,940,91.7,0.7,0.227,,,,,, +1998,8,23,3,30,0,0,0,-2,23,940,112.1,0.7,0.227,,,,,, +1998,8,23,4,30,0,0,0,-2,23,940,130.8,0.6,0.227,,,,,, +1998,8,23,5,30,189,16,24,-2,24,940,142.1,0.7,0.227,,,,,, +1998,8,23,6,30,606,54,208,-3,28,940,145.8,0.7,0.227,,,,,, +1998,8,23,7,30,768,74,422,-1,32,940,26.5,1.3,0.227,,,,,, +1998,8,23,8,30,848,88,622,3,36,940,3.5,2.6,0.227,,,,,, +1998,8,23,9,30,889,98,785,6,38,940,359.8,3.3,0.227,,,,,, +1998,8,23,10,30,908,107,897,7,39,940,359.4,3.8,0.227,,,,,, +1998,8,23,11,30,909,115,946,8,40,940,0.8,4.1,0.227,,,,,, +1998,8,23,12,30,37,368,402,8,41,940,3,4.3,0.227,,,,,, +1998,8,23,13,30,34,337,366,8,41,940,6.9,4.4,0.227,,,,,, +1998,8,23,14,30,312,323,550,8,40,940,10.9,4.4,0.227,,,,,, +1998,8,23,15,30,278,250,409,8,39,940,13.7,4.6,0.227,,,,,, +1998,8,23,16,30,174,157,224,7,38,940,15.2,4.7,0.227,,,,,, +1998,8,23,17,30,261,69,117,7,35,940,14.8,4.2,0.227,,,,,, +1998,8,23,18,30,0,0,0,6,33,940,11.6,4.1,0.227,,,,,, +1998,8,23,19,30,0,0,0,5,31,940,10,4.6,0.227,,,,,, +1998,8,23,20,30,0,0,0,4,29,940,11.4,4.3,0.227,,,,,, +1998,8,23,21,30,0,0,0,3,27,940,16.4,3.6,0.227,,,,,, +1998,8,23,22,30,0,0,0,3,26,940,25.1,2.9,0.227,,,,,, +1998,8,23,23,30,0,0,0,3,26,940,32,2.3,0.227,,,,,, +1998,8,24,0,30,0,0,0,3,25,940,37.7,1.7,0.227,,,,,, +1998,8,24,1,30,0,0,0,3,24,940,39.3,1.1,0.227,,,,,, +1998,8,24,2,30,0,0,0,3,24,940,34.8,0.9,0.227,,,,,, +1998,8,24,3,30,0,0,0,3,23,940,23.7,0.9,0.227,,,,,, +1998,8,24,4,30,0,0,0,3,23,940,7.9,1.2,0.227,,,,,, +1998,8,24,5,30,138,16,22,3,25,940,0.9,2.1,0.227,,,,,, +1998,8,24,6,30,551,60,199,3,28,940,3.3,3,0.227,,,,,, +1998,8,24,7,30,726,83,412,3,32,940,4.9,3.5,0.227,,,,,, +1998,8,24,8,30,817,98,612,4,35,940,8.3,3.7,0.227,,,,,, +1998,8,24,9,30,868,108,777,6,36,940,10,3.8,0.227,,,,,, +1998,8,24,10,30,896,114,891,8,37,940,8.3,3.9,0.227,,,,,, +1998,8,24,11,30,905,118,943,8,38,940,6,4.1,0.227,,,,,, +1998,8,24,12,30,897,120,929,9,39,940,3.9,4.2,0.227,,,,,, +1998,8,24,13,30,872,120,851,9,39,940,6.9,4.3,0.227,,,,,, +1998,8,24,14,30,825,117,715,9,38,940,11.4,4.5,0.227,,,,,, +1998,8,24,15,30,747,108,533,9,37,940,13.8,4.6,0.227,,,,,, +1998,8,24,16,30,139,156,209,10,35,940,12.8,4.9,0.227,,,,,, +1998,8,24,17,30,368,55,120,10,33,940,7.8,5.1,0.227,,,,,, +1998,8,24,18,30,0,0,0,10,31,940,359.1,5.8,0.227,,,,,, +1998,8,24,19,30,0,0,0,11,29,940,352.9,6.6,0.227,,,,,, +1998,8,24,20,30,0,0,0,11,27,940,353.9,6.5,0.227,,,,,, +1998,8,24,21,30,0,0,0,10,26,940,359.4,5.7,0.227,,,,,, +1998,8,24,22,30,0,0,0,10,26,940,5.6,4.8,0.227,,,,,, +1998,8,24,23,30,0,0,0,10,25,940,11.7,4.1,0.227,,,,,, +1998,8,25,0,30,0,0,0,10,24,940,16.7,3.6,0.227,,,,,, +1998,8,25,1,30,0,0,0,10,24,940,16.8,3.2,0.227,,,,,, +1998,8,25,2,30,0,0,0,10,23,940,8,2.5,0.227,,,,,, +1998,8,25,3,30,0,0,0,10,22,940,345.1,2.3,0.227,,,,,, +1998,8,25,4,30,0,0,0,9,22,940,313.6,2.7,0.227,,,,,, +1998,8,25,5,30,138,15,20,9,24,940,300.4,3.6,0.227,,,,,, +1998,8,25,6,30,560,57,197,8,27,940,303.3,4.4,0.227,,,,,, +1998,8,25,7,30,739,78,411,8,30,940,311,4.8,0.227,,,,,, +1998,8,25,8,30,831,91,612,10,32,940,319.8,4.9,0.227,,,,,, +1998,8,25,9,30,881,99,776,10,34,940,326,4.8,0.227,,,,,, +1998,8,25,10,30,907,105,890,10,35,940,329.4,4.6,0.227,,,,,, +1998,8,25,11,30,915,109,941,10,36,940,331.2,4.4,0.227,,,,,, +1998,8,25,12,30,908,111,928,10,37,940,332.4,4.1,0.227,,,,,, +1998,8,25,13,30,885,111,850,9,37,940,334.1,3.8,0.227,,,,,, +1998,8,25,14,30,839,109,714,9,36,940,336.1,3.6,0.227,,,,,, +1998,8,25,15,30,765,101,534,10,35,940,335.8,3.5,0.227,,,,,, +1998,8,25,16,30,643,83,326,10,34,940,333.1,3.5,0.227,,,,,, +1998,8,25,17,30,408,50,120,10,31,940,328.1,3.3,0.227,,,,,, +1998,8,25,18,30,0,0,0,10,29,940,318,3.3,0.227,,,,,, +1998,8,25,19,30,0,0,0,10,28,940,311.5,3.5,0.227,,,,,, +1998,8,25,20,30,0,0,0,10,26,940,310.5,3.3,0.227,,,,,, +1998,8,25,21,30,0,0,0,10,25,940,314.2,2.8,0.227,,,,,, +1998,8,25,22,30,0,0,0,10,24,940,322.3,2.3,0.227,,,,,, +1998,8,25,23,30,0,0,0,10,23,940,334,1.8,0.227,,,,,, +1998,8,26,0,30,0,0,0,10,22,940,346.7,1.3,0.227,,,,,, +1998,8,26,1,30,0,0,0,10,22,940,359.8,0.9,0.227,,,,,, +1998,8,26,2,30,0,0,0,10,21,940,12.7,0.7,0.227,,,,,, +1998,8,26,3,30,0,0,0,9,20,940,35.6,0.5,0.227,,,,,, +1998,8,26,4,30,0,0,0,9,20,940,81.2,0.5,0.227,,,,,, +1998,8,26,5,30,218,14,22,9,21,940,104.2,0.8,0.227,,,,,, +1998,8,26,6,30,653,47,209,9,24,940,126.6,1.3,0.227,,,,,, +1998,8,26,7,30,816,64,429,8,28,940,145,1.1,0.227,,,,,, +1998,8,26,8,30,895,75,634,9,31,940,131.4,0.7,0.227,,,,,, +1998,8,26,9,30,939,82,802,8,33,940,50.2,1,0.227,,,,,, +1998,8,26,10,30,962,87,917,6,34,940,24.1,1.7,0.227,,,,,, +1998,8,26,11,30,971,89,970,5,35,940,20.1,2.3,0.227,,,,,, +1998,8,26,12,30,968,90,958,4,36,940,20.3,2.7,0.227,,,,,, +1998,8,26,13,30,951,88,880,3,37,940,23,3,0.227,,,,,, +1998,8,26,14,30,917,84,742,3,36,940,26,3.3,0.227,,,,,, +1998,8,26,15,30,856,77,558,3,35,940,28.2,3.4,0.227,,,,,, +1998,8,26,16,30,744,65,343,3,34,940,29.6,3,0.227,,,,,, +1998,8,26,17,30,505,42,126,4,31,940,30.3,2.1,0.227,,,,,, +1998,8,26,18,30,0,0,0,4,29,940,29.2,1.5,0.227,,,,,, +1998,8,26,19,30,0,0,0,5,27,940,34,1.6,0.227,,,,,, +1998,8,26,20,30,0,0,0,6,26,940,45,1.5,0.227,,,,,, +1998,8,26,21,30,0,0,0,7,25,940,61.3,1.5,0.227,,,,,, +1998,8,26,22,30,0,0,0,7,24,940,81.3,1.6,0.227,,,,,, +1998,8,26,23,30,0,0,0,7,23,940,101.3,1.8,0.227,,,,,, +1998,8,27,0,30,0,0,0,7,22,940,119.8,2.2,0.227,,,,,, +1998,8,27,1,30,0,0,0,7,22,940,133.5,2.5,0.227,,,,,, +1998,8,27,2,30,0,0,0,6,21,940,142.1,2.6,0.227,,,,,, +1998,8,27,3,30,0,0,0,6,20,940,147.7,2.6,0.227,,,,,, +1998,8,27,4,30,0,0,0,6,20,940,151.8,2.7,0.227,,,,,, +1998,8,27,5,30,223,13,21,6,21,940,155.1,3.5,0.227,,,,,, +1998,8,27,6,30,663,47,209,5,25,940,158.9,3.9,0.227,,,,,, +1998,8,27,7,30,822,64,430,4,29,940,166.2,3.1,0.227,,,,,, +1998,8,27,8,30,897,76,635,3,32,940,182.9,1.9,0.227,,,,,, +1998,8,27,9,30,938,85,801,3,35,940,207.5,1.1,0.227,,,,,, +1998,8,27,10,30,958,91,915,3,36,940,231.9,0.6,0.227,,,,,, +1998,8,27,11,30,963,95,966,4,37,940,247.5,0.3,0.227,,,,,, +1998,8,27,12,30,956,96,951,4,38,940,240.4,0.1,0.227,,,,,, +1998,8,27,13,30,937,94,871,4,38,940,147.3,0.3,0.227,,,,,, +1998,8,27,14,30,903,89,733,4,38,940,123,0.6,0.227,,,,,, +1998,8,27,15,30,842,80,549,4,37,940,126.2,0.9,0.227,,,,,, +1998,8,27,16,30,732,65,336,4,36,940,128.2,0.8,0.227,,,,,, +1998,8,27,17,30,493,41,121,4,33,940,123.4,0.7,0.227,,,,,, +1998,8,27,18,30,0,0,0,4,31,940,112.8,0.9,0.227,,,,,, +1998,8,27,19,30,0,0,0,4,30,940,114.5,1,0.227,,,,,, +1998,8,27,20,30,0,0,0,3,30,940,121.8,1.1,0.227,,,,,, +1998,8,27,21,30,0,0,0,3,29,940,132,1.1,0.227,,,,,, +1998,8,27,22,30,0,0,0,2,27,940,144.5,1.2,0.227,,,,,, +1998,8,27,23,30,0,0,0,2,26,940,157.7,1.2,0.227,,,,,, +1998,8,28,0,30,0,0,0,1,25,940,168.7,1.3,0.227,,,,,, +1998,8,28,1,30,0,0,0,1,24,940,177.5,1.4,0.227,,,,,, +1998,8,28,2,30,0,0,0,0,24,940,181.3,1.5,0.227,,,,,, +1998,8,28,3,30,0,0,0,0,23,940,181.3,1.6,0.227,,,,,, +1998,8,28,4,30,0,0,0,0,23,940,179,1.8,0.227,,,,,, +1998,8,28,5,30,165,13,18,0,24,940,176.3,2.8,0.227,,,,,, +1998,8,28,6,30,607,52,199,0,28,940,171.7,3.8,0.227,,,,,, +1998,8,28,7,30,776,72,416,0,32,940,171.1,4,0.227,,,,,, +1998,8,28,8,30,860,85,619,2,35,940,176.1,3.4,0.227,,,,,, +1998,8,28,9,30,906,93,784,3,37,940,179.5,2.4,0.227,,,,,, +1998,8,28,10,30,930,99,897,3,38,940,186.1,1.5,0.227,,,,,, +1998,8,28,11,30,937,102,947,4,39,940,193,0.8,0.227,,,,,, +1998,8,28,12,30,931,102,932,5,40,940,198,0.5,0.227,,,,,, +1998,8,28,13,30,913,99,854,5,41,940,171.5,0.6,0.227,,,,,, +1998,8,28,14,30,878,93,718,5,40,940,144.3,1,0.227,,,,,, +1998,8,28,15,30,817,83,535,5,39,940,135.2,1.4,0.227,,,,,, +1998,8,28,16,30,703,68,325,5,38,940,132.2,1.3,0.227,,,,,, +1998,8,28,17,30,458,41,114,6,35,940,129.5,1.1,0.227,,,,,, +1998,8,28,18,30,0,0,0,6,34,940,126.7,1.2,0.227,,,,,, +1998,8,28,19,30,0,0,0,6,33,940,126.2,1.3,0.227,,,,,, +1998,8,28,20,30,0,0,0,6,32,940,129.5,1.1,0.227,,,,,, +1998,8,28,21,30,0,0,0,6,30,940,143.4,1.2,0.227,,,,,, +1998,8,28,22,30,0,0,0,5,29,940,271.5,2.7,0.227,,,,,, +1998,8,28,23,30,0,0,0,5,28,940,289.1,4.3,0.227,,,,,, +1998,8,29,0,30,0,0,0,4,28,940,296.9,4,0.227,,,,,, +1998,8,29,1,30,0,0,0,4,27,940,302.4,2.8,0.227,,,,,, +1998,8,29,2,30,0,0,0,4,27,940,298.3,2,0.227,,,,,, +1998,8,29,3,30,0,0,0,4,27,940,284.7,1.8,0.227,,,,,, +1998,8,29,4,30,0,0,0,5,27,940,272.7,2,0.227,,,,,, +1998,8,29,5,30,67,12,14,6,28,940,266.7,2.9,0.227,,,,,, +1998,8,29,6,30,463,66,177,8,30,940,277.9,3.7,0.227,,,,,, +1998,8,29,7,30,638,100,381,12,32,950,297.5,4,0.227,,,,,, +1998,8,29,8,30,726,125,574,13,35,950,302.5,4.1,0.227,,,,,, +1998,8,29,9,30,772,146,732,14,37,940,302.9,4,0.227,,,,,, +1998,8,29,10,30,794,161,841,14,38,940,303.1,3.6,0.227,,,,,, +1998,8,29,11,30,796,172,889,14,39,940,301.4,3.2,0.227,,,,,, +1998,8,29,12,30,782,178,873,14,40,940,296.8,2.8,0.227,,,,,, +1998,8,29,13,30,748,178,794,14,39,940,292.4,2.5,0.227,,,,,, +1998,8,29,14,30,696,168,660,14,39,940,288,2.3,0.227,,,,,, +1998,8,29,15,30,614,146,484,14,38,940,283.6,2.2,0.227,,,,,, +1998,8,29,16,30,482,111,285,14,37,940,281.6,1.8,0.227,,,,,, +1998,8,29,17,30,0,46,46,14,35,940,281.1,1.2,0.227,,,,,, +1998,8,29,18,30,0,0,0,14,33,940,283,1,0.227,,,,,, +1998,8,29,19,30,0,0,0,14,32,940,286.6,1.1,0.227,,,,,, +1998,8,29,20,30,0,0,0,13,31,940,290.9,1.2,0.227,,,,,, +1998,8,29,21,30,0,0,0,13,30,940,290.2,1.2,0.227,,,,,, +1998,8,29,22,30,0,0,0,12,29,940,284.3,1.2,0.227,,,,,, +1998,8,29,23,30,0,0,0,12,28,940,280.4,1.1,0.227,,,,,, +1998,8,30,0,30,0,0,0,11,28,940,284.5,1,0.227,,,,,, +1998,8,30,1,30,0,0,0,11,28,940,293.5,0.7,0.227,,,,,, +1998,8,30,2,30,0,0,0,10,27,940,290.5,0.6,0.227,,,,,, +1998,8,30,3,30,0,0,0,10,27,940,254.5,0.7,0.227,,,,,, +1998,8,30,4,30,0,0,0,9,27,940,242.5,0.9,0.227,,,,,, +1998,8,30,5,30,35,10,11,9,28,940,245.6,1.6,0.227,,,,,, +1998,8,30,6,30,408,75,172,8,31,940,253.2,2.4,0.227,,,,,, +1998,8,30,7,30,607,112,378,8,35,940,282.9,2.8,0.227,,,,,, +1998,8,30,8,30,710,137,574,9,38,940,321.7,3.3,0.227,,,,,, +1998,8,30,9,30,767,154,735,9,39,940,337.1,3.4,0.227,,,,,, +1998,8,30,10,30,792,169,845,9,40,940,342.4,3.2,0.227,,,,,, +1998,8,30,11,30,797,180,894,9,41,940,344.6,3,0.227,,,,,, +1998,8,30,12,30,457,372,777,9,41,940,346.8,2.7,0.227,,,,,, +1998,8,30,13,30,55,368,414,9,40,940,349.4,2.3,0.227,,,,,, +1998,8,30,14,30,4,171,175,10,40,940,351.6,1.8,0.227,,,,,, +1998,8,30,15,30,9,179,184,10,39,940,353.8,1.4,0.227,,,,,, +1998,8,30,16,30,530,94,283,10,38,940,355.8,0.9,0.227,,,,,, +1998,8,30,17,30,277,46,88,11,35,940,4,0.6,0.227,,,,,, +1998,8,30,18,30,0,0,0,13,33,940,18.4,0.6,0.227,,,,,, +1998,8,30,19,30,0,0,0,13,31,940,32.5,0.7,0.227,,,,,, +1998,8,30,20,30,0,0,0,13,30,940,47.8,0.8,0.227,,,,,, +1998,8,30,21,30,0,0,0,12,29,940,66.3,0.9,0.227,,,,,, +1998,8,30,22,30,0,0,0,12,28,940,88.3,1,0.227,,,,,, +1998,8,30,23,30,0,0,0,12,27,940,114.5,1,0.227,,,,,, +1998,8,31,0,30,0,0,0,11,27,940,139.4,1.1,0.227,,,,,, +1998,8,31,1,30,0,0,0,11,26,940,163.6,1.2,0.227,,,,,, +1998,8,31,2,30,0,0,0,11,25,940,183.5,1.3,0.227,,,,,, +1998,8,31,3,30,0,0,0,13,24,940,197.8,1.4,0.227,,,,,, +1998,8,31,4,30,0,0,0,15,24,940,208.9,1.4,0.227,,,,,, +1998,8,31,5,30,0,12,12,16,25,940,214.7,2.2,0.227,,,,,, +1998,8,31,6,30,466,67,177,14,27,940,210.2,3,0.227,,,,,, +1998,8,31,7,30,70,179,210,12,29,940,216.7,3,0.227,,,,,, +1998,8,31,8,30,764,117,587,12,31,940,229.4,2.7,0.227,,,,,, +1998,8,31,9,30,211,364,524,12,33,940,223.3,2.5,0.227,,,,,, +1998,8,31,10,30,853,137,863,12,34,940,209.7,2.6,0.227,,,,,, +1998,8,31,11,30,866,140,915,12,35,940,197.3,3,0.227,,,,,, +1998,8,31,12,30,865,138,901,12,36,940,191.9,3.6,0.227,,,,,, +1998,8,31,13,30,847,132,823,12,36,940,193,4.2,0.227,,,,,, +1998,8,31,14,30,514,242,603,11,35,940,194.7,4.7,0.227,,,,,, +1998,8,31,15,30,0,56,56,12,34,940,196.2,4.9,0.227,,,,,, +2014,8,31,16,30,557,87,284,-3,35,940,8.8,2.6,0.225,,,,,, +2014,8,31,17,30,488,36,107,-1,31,940,4.8,1.8,0.225,,,,,, +2014,8,31,18,30,0,0,0,0,28,940,4.2,1.9,0.225,,,,,, +2014,8,31,19,30,0,0,0,0,26,940,14.2,2.1,0.225,,,,,, +2014,8,31,20,30,0,0,0,0,25,940,28.4,2.1,0.225,,,,,, +2014,8,31,21,30,0,0,0,0,23,940,38.3,1.8,0.225,,,,,, +2014,8,31,22,30,0,0,0,1,22,940,44.5,1.6,0.225,,,,,, +2014,8,31,23,30,0,0,0,1,21,940,50.5,1.4,0.225,,,,,, +2014,9,1,0,30,0,0,0,1,21,940,58.7,1.2,0.225,,,,,, +2014,9,1,1,30,0,0,0,1,20,940,68.2,1,0.225,,,,,, +2014,9,1,2,30,0,0,0,1,20,940,79.4,0.8,0.225,,,,,, +2014,9,1,3,30,0,0,0,1,19,940,98.2,0.5,0.225,,,,,, +2014,9,1,4,30,0,0,0,1,19,940,129.7,0.2,0.225,,,,,, +2014,9,1,5,30,116,10,12,1,20,940,196.9,0.2,0.225,,,,,, +2014,9,1,6,30,603,51,191,1,23,940,344.1,0.5,0.225,,,,,, +2014,9,1,7,30,787,71,412,2,27,940,1.1,1.2,0.225,,,,,, +2014,9,1,8,30,876,83,619,3,31,940,7.8,2.1,0.225,,,,,, +2014,9,1,9,30,925,92,788,1,34,940,16.2,2.7,0.225,,,,,, +2014,9,1,10,30,953,96,904,0,35,940,13.6,2.9,0.225,,,,,, +2014,9,1,11,30,964,98,957,0,36,940,11,2.9,0.225,,,,,, +2014,9,1,12,30,963,97,943,0,37,940,10.1,2.8,0.225,,,,,, +2014,9,1,13,30,945,95,863,-1,38,940,11.1,2.7,0.225,,,,,, +2014,9,1,14,30,912,88,723,-1,38,940,12.5,2.5,0.225,,,,,, +2014,9,1,15,30,852,78,536,-2,37,940,14.9,2.2,0.225,,,,,, +2014,9,1,16,30,739,62,319,-2,35,940,18.7,1.5,0.225,,,,,, +2014,9,1,17,30,480,35,103,0,32,940,29,0.9,0.225,,,,,, +2014,9,1,18,30,0,0,0,0,30,940,47.8,1,0.225,,,,,, +2014,9,1,19,30,0,0,0,-1,28,940,54.3,1.1,0.225,,,,,, +2014,9,1,20,30,0,0,0,-1,26,940,48.6,1.1,0.225,,,,,, +2014,9,1,21,30,0,0,0,0,24,940,34.2,1.1,0.225,,,,,, +2014,9,1,22,30,0,0,0,0,23,940,17,1.2,0.225,,,,,, +2014,9,1,23,30,0,0,0,0,22,940,4.9,1.2,0.225,,,,,, +2014,9,2,0,30,0,0,0,0,21,940,356.2,1.1,0.225,,,,,, +2014,9,2,1,30,0,0,0,0,20,940,347.1,0.9,0.225,,,,,, +2014,9,2,2,30,0,0,0,0,20,940,329,0.7,0.225,,,,,, +2014,9,2,3,30,0,0,0,0,20,940,299,0.7,0.225,,,,,, +2014,9,2,4,30,0,0,0,0,20,940,286.2,0.7,0.225,,,,,, +2014,9,2,5,30,117,9,12,0,21,940,288.2,1.2,0.225,,,,,, +2014,9,2,6,30,620,49,192,0,24,940,289.2,1.7,0.225,,,,,, +2014,9,2,7,30,802,69,415,0,28,940,300.8,2.2,0.225,,,,,, +2014,9,2,8,30,891,81,624,0,32,940,317.3,2.9,0.225,,,,,, +2014,9,2,9,30,941,89,795,-2,35,940,333.1,3.4,0.225,,,,,, +2014,9,2,10,30,967,94,912,-3,37,940,340.2,3.7,0.225,,,,,, +2014,9,2,11,30,978,96,965,-3,38,940,348.3,3.9,0.225,,,,,, +2014,9,2,12,30,976,96,951,-4,38,940,355.2,3.9,0.225,,,,,, +2014,9,2,13,30,958,94,869,-4,39,940,3.1,3.8,0.225,,,,,, +2014,9,2,14,30,924,87,727,-4,39,940,11.2,3.7,0.225,,,,,, +2014,9,2,15,30,861,77,536,-5,38,940,18.8,3.4,0.225,,,,,, +2014,9,2,16,30,742,62,317,-4,35,940,25.8,2.4,0.225,,,,,, +2014,9,2,17,30,467,36,99,-3,32,940,33.7,1.4,0.225,,,,,, +2014,9,2,18,30,0,0,0,-2,29,940,41.8,1.4,0.225,,,,,, +2014,9,2,19,30,0,0,0,-1,27,940,44.3,1.4,0.225,,,,,, +2014,9,2,20,30,0,0,0,0,25,940,44.8,1.4,0.225,,,,,, +2014,9,2,21,30,0,0,0,0,24,940,45.8,1.4,0.225,,,,,, +2014,9,2,22,30,0,0,0,-1,23,940,48.5,1.3,0.225,,,,,, +2014,9,2,23,30,0,0,0,-1,22,940,55.8,1.2,0.225,,,,,, +2014,9,3,0,30,0,0,0,-1,21,940,71.2,1,0.225,,,,,, +2014,9,3,1,30,0,0,0,0,20,940,90.2,0.9,0.225,,,,,, +2014,9,3,2,30,0,0,0,1,20,940,111.2,0.8,0.225,,,,,, +2014,9,3,3,30,0,0,0,2,19,940,132.9,0.8,0.225,,,,,, +2014,9,3,4,30,0,0,0,4,19,940,150.3,0.8,0.225,,,,,, +2014,9,3,5,30,0,0,0,5,20,940,164.3,0.8,0.225,,,,,, +2014,9,3,6,30,227,80,132,6,22,940,186,0.7,0.225,,,,,, +2014,9,3,7,30,800,66,410,7,26,940,211.4,0.8,0.225,,,,,, +2014,9,3,8,30,887,79,617,7,30,940,334.5,1.5,0.225,,,,,, +2014,9,3,9,30,937,86,787,4,33,940,352.9,2.3,0.225,,,,,, +2014,9,3,10,30,961,93,904,2,35,940,358.3,2.9,0.225,,,,,, +2014,9,3,11,30,978,93,959,1,36,940,2.8,3.4,0.225,,,,,, +2014,9,3,12,30,981,91,947,0,37,940,7.7,3.7,0.225,,,,,, +2014,9,3,13,30,972,85,868,-2,38,930,12.4,3.9,0.225,,,,,, +2014,9,3,14,30,942,79,727,-4,37,930,14.3,4,0.225,,,,,, +2014,9,3,15,30,884,70,538,-5,36,930,16.7,4,0.225,,,,,, +2014,9,3,16,30,768,57,317,-5,33,930,21.2,3,0.225,,,,,, +2014,9,3,17,30,494,33,97,-4,30,930,29.9,1.8,0.225,,,,,, +2014,9,3,18,30,0,0,0,-3,27,930,40.7,1.6,0.225,,,,,, +2014,9,3,19,30,0,0,0,-1,25,940,42.3,1.7,0.225,,,,,, +2014,9,3,20,30,0,0,0,0,24,940,35.6,1.9,0.225,,,,,, +2014,9,3,21,30,0,0,0,0,22,940,28,2,0.225,,,,,, +2014,9,3,22,30,0,0,0,0,21,940,22.6,1.8,0.225,,,,,, +2014,9,3,23,30,0,0,0,0,20,940,16.4,1.4,0.225,,,,,, +2014,9,4,0,30,0,0,0,0,20,930,359.9,1.2,0.225,,,,,, +2014,9,4,1,30,0,0,0,0,19,930,338.4,1.2,0.225,,,,,, +2014,9,4,2,30,0,0,0,1,18,930,333.6,1.2,0.225,,,,,, +2014,9,4,3,30,0,0,0,2,18,940,339.4,1.1,0.225,,,,,, +2014,9,4,4,30,0,0,0,4,18,940,348.3,1.1,0.225,,,,,, +2014,9,4,5,30,0,0,0,5,19,940,356.3,1.6,0.225,,,,,, +2014,9,4,6,30,594,50,184,6,21,940,358.9,2.5,0.225,,,,,, +2014,9,4,7,30,780,71,404,7,25,940,353.1,3.5,0.225,,,,,, +2014,9,4,8,30,870,84,610,7,29,940,354.9,4.4,0.225,,,,,, +2014,9,4,9,30,921,92,779,5,32,940,352.1,4.6,0.225,,,,,, +2014,9,4,10,30,950,97,895,4,34,940,351.8,4.8,0.225,,,,,, +2014,9,4,11,30,964,98,948,2,35,940,352.7,4.8,0.225,,,,,, +2014,9,4,12,30,963,96,933,1,36,940,354.8,4.6,0.225,,,,,, +2014,9,4,13,30,941,96,851,0,37,930,0.4,4.3,0.225,,,,,, +2014,9,4,14,30,907,89,709,0,36,930,8.1,3.9,0.225,,,,,, +2014,9,4,15,30,842,78,520,0,35,930,17.1,3.5,0.225,,,,,, +2014,9,4,16,30,718,63,302,0,33,930,25.9,2.5,0.225,,,,,, +2014,9,4,17,30,429,35,88,0,30,940,34.7,1.5,0.225,,,,,, +2014,9,4,18,30,0,0,0,1,27,940,42.9,1.3,0.225,,,,,, +2014,9,4,19,30,0,0,0,1,26,940,36.6,1.6,0.225,,,,,, +2014,9,4,20,30,0,0,0,2,24,940,24.3,2,0.225,,,,,, +2014,9,4,21,30,0,0,0,3,23,940,16.5,2.3,0.225,,,,,, +2014,9,4,22,30,0,0,0,3,21,940,11.7,2.2,0.225,,,,,, +2014,9,4,23,30,0,0,0,3,21,940,6.5,1.7,0.225,,,,,, +2014,9,5,0,30,0,0,0,2,20,940,355.6,1.2,0.225,,,,,, +2014,9,5,1,30,0,0,0,1,20,940,338.8,1,0.225,,,,,, +2014,9,5,2,30,0,0,0,1,20,940,317.8,1,0.225,,,,,, +2014,9,5,3,30,0,0,0,1,19,940,300.8,1.2,0.225,,,,,, +2014,9,5,4,30,0,0,0,0,19,940,293.4,1.7,0.225,,,,,, +2014,9,5,5,30,0,0,0,0,20,940,300.8,3,0.225,,,,,, +2014,9,5,6,30,624,47,187,1,24,940,316.2,4.1,0.225,,,,,, +2014,9,5,7,30,799,68,408,3,27,940,325.3,4.6,0.225,,,,,, +2014,9,5,8,30,877,84,612,5,30,940,327.1,4.9,0.225,,,,,, +2014,9,5,9,30,912,97,775,7,32,940,330.6,5.1,0.225,,,,,, +2014,9,5,10,30,926,108,883,8,34,940,335.6,5.1,0.225,,,,,, +2014,9,5,11,30,922,116,927,9,35,940,340.8,5,0.225,,,,,, +2014,9,5,12,30,906,120,905,10,35,940,346.1,4.9,0.225,,,,,, +2014,9,5,13,30,898,108,824,9,36,940,351.4,4.6,0.225,,,,,, +2014,9,5,14,30,856,101,683,9,36,940,354.4,4.5,0.225,,,,,, +2014,9,5,15,30,784,89,497,8,35,940,355.4,4.2,0.225,,,,,, +2014,9,5,16,30,650,71,285,7,34,940,355.3,3.5,0.227,,,,,, +2014,9,5,17,30,342,37,79,7,31,940,355.2,2.3,0.227,,,,,, +2014,9,5,18,30,0,0,0,7,28,940,358.3,1.8,0.227,,,,,, +2014,9,5,19,30,0,0,0,6,26,940,5.4,2.3,0.227,,,,,, +2014,9,5,20,30,0,0,0,5,25,940,14.8,2.9,0.227,,,,,, +2014,9,5,21,30,0,0,0,4,24,940,23.2,3.4,0.227,,,,,, +2014,9,5,22,30,0,0,0,3,23,940,28.9,3.3,0.227,,,,,, +2014,9,5,23,30,0,0,0,4,23,940,33.9,2.6,0.227,,,,,, +2014,9,6,0,30,0,0,0,5,22,940,38.7,1.7,0.227,,,,,, +2014,9,6,1,30,0,0,0,6,22,940,43.4,1,0.227,,,,,, +2014,9,6,2,30,0,0,0,7,22,940,47.8,0.5,0.227,,,,,, +2014,9,6,3,30,0,0,0,8,22,940,47.7,0.3,0.227,,,,,, +2014,9,6,4,30,0,0,0,9,22,940,343.8,0.4,0.227,,,,,, +2014,9,6,5,30,0,0,0,11,23,940,292.1,1.2,0.227,,,,,, +2014,9,6,6,30,441,65,162,12,26,940,301,2,0.227,,,,,, +2014,9,6,7,30,659,94,372,14,29,940,311.7,2.5,0.227,,,,,, +2014,9,6,8,30,770,110,572,16,31,940,317.7,2.8,0.227,,,,,, +2014,9,6,9,30,833,118,735,16,33,940,321.8,2.9,0.227,,,,,, +2014,9,6,10,30,889,109,851,16,34,940,327.2,2.8,0.227,,,,,, +2014,9,6,11,30,900,111,899,15,35,940,332.9,2.5,0.227,,,,,, +2014,9,6,12,30,894,111,882,14,36,940,337,2.3,0.227,,,,,, +2014,9,6,13,30,269,366,580,14,36,940,345.2,2.1,0.227,,,,,, +2014,9,6,14,30,259,303,479,13,35,940,357.2,2.1,0.227,,,,,, +2014,9,6,15,30,240,221,345,13,34,940,6.3,2.3,0.227,,,,,, +2014,9,6,16,30,261,120,205,13,33,940,13.1,2.4,0.227,,,,,, +2014,9,6,17,30,19,38,41,13,32,940,19.2,2.2,0.227,,,,,, +2014,9,6,18,30,0,0,0,13,30,940,26.6,2.5,0.227,,,,,, +2014,9,6,19,30,0,0,0,13,29,940,29.1,3.1,0.227,,,,,, +2014,9,6,20,30,0,0,0,12,28,940,31.1,3.5,0.227,,,,,, +2014,9,6,21,30,0,0,0,11,27,940,32.1,3.4,0.227,,,,,, +2014,9,6,22,30,0,0,0,10,26,940,31.8,2.9,0.227,,,,,, +2014,9,6,23,30,0,0,0,9,25,940,29.4,2.1,0.227,,,,,, +2014,9,7,0,30,0,0,0,8,24,940,20.8,1.4,0.227,,,,,, +2014,9,7,1,30,0,0,0,8,23,940,2.9,1.2,0.227,,,,,, +2014,9,7,2,30,0,0,0,7,23,940,342.7,1.3,0.227,,,,,, +2014,9,7,3,30,0,0,0,7,23,940,331.3,1.6,0.227,,,,,, +2014,9,7,4,30,0,0,0,7,23,940,328.3,1.9,0.227,,,,,, +2014,9,7,5,30,0,0,0,8,24,940,330,2.6,0.227,,,,,, +2014,9,7,6,30,228,76,126,8,27,940,339.4,3.4,0.227,,,,,, +2014,9,7,7,30,668,88,368,10,30,940,350.2,3.6,0.227,,,,,, +2014,9,7,8,30,757,110,562,13,32,940,356.3,3.3,0.227,,,,,, +2014,9,7,9,30,804,126,720,14,33,940,355.8,3.1,0.227,,,,,, +2014,9,7,10,30,0,95,95,15,34,940,355.8,2.9,0.227,,,,,, +2014,9,7,11,30,57,402,451,15,34,940,357.5,2.7,0.227,,,,,, +2014,9,7,12,30,87,418,492,16,33,940,0.2,2.4,0.227,,,,,, +2014,9,7,13,30,7,182,187,16,32,940,359,2.2,0.227,,,,,, +2014,9,7,14,30,0,32,32,16,31,940,354.7,2,0.227,,,,,, +2014,9,7,15,30,0,81,81,17,30,940,349.6,1.9,0.227,,,,,, +2014,9,7,16,30,28,119,128,17,29,940,346.4,1.8,0.227,,,,,, +2014,9,7,17,30,188,40,61,18,28,940,351.8,1.7,0.227,,,,,, +2014,9,7,18,30,0,0,0,18,27,940,7.8,2,0.227,,,,,, +2014,9,7,19,30,0,0,0,18,26,940,15.5,2.9,0.227,,,,,, +2014,9,7,20,30,0,0,0,17,26,940,17.1,4,0.227,,,,,, +2014,9,7,21,30,0,0,0,17,25,940,18.7,4.6,0.227,,,,,, +2014,9,7,22,30,0,0,0,18,25,940,18.8,4.5,0.227,,,,,, +2014,9,7,23,30,0,0,0,17,25,940,17.3,4.2,0.227,,,,,, +2014,9,8,0,30,0,0,0,16,24,940,14.2,3.7,0.227,,,,,, +2014,9,8,1,30,0,0,0,16,24,940,7.2,3,0.227,,,,,, +2014,9,8,2,30,0,0,0,15,23,940,352.5,2.7,0.227,,,,,, +2014,9,8,3,30,0,0,0,15,23,940,332.8,2.6,0.227,,,,,, +2014,9,8,4,30,0,0,0,15,22,940,318.1,2.7,0.227,,,,,, +2014,9,8,5,30,0,0,0,15,22,940,312.4,3.1,0.227,,,,,, +2014,9,8,6,30,0,62,62,14,24,940,321.2,3.8,0.227,,,,,, +2014,9,8,7,30,8,143,146,13,25,940,333,4.1,0.227,,,,,, +2014,9,8,8,30,0,125,125,14,27,940,338.9,4,0.227,,,,,, +2014,9,8,9,30,47,316,350,15,28,940,341.2,3.9,0.227,,,,,, +2014,9,8,10,30,664,228,779,16,28,940,339.1,3.8,0.227,,,,,, +2014,9,8,11,30,328,419,704,16,28,940,334.3,3.6,0.227,,,,,, +2014,9,8,12,30,118,427,529,17,27,940,331.6,3.5,0.227,,,,,, +2014,9,8,13,30,318,365,615,18,27,940,329.9,3.3,0.227,,,,,, +2014,9,8,14,30,73,299,348,18,26,940,331.4,3.1,0.227,,,,,, +2014,9,8,15,30,2,155,156,18,26,940,334.9,3,0.227,,,,,, +2014,9,8,16,30,0,70,70,18,25,940,337.4,2.5,0.227,,,,,, +2014,9,8,17,30,0,4,4,19,24,940,334.5,2,0.227,,,,,, +2014,9,8,18,30,0,0,0,20,23,940,336,2.1,0.227,,,,,, +2014,9,8,19,30,0,0,0,20,23,940,346.8,2.5,0.227,,,,,, +2014,9,8,20,30,0,0,0,19,22,940,356.1,2.8,0.227,,,,,, +2014,9,8,21,30,0,0,0,19,22,940,357,2.8,0.227,,,,,, +2014,9,8,22,30,0,0,0,18,21,940,355.9,2.6,0.227,,,,,, +2014,9,8,23,30,0,0,0,18,21,940,353.5,2.2,0.227,,,,,, +2014,9,9,0,30,0,0,0,17,20,940,347.8,1.8,0.227,,,,,, +2014,9,9,1,30,0,0,0,17,20,940,342.9,1.4,0.227,,,,,, +2014,9,9,2,30,0,0,0,16,19,940,340.1,1.2,0.227,,,,,, +2014,9,9,3,30,0,0,0,16,19,940,336.5,1,0.227,,,,,, +2014,9,9,4,30,0,0,0,15,19,940,336.5,0.8,0.227,,,,,, +2014,9,9,5,30,0,0,0,14,19,940,340.1,0.9,0.227,,,,,, +2014,9,9,6,30,617,45,176,14,21,940,1.2,0.8,0.227,,,,,, +2014,9,9,7,30,817,63,402,11,24,940,100.4,0.5,0.227,,,,,, +2014,9,9,8,30,911,74,614,8,27,940,130.4,0.4,0.227,,,,,, +2014,9,9,9,30,960,83,786,5,28,940,116.3,0.4,0.227,,,,,, +2014,9,9,10,30,972,96,899,3,29,940,88.4,0.4,0.227,,,,,, +2014,9,9,11,30,983,97,950,1,30,940,72.9,0.5,0.227,,,,,, +2014,9,9,12,30,980,96,931,0,31,940,63.2,0.6,0.227,,,,,, +2014,9,9,13,30,959,93,844,0,32,940,51.9,0.6,0.227,,,,,, +2014,9,9,14,30,920,86,697,-1,31,940,21.2,0.8,0.227,,,,,, +2014,9,9,15,30,851,76,503,-1,30,940,354.9,1.2,0.227,,,,,, +2014,9,9,16,30,717,59,282,-1,28,940,338.7,1.5,0.227,,,,,, +2014,9,9,17,30,392,29,69,7,26,940,329,1.5,0.227,,,,,, +2014,9,9,18,30,0,0,0,6,24,940,329.4,1.5,0.227,,,,,, +2014,9,9,19,30,0,0,0,5,23,940,333,1.5,0.227,,,,,, +2014,9,9,20,30,0,0,0,4,22,940,337.6,1.4,0.227,,,,,, +2014,9,9,21,30,0,0,0,4,21,940,340.1,1.2,0.227,,,,,, +2014,9,9,22,30,0,0,0,5,19,940,338.2,1.1,0.227,,,,,, +2014,9,9,23,30,0,0,0,4,18,940,333.5,1.1,0.227,,,,,, +2014,9,10,0,30,0,0,0,4,17,940,328.1,1,0.227,,,,,, +2014,9,10,1,30,0,0,0,3,17,940,327,0.9,0.227,,,,,, +2014,9,10,2,30,0,0,0,3,17,940,327.1,0.8,0.227,,,,,, +2014,9,10,3,30,0,0,0,2,17,940,324,0.7,0.227,,,,,, +2014,9,10,4,30,0,0,0,2,17,940,314.1,0.6,0.227,,,,,, +2014,9,10,5,30,0,0,0,1,18,940,294.6,1,0.227,,,,,, +2014,9,10,6,30,550,53,169,3,20,940,271.5,1.4,0.227,,,,,, +2014,9,10,7,30,754,78,389,0,24,940,272.4,1.7,0.227,,,,,, +2014,9,10,8,30,852,92,595,0,28,940,264.9,2,0.227,,,,,, +2014,9,10,9,30,905,102,762,1,30,940,260.2,2.2,0.227,,,,,, +2014,9,10,10,30,941,102,877,2,31,940,258.6,2.3,0.227,,,,,, +2014,9,10,11,30,952,104,926,2,32,940,259.4,2.2,0.227,,,,,, +2014,9,10,12,30,948,103,907,3,33,940,261.7,2.1,0.227,,,,,, +2014,9,10,13,30,931,97,822,3,33,940,263.3,1.8,0.227,,,,,, +2014,9,10,14,30,892,89,678,3,32,940,262.5,1.5,0.227,,,,,, +2014,9,10,15,30,822,78,487,4,31,940,258.6,1.2,0.227,,,,,, +2014,9,10,16,30,686,60,270,4,30,940,254.1,0.6,0.227,,,,,, +2014,9,10,17,30,357,28,63,9,27,940,256,0.2,0.227,,,,,, +2014,9,10,18,30,0,0,0,8,25,940,83.5,0.4,0.227,,,,,, +2014,9,10,19,30,0,0,0,7,25,940,94.5,0.7,0.227,,,,,, +2014,9,10,20,30,0,0,0,8,24,940,101,0.9,0.227,,,,,, +2014,9,10,21,30,0,0,0,8,23,940,108.1,1,0.227,,,,,, +2014,9,10,22,30,0,0,0,9,22,940,118.4,1.1,0.227,,,,,, +2014,9,10,23,30,0,0,0,9,21,940,131.8,1.1,0.227,,,,,, +2014,9,11,0,30,0,0,0,9,21,940,145.2,1.2,0.227,,,,,, +2014,9,11,1,30,0,0,0,9,20,940,154.5,1.2,0.227,,,,,, +2014,9,11,2,30,0,0,0,8,19,940,161.1,1.2,0.227,,,,,, +2014,9,11,3,30,0,0,0,8,18,940,166.3,1.3,0.227,,,,,, +2014,9,11,4,30,0,0,0,7,18,940,170.6,1.2,0.227,,,,,, +2014,9,11,5,30,0,0,0,6,19,940,173.8,1.6,0.227,,,,,, +2014,9,11,6,30,554,50,165,5,22,940,176.5,2.2,0.227,,,,,, +2014,9,11,7,30,755,74,383,3,26,940,181.7,1.8,0.227,,,,,, +2014,9,11,8,30,851,88,588,3,29,940,190.7,1,0.227,,,,,, +2014,9,11,9,30,901,99,754,4,32,940,223.8,0.6,0.227,,,,,, +2014,9,11,10,30,929,104,866,4,33,940,265.3,0.6,0.227,,,,,, +2014,9,11,11,30,938,107,914,5,33,940,283.5,0.7,0.227,,,,,, +2014,9,11,12,30,932,107,895,5,34,940,287.1,0.6,0.227,,,,,, +2014,9,11,13,30,906,106,809,5,34,940,284.5,0.5,0.227,,,,,, +2014,9,11,14,30,864,99,665,5,34,940,269.8,0.3,0.227,,,,,, +2014,9,11,15,30,788,86,475,5,33,940,233.7,0.3,0.227,,,,,, +2014,9,11,16,30,642,66,259,5,31,940,199.3,0.2,0.227,,,,,, +2014,9,11,17,30,298,29,56,9,28,940,139.4,0.2,0.227,,,,,, +2014,9,11,18,30,0,0,0,8,27,940,110.7,0.4,0.227,,,,,, +2014,9,11,19,30,0,0,0,8,26,940,110.4,0.6,0.227,,,,,, +2014,9,11,20,30,0,0,0,7,25,940,115.2,0.8,0.227,,,,,, +2014,9,11,21,30,0,0,0,7,25,940,119.5,1,0.227,,,,,, +2014,9,11,22,30,0,0,0,7,24,940,125.8,1.1,0.227,,,,,, +2014,9,11,23,30,0,0,0,7,23,940,134.6,1.2,0.227,,,,,, +2014,9,12,0,30,0,0,0,7,23,940,144.3,1.3,0.227,,,,,, +2014,9,12,1,30,0,0,0,7,22,940,150.8,1.4,0.227,,,,,, +2014,9,12,2,30,0,0,0,7,22,940,156.5,1.4,0.227,,,,,, +2014,9,12,3,30,0,0,0,7,22,940,163.4,1.4,0.227,,,,,, +2014,9,12,4,30,0,0,0,7,22,940,173.2,1.4,0.227,,,,,, +2014,9,12,5,30,0,0,0,6,23,940,184.7,1.7,0.227,,,,,, +2014,9,12,6,30,502,55,158,8,25,940,191.6,2.5,0.227,,,,,, +2014,9,12,7,30,712,83,374,6,28,940,194.6,2.8,0.227,,,,,, +2014,9,12,8,30,814,101,577,5,31,940,200.5,2.4,0.227,,,,,, +2014,9,12,9,30,870,113,742,4,33,940,207.9,1.9,0.227,,,,,, +2014,9,12,10,30,933,100,863,4,34,940,216.6,1.4,0.227,,,,,, +2014,9,12,11,30,941,104,911,4,35,940,224.6,1,0.227,,,,,, +2014,9,12,12,30,933,105,891,4,36,940,231.8,0.8,0.227,,,,,, +2014,9,12,13,30,938,87,811,4,36,940,234.5,0.6,0.227,,,,,, +2014,9,12,14,30,899,81,666,4,35,940,234.6,0.5,0.227,,,,,, +2014,9,12,15,30,827,72,476,4,34,940,231.4,0.4,0.227,,,,,, +2014,9,12,16,30,687,56,260,4,32,940,237.3,0.3,0.227,,,,,, +2014,9,12,17,30,343,25,55,9,30,940,281.4,0.2,0.227,,,,,, +2014,9,12,18,30,0,0,0,8,28,940,319.5,0.1,0.227,,,,,, +2014,9,12,19,30,0,0,0,7,28,940,323.1,0.1,0.227,,,,,, +2014,9,12,20,30,0,0,0,6,28,940,169.7,0.4,0.227,,,,,, +2014,9,12,21,30,0,0,0,6,27,940,169.8,0.8,0.227,,,,,, +2014,9,12,22,30,0,0,0,6,27,940,172.9,1.1,0.227,,,,,, +2014,9,12,23,30,0,0,0,5,26,940,178.6,1.2,0.227,,,,,, +2014,9,13,0,30,0,0,0,5,25,940,189,1.3,0.227,,,,,, +2014,9,13,1,30,0,0,0,6,25,940,204.1,1.3,0.227,,,,,, +2014,9,13,2,30,0,0,0,5,24,940,232.3,1.3,0.227,,,,,, +2014,9,13,3,30,0,0,0,5,24,940,263.8,1.5,0.227,,,,,, +2014,9,13,4,30,0,0,0,5,24,940,280.2,1.6,0.227,,,,,, +2014,9,13,5,30,0,0,0,5,24,940,282.2,2.2,0.227,,,,,, +2014,9,13,6,30,529,50,157,7,26,940,284.2,3.5,0.227,,,,,, +2014,9,13,7,30,731,75,372,5,29,940,292.5,4.5,0.227,,,,,, +2014,9,13,8,30,828,92,574,4,32,940,303,4.7,0.227,,,,,, +2014,9,13,9,30,879,103,738,5,34,940,308.9,4.3,0.227,,,,,, +2014,9,13,10,30,935,94,856,5,35,940,311.2,3.6,0.227,,,,,, +2014,9,13,11,30,942,98,903,5,36,940,310.5,3,0.227,,,,,, +2014,9,13,12,30,934,99,882,5,36,940,307.1,2.7,0.227,,,,,, +2014,9,13,13,30,904,100,794,5,36,940,302.5,2.3,0.227,,,,,, +2014,9,13,14,30,845,100,646,5,36,940,298,2.1,0.227,,,,,, +2014,9,13,15,30,742,94,453,5,35,940,293.9,2,0.227,,,,,, +2014,9,13,16,30,552,77,238,5,33,940,291.7,1.3,0.232,,,,,, +2014,9,13,17,30,167,30,44,9,30,940,291.7,0.8,0.232,,,,,, +2014,9,13,18,30,0,0,0,10,28,940,294.6,0.9,0.232,,,,,, +2014,9,13,19,30,0,0,0,9,27,940,302.8,1.1,0.232,,,,,, +2014,9,13,20,30,0,0,0,9,26,940,311,1.2,0.232,,,,,, +2014,9,13,21,30,0,0,0,9,25,940,315.1,1.4,0.232,,,,,, +2014,9,13,22,30,0,0,0,9,25,940,315,1.6,0.232,,,,,, +2014,9,13,23,30,0,0,0,9,24,940,311.8,1.9,0.232,,,,,, +2014,9,14,0,30,0,0,0,8,24,940,305.8,2.1,0.232,,,,,, +2014,9,14,1,30,0,0,0,8,23,940,298.1,2.1,0.232,,,,,, +2014,9,14,2,30,0,0,0,7,23,940,291.2,2,0.232,,,,,, +2014,9,14,3,30,0,0,0,7,23,940,286.2,1.8,0.232,,,,,, +2014,9,14,4,30,0,0,0,7,23,940,281.1,1.6,0.232,,,,,, +2014,9,14,5,30,0,0,0,6,24,940,277.8,2.2,0.232,,,,,, +2014,9,14,6,30,304,75,136,8,26,940,277.9,3.3,0.232,,,,,, +2014,9,14,7,30,523,128,339,6,30,940,289.2,3.7,0.232,,,,,, +2014,9,14,8,30,648,160,535,7,33,940,302.2,3.4,0.232,,,,,, +2014,9,14,9,30,721,179,697,8,34,940,303.3,2.9,0.232,,,,,, +2014,9,14,10,30,821,154,820,9,35,940,298.8,2.7,0.232,,,,,, +2014,9,14,11,30,834,157,867,8,36,940,294.1,2.5,0.232,,,,,, +2014,9,14,12,30,826,157,846,8,37,940,291.6,2.2,0.232,,,,,, +2014,9,14,13,30,852,122,772,8,37,940,290.7,1.9,0.232,,,,,, +2014,9,14,14,30,638,175,585,8,36,940,288.9,1.6,0.232,,,,,, +2014,9,14,15,30,58,197,225,8,35,940,288.4,1.4,0.232,,,,,, +2014,9,14,16,30,508,82,227,8,33,940,293.5,1,0.232,,,,,, +2014,9,14,17,30,146,28,40,11,31,940,310.5,0.7,0.232,,,,,, +2014,9,14,18,30,0,0,0,11,29,940,330.9,0.8,0.232,,,,,, +2014,9,14,19,30,0,0,0,11,28,940,344.7,0.9,0.232,,,,,, +2014,9,14,20,30,0,0,0,11,28,940,353.1,1,0.232,,,,,, +2014,9,14,21,30,0,0,0,11,27,940,357.8,1.1,0.232,,,,,, +2014,9,14,22,30,0,0,0,10,26,940,0.8,1,0.232,,,,,, +2014,9,14,23,30,0,0,0,10,25,940,1.9,0.9,0.232,,,,,, +2014,9,15,0,30,0,0,0,9,25,940,359.4,0.8,0.232,,,,,, +2014,9,15,1,30,0,0,0,8,24,940,347.1,0.6,0.232,,,,,, +2014,9,15,2,30,0,0,0,8,24,940,306.9,0.6,0.232,,,,,, +2014,9,15,3,30,0,0,0,7,24,940,262.5,0.8,0.232,,,,,, +2014,9,15,4,30,0,0,0,7,24,940,250.8,1,0.232,,,,,, +2014,9,15,5,30,0,0,0,7,25,940,252.1,1.3,0.232,,,,,, +2014,9,15,6,30,519,49,152,8,27,940,261.3,1.7,0.232,,,,,, +2014,9,15,7,30,728,73,365,6,31,940,277.3,2.1,0.232,,,,,, +2014,9,15,8,30,827,88,565,8,34,940,300,2.5,0.232,,,,,, +2014,9,15,9,30,880,98,728,9,35,940,296,2.5,0.232,,,,,, +2014,9,15,10,30,903,106,836,8,36,940,287.4,2.5,0.232,,,,,, +2014,9,15,11,30,913,109,882,8,37,940,277.7,2.6,0.232,,,,,, +2014,9,15,12,30,906,109,861,8,38,940,270.4,2.6,0.232,,,,,, +2014,9,15,13,30,845,125,766,8,38,940,267.6,2.6,0.232,,,,,, +2014,9,15,14,30,787,119,621,8,37,940,268.5,2.5,0.232,,,,,, +2014,9,15,15,30,687,106,432,8,36,940,273.6,2.4,0.232,,,,,, +2014,9,15,16,30,499,81,221,9,34,940,281.2,1.6,0.232,,,,,, +2014,9,15,17,30,135,26,36,11,31,940,290.2,0.9,0.232,,,,,, +2014,9,15,18,30,0,0,0,11,29,940,308.2,0.9,0.232,,,,,, +2014,9,15,19,30,0,0,0,11,29,940,321.4,1,0.232,,,,,, +2014,9,15,20,30,0,0,0,11,28,940,338.5,1.3,0.232,,,,,, +2014,9,15,21,30,0,0,0,11,27,940,352.9,1.9,0.232,,,,,, +2014,9,15,22,30,0,0,0,11,26,940,1.2,2.3,0.232,,,,,, +2014,9,15,23,30,0,0,0,11,26,940,6.2,2.2,0.232,,,,,, +2014,9,16,0,30,0,0,0,10,25,940,5.8,1.9,0.232,,,,,, +2014,9,16,1,30,0,0,0,10,24,940,2.4,1.5,0.232,,,,,, +2014,9,16,2,30,0,0,0,10,24,940,356.9,1.1,0.232,,,,,, +2014,9,16,3,30,0,0,0,10,23,940,343.2,1,0.232,,,,,, +2014,9,16,4,30,0,0,0,10,23,940,322.1,1.2,0.232,,,,,, +2014,9,16,5,30,0,0,0,11,24,940,306.8,2,0.232,,,,,, +2014,9,16,6,30,439,55,141,12,26,940,315.6,3.1,0.232,,,,,, +2014,9,16,7,30,653,86,346,14,29,940,335.6,3.7,0.232,,,,,, +2014,9,16,8,30,757,106,541,16,32,940,332.4,3.9,0.232,,,,,, +2014,9,16,9,30,812,120,699,17,33,940,324,3.8,0.232,,,,,, +2014,9,16,10,30,862,116,810,17,34,940,320,3.6,0.232,,,,,, +2014,9,16,11,30,872,120,855,17,35,940,319.3,3.3,0.232,,,,,, +2014,9,16,12,30,868,118,836,17,35,940,313.2,3,0.232,,,,,, +2014,9,16,13,30,817,129,746,17,35,940,302.9,3.1,0.232,,,,,, +2014,9,16,14,30,781,114,608,16,34,940,295.1,3.5,0.232,,,,,, +2014,9,16,15,30,707,94,426,15,33,940,290.6,3.8,0.232,,,,,, +2014,9,16,16,30,555,67,220,15,32,940,290.3,3.6,0.232,,,,,, +2014,9,16,17,30,178,23,35,15,30,940,293.3,3.2,0.232,,,,,, +2014,9,16,18,30,0,0,0,15,28,940,298.4,3.2,0.232,,,,,, +2014,9,16,19,30,0,0,0,16,27,940,304.2,3.2,0.232,,,,,, +2014,9,16,20,30,0,0,0,16,26,940,308,2.5,0.232,,,,,, +2014,9,16,21,30,0,0,0,16,26,940,306.1,1.6,0.232,,,,,, +2014,9,16,22,30,0,0,0,17,25,940,297.7,1,0.232,,,,,, +2014,9,16,23,30,0,0,0,17,24,940,287,0.8,0.232,,,,,, +2014,9,17,0,30,0,0,0,17,24,940,279.3,0.8,0.232,,,,,, +2014,9,17,1,30,0,0,0,17,23,940,270.7,0.8,0.232,,,,,, +2014,9,17,2,30,0,0,0,17,23,940,260.6,0.8,0.232,,,,,, +2014,9,17,3,30,0,0,0,17,23,940,252,0.8,0.232,,,,,, +2014,9,17,4,30,0,0,0,17,23,940,244.6,0.8,0.232,,,,,, +2014,9,17,5,30,0,0,0,17,23,940,238.4,1.1,0.232,,,,,, +2014,9,17,6,30,426,54,137,17,25,940,243.6,1.1,0.232,,,,,, +2014,9,17,7,30,649,85,342,17,28,940,251.5,0.7,0.232,,,,,, +2014,9,17,8,30,758,104,537,15,30,940,268.5,0.8,0.232,,,,,, +2014,9,17,9,30,816,117,697,14,32,940,298.4,0.9,0.232,,,,,, +2014,9,17,10,30,860,118,808,14,33,940,317.2,1,0.232,,,,,, +2014,9,17,11,30,869,123,853,14,34,940,326.9,1,0.232,,,,,, +2014,9,17,12,30,863,123,833,13,35,940,330.1,0.9,0.232,,,,,, +2014,9,17,13,30,844,116,750,13,35,940,325.6,0.8,0.232,,,,,, +2014,9,17,14,30,796,107,608,13,35,940,315,0.8,0.232,,,,,, +2014,9,17,15,30,714,91,424,12,34,940,306.2,1,0.232,,,,,, +2014,9,17,16,30,548,68,216,12,33,940,304.7,1.1,0.232,,,,,, +2014,9,17,17,30,173,22,32,13,30,940,304,0.9,0.232,,,,,, +2014,9,17,18,30,0,0,0,14,28,940,304.7,0.9,0.232,,,,,, +2014,9,17,19,30,0,0,0,13,27,940,303,1.1,0.232,,,,,, +2014,9,17,20,30,0,0,0,13,26,940,304.2,1.2,0.232,,,,,, +2014,9,17,21,30,0,0,0,12,25,940,309,1.3,0.232,,,,,, +2014,9,17,22,30,0,0,0,11,24,940,314.6,1.3,0.232,,,,,, +2014,9,17,23,30,0,0,0,9,23,940,320.2,1.2,0.232,,,,,, +2014,9,18,0,30,0,0,0,8,23,940,325.6,1.2,0.232,,,,,, +2014,9,18,1,30,0,0,0,7,22,940,334.6,1.2,0.232,,,,,, +2014,9,18,2,30,0,0,0,7,22,940,346.2,1.2,0.232,,,,,, +2014,9,18,3,30,0,0,0,7,21,940,354.1,1.2,0.232,,,,,, +2014,9,18,4,30,0,0,0,7,20,940,358.6,1.1,0.232,,,,,, +2014,9,18,5,30,0,0,0,8,21,940,358.7,1.6,0.232,,,,,, +2014,9,18,6,30,587,42,154,9,23,940,355.1,2.3,0.232,,,,,, +2014,9,18,7,30,800,61,375,8,25,940,356.6,2.1,0.232,,,,,, +2014,9,18,8,30,895,72,581,5,28,940,354.4,1.8,0.232,,,,,, +2014,9,18,9,30,942,81,746,4,30,940,346.7,1.8,0.232,,,,,, +2014,9,18,10,30,959,89,855,4,31,940,345.1,2,0.232,,,,,, +2014,9,18,11,30,967,90,899,5,32,940,349.1,2.3,0.232,,,,,, +2014,9,18,12,30,959,89,874,6,32,940,355.9,2.5,0.232,,,,,, +2014,9,18,13,30,925,91,781,7,32,940,2.9,3,0.232,,,,,, +2014,9,18,14,30,881,83,633,7,31,940,8.7,3.5,0.232,,,,,, +2014,9,18,15,30,803,71,441,8,30,940,15,3.9,0.232,,,,,, +2014,9,18,16,30,649,53,226,9,29,940,22.8,3.6,0.232,,,,,, +2014,9,18,17,30,249,18,32,10,26,940,35.1,3.4,0.232,,,,,, +2014,9,18,18,30,0,0,0,11,24,940,44.8,3.5,0.232,,,,,, +2014,9,18,19,30,0,0,0,12,23,940,55.7,3.5,0.232,,,,,, +2014,9,18,20,30,0,0,0,12,21,940,71,3,0.232,,,,,, +2014,9,18,21,30,0,0,0,13,20,940,80.2,2.5,0.232,,,,,, +2014,9,18,22,30,0,0,0,13,19,940,82.3,2,0.232,,,,,, +2014,9,18,23,30,0,0,0,13,19,940,85.2,1.8,0.232,,,,,, +2014,9,19,0,30,0,0,0,13,18,940,89.5,1.6,0.232,,,,,, +2014,9,19,1,30,0,0,0,13,18,940,93.1,1.4,0.232,,,,,, +2014,9,19,2,30,0,0,0,13,17,940,95.9,1.2,0.232,,,,,, +2014,9,19,3,30,0,0,0,13,17,940,101.3,1.1,0.232,,,,,, +2014,9,19,4,30,0,0,0,13,17,940,107.7,1,0.232,,,,,, +2014,9,19,5,30,0,0,0,13,17,940,113.5,1.5,0.232,,,,,, +2014,9,19,6,30,538,45,146,13,19,940,122.7,2.1,0.232,,,,,, +2014,9,19,7,30,754,67,361,12,21,940,140.7,1.5,0.232,,,,,, +2014,9,19,8,30,854,80,563,11,24,940,135.5,0.8,0.232,,,,,, +2014,9,19,9,30,905,89,726,10,26,940,3.4,1.3,0.232,,,,,, +2014,9,19,10,30,909,108,830,9,28,940,350.4,2.2,0.232,,,,,, +2014,9,19,11,30,926,106,878,8,30,940,349.7,2.9,0.232,,,,,, +2014,9,19,12,30,927,102,857,7,31,940,353.2,3.3,0.232,,,,,, +2014,9,19,13,30,925,88,774,6,32,940,0.2,3.5,0.232,,,,,, +2014,9,19,14,30,883,82,628,5,31,940,7.6,3.5,0.232,,,,,, +2014,9,19,15,30,805,71,438,5,30,940,14.2,3.3,0.232,,,,,, +2014,9,19,16,30,650,53,223,5,29,940,19.4,2.2,0.232,,,,,, +2014,9,19,17,30,240,17,29,7,27,940,27.6,1.2,0.232,,,,,, +2014,9,19,18,30,0,0,0,7,25,940,41.6,1.1,0.232,,,,,, +2014,9,19,19,30,0,0,0,6,24,940,50,1.1,0.232,,,,,, +2014,9,19,20,30,0,0,0,7,23,940,53.1,1.1,0.232,,,,,, +2014,9,19,21,30,0,0,0,7,22,940,55.2,1.1,0.232,,,,,, +2014,9,19,22,30,0,0,0,8,20,940,56.4,1,0.232,,,,,, +2014,9,19,23,30,0,0,0,9,19,940,58.1,0.9,0.232,,,,,, +2014,9,20,0,30,0,0,0,11,18,940,61.6,0.6,0.232,,,,,, +2014,9,20,1,30,0,0,0,12,18,940,81.1,0.4,0.232,,,,,, +2014,9,20,2,30,0,0,0,12,17,940,131.1,0.4,0.232,,,,,, +2014,9,20,3,30,0,0,0,13,17,940,172,0.5,0.232,,,,,, +2014,9,20,4,30,0,0,0,13,16,940,189.2,0.6,0.232,,,,,, +2014,9,20,5,30,0,0,0,14,17,940,199.6,0.8,0.232,,,,,, +2014,9,20,6,30,483,49,138,14,19,940,213.7,1.1,0.232,,,,,, +2014,9,20,7,30,706,75,349,14,22,940,246,1.4,0.232,,,,,, +2014,9,20,8,30,811,91,547,13,26,940,298.9,2.1,0.232,,,,,, +2014,9,20,9,30,865,101,707,11,29,940,315.8,3,0.232,,,,,, +2014,9,20,10,30,863,124,807,11,31,940,324.6,3.6,0.232,,,,,, +2014,9,20,11,30,863,132,848,11,32,940,331.3,3.8,0.232,,,,,, +2014,9,20,12,30,846,136,822,11,33,940,335.5,3.9,0.232,,,,,, +2014,9,20,13,30,807,137,732,11,33,940,338.4,4,0.232,,,,,, +2014,9,20,14,30,738,131,585,11,33,940,341.6,3.9,0.232,,,,,, +2014,9,20,15,30,627,115,398,11,32,940,345.5,3.8,0.232,,,,,, +2014,9,20,16,30,434,81,193,10,30,940,351,2.7,0.232,,,,,, +2014,9,20,17,30,67,17,20,11,27,940,0,1.5,0.232,,,,,, +2014,9,20,18,30,0,0,0,11,25,940,11.4,1.3,0.232,,,,,, +2014,9,20,19,30,0,0,0,11,23,940,18.7,1.4,0.232,,,,,, +2014,9,20,20,30,0,0,0,12,22,940,22.1,1.5,0.232,,,,,, +2014,9,20,21,30,0,0,0,13,20,940,22.3,1.6,0.232,,,,,, +2014,9,20,22,30,0,0,0,13,19,940,20.5,1.5,0.232,,,,,, +2014,9,20,23,30,0,0,0,13,18,940,18.8,1.2,0.232,,,,,, +2014,9,21,0,30,0,0,0,13,18,940,17.2,0.9,0.232,,,,,, +2014,9,21,1,30,0,0,0,13,17,940,11.2,0.5,0.232,,,,,, +2014,9,21,2,30,0,0,0,13,16,940,346.1,0.2,0.232,,,,,, +2014,9,21,3,30,0,0,0,13,16,940,284.5,0.2,0.232,,,,,, +2014,9,21,4,30,0,0,0,13,15,940,262.2,0.3,0.232,,,,,, +2014,9,21,5,30,0,0,0,13,16,940,267.1,0.5,0.232,,,,,, +2014,9,21,6,30,442,53,134,13,18,940,275.8,1.2,0.232,,,,,, +2014,9,21,7,30,676,85,345,13,21,940,294.8,2.1,0.232,,,,,, +2014,9,21,8,30,791,103,546,12,25,940,312.5,2.9,0.232,,,,,, +2014,9,21,9,30,856,113,710,10,28,940,328.1,3.3,0.232,,,,,, +2014,9,21,10,30,910,108,825,9,29,940,340.2,3.6,0.232,,,,,, +2014,9,21,11,30,925,108,871,8,30,940,349.3,3.8,0.232,,,,,, +2014,9,21,12,30,922,106,849,7,31,940,356.5,3.7,0.232,,,,,, +2014,9,21,13,30,902,100,761,6,32,940,0.8,3.6,0.232,,,,,, +2014,9,21,14,30,858,91,615,6,32,940,3.2,3.3,0.232,,,,,, +2014,9,21,15,30,777,77,424,6,31,940,5.4,2.9,0.232,,,,,, +2014,9,21,16,30,610,56,210,5,29,940,6.4,1.9,0.233,,,,,, +2014,9,21,17,30,169,16,22,7,27,940,8,1.2,0.233,,,,,, +2014,9,21,18,30,0,0,0,6,25,940,13.1,1.2,0.233,,,,,, +2014,9,21,19,30,0,0,0,6,24,940,21.2,1.2,0.233,,,,,, +2014,9,21,20,30,0,0,0,6,22,940,28.5,1.3,0.233,,,,,, +2014,9,21,21,30,0,0,0,5,21,940,34.6,1.3,0.233,,,,,, +2014,9,21,22,30,0,0,0,5,20,940,40.3,1.3,0.233,,,,,, +2014,9,21,23,30,0,0,0,5,19,940,48.3,1.2,0.233,,,,,, +2014,9,22,0,30,0,0,0,6,19,940,61.5,1,0.233,,,,,, +2014,9,22,1,30,0,0,0,6,18,940,92.3,0.9,0.233,,,,,, +2014,9,22,2,30,0,0,0,6,18,940,139.1,1,0.233,,,,,, +2014,9,22,3,30,0,0,0,6,18,940,171.8,1.1,0.233,,,,,, +2014,9,22,4,30,0,0,0,6,18,940,193.2,1.2,0.233,,,,,, +2014,9,22,5,30,0,0,0,6,18,940,210.2,1.2,0.233,,,,,, +2014,9,22,6,30,542,44,141,6,20,940,224.5,1.6,0.233,,,,,, +2014,9,22,7,30,766,67,359,5,24,940,237.3,1.8,0.233,,,,,, +2014,9,22,8,30,870,80,565,5,28,940,286.3,1.8,0.233,,,,,, +2014,9,22,9,30,926,89,732,4,30,940,318.6,1.9,0.233,,,,,, +2014,9,22,10,30,955,94,843,3,32,940,333.2,2,0.233,,,,,, +2014,9,22,11,30,967,95,890,2,33,940,343.6,2,0.233,,,,,, +2014,9,22,12,30,963,94,867,1,33,940,351.9,1.9,0.233,,,,,, +2014,9,22,13,30,943,90,777,0,34,940,1.5,1.8,0.233,,,,,, +2014,9,22,14,30,899,83,627,0,33,940,11.6,1.6,0.233,,,,,, +2014,9,22,15,30,818,71,432,0,32,940,22.1,1.3,0.233,,,,,, +2014,9,22,16,30,652,52,213,0,30,940,28.8,0.9,0.233,,,,,, +2014,9,22,17,30,189,14,21,2,27,940,26.2,0.5,0.233,,,,,, +2014,9,22,18,30,0,0,0,2,25,940,19.5,0.5,0.233,,,,,, +2014,9,22,19,30,0,0,0,2,24,940,12.9,0.6,0.233,,,,,, +2014,9,22,20,30,0,0,0,2,22,940,17.4,0.8,0.233,,,,,, +2014,9,22,21,30,0,0,0,2,21,940,28.9,0.9,0.233,,,,,, +2014,9,22,22,30,0,0,0,2,20,940,40.6,1,0.233,,,,,, +2014,9,22,23,30,0,0,0,1,20,940,50.4,1,0.233,,,,,, +2014,9,23,0,30,0,0,0,1,19,940,61.7,0.9,0.233,,,,,, +2014,9,23,1,30,0,0,0,1,19,940,84.9,0.8,0.233,,,,,, +2014,9,23,2,30,0,0,0,0,18,940,132.5,0.9,0.233,,,,,, +2014,9,23,3,30,0,0,0,0,18,940,174.7,1.1,0.233,,,,,, +2014,9,23,4,30,0,0,0,0,18,940,196.7,1.2,0.233,,,,,, +2014,9,23,5,30,0,0,0,0,19,940,211.9,1.2,0.233,,,,,, +2014,9,23,6,30,554,43,142,0,21,940,226.1,1.8,0.233,,,,,, +2014,9,23,7,30,778,66,361,0,25,940,237.9,2.1,0.233,,,,,, +2014,9,23,8,30,880,80,568,0,29,940,262.1,1.6,0.233,,,,,, +2014,9,23,9,30,935,89,735,0,32,940,307.8,1.2,0.233,,,,,, +2014,9,23,10,30,963,95,847,0,33,940,338.8,1.1,0.233,,,,,, +2014,9,23,11,30,976,96,894,-1,34,940,1.3,1,0.233,,,,,, +2014,9,23,12,30,973,94,871,-2,35,940,21.9,0.8,0.233,,,,,, +2014,9,23,13,30,952,90,780,-3,35,940,39.3,0.5,0.233,,,,,, +2014,9,23,14,30,912,82,629,-3,35,940,47.1,0.1,0.233,,,,,, +2014,9,23,15,30,835,69,433,-3,34,940,296.8,0.2,0.233,,,,,, +2014,9,23,16,30,670,50,212,-3,32,940,262.7,0.6,0.233,,,,,, +2014,9,23,17,30,0,18,18,0,28,940,264.9,0.9,0.233,,,,,, +2014,9,23,18,30,0,0,0,-1,26,940,266.7,1.1,0.233,,,,,, +2014,9,23,19,30,0,0,0,-1,24,940,269.9,1.2,0.233,,,,,, +2014,9,23,20,30,0,0,0,-1,22,940,274.4,1.1,0.233,,,,,, +2014,9,23,21,30,0,0,0,-1,21,940,279.5,1,0.233,,,,,, +2014,9,23,22,30,0,0,0,-2,20,940,285.4,0.7,0.233,,,,,, +2014,9,23,23,30,0,0,0,-2,20,940,290.3,0.5,0.233,,,,,, +2014,9,24,0,30,0,0,0,-2,19,940,285.3,0.3,0.233,,,,,, +2014,9,24,1,30,0,0,0,-2,19,940,251.3,0.4,0.233,,,,,, +2014,9,24,2,30,0,0,0,-2,18,940,220.9,0.6,0.233,,,,,, +2014,9,24,3,30,0,0,0,-1,18,940,211.2,0.8,0.233,,,,,, +2014,9,24,4,30,0,0,0,-1,18,940,207.1,1,0.233,,,,,, +2014,9,24,5,30,0,0,0,0,19,940,207.1,1,0.233,,,,,, +2014,9,24,6,30,581,39,141,0,22,940,208.8,1.5,0.233,,,,,, +2014,9,24,7,30,794,59,358,0,26,940,210.4,1.7,0.233,,,,,, +2014,9,24,8,30,887,72,560,3,29,940,219.7,0.9,0.233,,,,,, +2014,9,24,9,30,934,80,722,5,32,940,249.2,0.2,0.233,,,,,, +2014,9,24,10,30,945,91,826,6,33,940,140.8,0.1,0.233,,,,,, +2014,9,24,11,30,955,92,869,6,34,940,125,0.1,0.233,,,,,, +2014,9,24,12,30,948,90,843,6,35,940,157.5,0.1,0.233,,,,,, +2014,9,24,13,30,922,87,751,6,36,940,210.3,0.3,0.233,,,,,, +2014,9,24,14,30,874,80,601,6,35,940,244.6,0.5,0.233,,,,,, +2014,9,24,15,30,788,69,409,6,34,940,261.8,0.7,0.233,,,,,, +2014,9,24,16,30,613,50,195,6,32,940,270.5,0.8,0.233,,,,,, +2014,9,24,17,30,139,11,15,8,29,940,272.9,0.9,0.233,,,,,, +2014,9,24,18,30,0,0,0,7,27,940,275.4,1,0.233,,,,,, +2014,9,24,19,30,0,0,0,8,25,940,284.2,1.1,0.233,,,,,, +2014,9,24,20,30,0,0,0,8,24,940,296.7,1.1,0.233,,,,,, +2014,9,24,21,30,0,0,0,8,24,940,311,1,0.233,,,,,, +2014,9,24,22,30,0,0,0,8,23,940,326.8,0.9,0.233,,,,,, +2014,9,24,23,30,0,0,0,9,22,940,340.9,0.8,0.233,,,,,, +2014,9,25,0,30,0,0,0,9,22,940,348.2,0.7,0.233,,,,,, +2014,9,25,1,30,0,0,0,8,22,940,343.4,0.5,0.233,,,,,, +2014,9,25,2,30,0,0,0,8,22,940,317.2,0.6,0.233,,,,,, +2014,9,25,3,30,0,0,0,7,21,940,299,0.8,0.233,,,,,, +2014,9,25,4,30,0,0,0,6,21,940,295.6,0.9,0.233,,,,,, +2014,9,25,5,30,0,0,0,5,22,940,300.6,1,0.233,,,,,, +2014,9,25,6,30,483,43,126,4,24,940,311.7,1.3,0.233,,,,,, +2014,9,25,7,30,707,68,332,5,28,940,325.6,1.9,0.233,,,,,, +2014,9,25,8,30,810,84,528,10,30,940,348.4,2.5,0.233,,,,,, +2014,9,25,9,30,866,94,687,12,32,940,356.6,3,0.233,,,,,, +2014,9,25,10,30,894,100,792,12,33,940,359.5,3.2,0.233,,,,,, +2014,9,25,11,30,909,100,836,12,34,940,0.8,3.5,0.233,,,,,, +2014,9,25,12,30,904,98,812,12,35,940,1.6,3.8,0.233,,,,,, +2014,9,25,13,30,868,100,721,11,35,940,2.8,3.9,0.233,,,,,, +2014,9,25,14,30,821,91,576,12,34,940,3.1,4,0.233,,,,,, +2014,9,25,15,30,733,76,388,12,33,940,3.1,4,0.233,,,,,, +2014,9,25,16,30,555,53,181,12,31,940,3.2,3,0.233,,,,,, +2014,9,25,17,30,90,10,11,13,28,940,2.6,2.1,0.233,,,,,, +2014,9,25,18,30,0,0,0,14,26,940,2.4,2.6,0.233,,,,,, +2014,9,25,19,30,0,0,0,15,25,940,4.9,3.2,0.233,,,,,, +2014,9,25,20,30,0,0,0,15,24,940,9.7,3.4,0.233,,,,,, +2014,9,25,21,30,0,0,0,15,23,940,14.8,3,0.233,,,,,, +2014,9,25,22,30,0,0,0,15,22,940,17.8,2.4,0.233,,,,,, +2014,9,25,23,30,0,0,0,15,22,940,17.5,1.8,0.233,,,,,, +2014,9,26,0,30,0,0,0,14,21,940,13.5,1.3,0.233,,,,,, +2014,9,26,1,30,0,0,0,13,20,940,5.7,1.1,0.233,,,,,, +2014,9,26,2,30,0,0,0,13,20,940,355.2,1.1,0.233,,,,,, +2014,9,26,3,30,0,0,0,12,19,940,344.4,1.1,0.233,,,,,, +2014,9,26,4,30,0,0,0,12,19,940,337.5,1.2,0.233,,,,,, +2014,9,26,5,30,0,0,0,12,19,940,334.1,2,0.233,,,,,, +2014,9,26,6,30,510,40,126,12,21,940,336.3,3,0.233,,,,,, +2014,9,26,7,30,735,62,335,13,24,940,343.8,3.6,0.233,,,,,, +2014,9,26,8,30,835,76,531,13,27,940,350.3,4.2,0.233,,,,,, +2014,9,26,9,30,887,85,689,13,29,940,356.7,4.8,0.233,,,,,, +2014,9,26,10,30,920,87,796,12,31,940,1.9,5.3,0.233,,,,,, +2014,9,26,11,30,929,89,838,12,32,940,6.5,5.6,0.233,,,,,, +2014,9,26,12,30,925,88,815,11,32,940,10.6,5.7,0.233,,,,,, +2014,9,26,13,30,907,83,728,9,32,940,13,5.8,0.233,,,,,, +2014,9,26,14,30,863,77,583,8,31,940,13.2,5.6,0.233,,,,,, +2014,9,26,15,30,778,66,394,7,29,940,12.6,5.5,0.233,,,,,, +2014,9,26,16,30,600,48,183,6,26,940,12.1,4.9,0.233,,,,,, +2014,9,26,17,30,0,0,0,5,24,940,13.5,4.5,0.233,,,,,, +2014,9,26,18,30,0,0,0,3,22,940,19.3,4.8,0.233,,,,,, +2014,9,26,19,30,0,0,0,3,20,940,28,4.9,0.233,,,,,, +2014,9,26,20,30,0,0,0,4,19,940,35.1,4.3,0.233,,,,,, +2014,9,26,21,30,0,0,0,5,17,940,36.6,3.5,0.233,,,,,, +2014,9,26,22,30,0,0,0,6,16,940,39.9,3,0.233,,,,,, +2014,9,26,23,30,0,0,0,6,15,940,46.8,2.5,0.233,,,,,, +2014,9,27,0,30,0,0,0,6,14,940,53.1,2,0.233,,,,,, +2014,9,27,1,30,0,0,0,7,14,940,59.2,1.8,0.233,,,,,, +2014,9,27,2,30,0,0,0,7,14,940,72.3,1.8,0.233,,,,,, +2014,9,27,3,30,0,0,0,8,13,940,92.7,1.9,0.233,,,,,, +2014,9,27,4,30,0,0,0,8,13,940,106,1.8,0.233,,,,,, +2014,9,27,5,30,0,0,0,8,13,940,109.8,2.4,0.233,,,,,, +2014,9,27,6,30,128,60,81,8,14,940,109.2,3.5,0.233,,,,,, +2014,9,27,7,30,741,73,345,8,16,940,111.4,3.7,0.233,,,,,, +2014,9,27,8,30,854,86,549,6,18,940,100.1,3.4,0.233,,,,,, +2014,9,27,9,30,910,95,712,5,20,940,83,3.6,0.233,,,,,, +2014,9,27,10,30,937,101,819,4,21,940,71.2,3.9,0.233,,,,,, +2014,9,27,11,30,944,103,860,3,22,940,63.3,4.1,0.233,,,,,, +2014,9,27,12,30,934,103,833,3,23,940,54.2,4.3,0.233,,,,,, +2014,9,27,13,30,909,98,740,3,23,940,45.3,4.5,0.233,,,,,, +2014,9,27,14,30,863,88,590,2,22,940,40.9,4.9,0.233,,,,,, +2014,9,27,15,30,774,74,396,2,21,940,41.4,5,0.233,,,,,, +2014,9,27,16,30,584,52,181,2,20,940,44.4,4.1,0.233,,,,,, +2014,9,27,17,30,0,0,0,2,18,940,48,3.2,0.233,,,,,, +2014,9,27,18,30,0,0,0,3,16,940,56.7,3.3,0.233,,,,,, +2014,9,27,19,30,0,0,0,4,15,940,69.9,3.5,0.233,,,,,, +2014,9,27,20,30,0,0,0,5,15,940,83.2,3.4,0.233,,,,,, +2014,9,27,21,30,0,0,0,5,14,940,94.6,3.2,0.233,,,,,, +2014,9,27,22,30,0,0,0,6,14,940,97.3,2.9,0.233,,,,,, +2014,9,27,23,30,0,0,0,7,13,940,95.5,2.6,0.233,,,,,, +2014,9,28,0,30,0,0,0,8,13,940,97.2,2.3,0.233,,,,,, +2014,9,28,1,30,0,0,0,8,12,940,103.4,1.9,0.233,,,,,, +2014,9,28,2,30,0,0,0,8,12,940,108.3,1.6,0.233,,,,,, +2014,9,28,3,30,0,0,0,9,12,940,109.7,1.5,0.233,,,,,, +2014,9,28,4,30,0,0,0,9,11,940,110.3,1.5,0.233,,,,,, +2014,9,28,5,30,0,0,0,9,11,940,111.5,2.1,0.233,,,,,, +2014,9,28,6,30,394,53,117,9,13,940,112.2,3.3,0.233,,,,,, +2014,9,28,7,30,663,85,327,8,16,940,116.1,3.7,0.233,,,,,, +2014,9,28,8,30,788,103,528,7,18,940,103.4,3.5,0.233,,,,,, +2014,9,28,9,30,854,114,690,6,20,940,87.4,3.5,0.233,,,,,, +2014,9,28,10,30,884,122,797,6,21,940,77.6,3.5,0.233,,,,,, +2014,9,28,11,30,892,126,838,5,22,940,71.7,3.5,0.233,,,,,, +2014,9,28,12,30,878,128,810,5,23,940,66.4,3.3,0.233,,,,,, +2014,9,28,13,30,881,106,724,5,24,940,62,2.9,0.233,,,,,, +2014,9,28,14,30,814,102,571,5,23,940,53.3,2.5,0.233,,,,,, +2014,9,28,15,30,696,90,376,5,23,940,37.8,2.2,0.233,,,,,, +2014,9,28,16,30,451,66,164,5,22,940,16,1.6,0.233,,,,,, +2014,9,28,17,30,0,0,0,5,20,940,354.5,1.2,0.233,,,,,, +2014,9,28,18,30,0,0,0,6,18,940,346.2,1.5,0.233,,,,,, +2014,9,28,19,30,0,0,0,7,17,940,353.5,1.7,0.233,,,,,, +2014,9,28,20,30,0,0,0,8,16,940,6.9,1.8,0.233,,,,,, +2014,9,28,21,30,0,0,0,9,15,940,22.1,1.7,0.233,,,,,, +2014,9,28,22,30,0,0,0,9,15,940,40.9,1.6,0.233,,,,,, +2014,9,28,23,30,0,0,0,9,14,940,64.8,1.6,0.233,,,,,, +2014,9,29,0,30,0,0,0,9,14,940,90.8,1.8,0.233,,,,,, +2014,9,29,1,30,0,0,0,9,13,940,110.4,2,0.233,,,,,, +2014,9,29,2,30,0,0,0,9,12,940,126.6,2.1,0.233,,,,,, +2014,9,29,3,30,0,0,0,9,12,940,138.3,1.9,0.233,,,,,, +2014,9,29,4,30,0,0,0,9,11,940,147,1.6,0.233,,,,,, +2014,9,29,5,30,0,0,0,9,12,940,154,1.7,0.233,,,,,, +2014,9,29,6,30,498,41,122,8,14,940,149.1,2.4,0.233,,,,,, +2014,9,29,7,30,744,65,335,8,18,940,159.3,2.3,0.233,,,,,, +2014,9,29,8,30,853,79,536,6,21,940,174.8,1.6,0.233,,,,,, +2014,9,29,9,30,909,88,698,5,23,940,192.1,1.2,0.233,,,,,, +2014,9,29,10,30,940,91,805,4,24,940,210,1,0.233,,,,,, +2014,9,29,11,30,949,93,847,4,25,940,236.2,0.8,0.233,,,,,, +2014,9,29,12,30,942,92,820,3,26,940,257.2,0.7,0.233,,,,,, +2014,9,29,13,30,918,89,728,3,26,940,260.8,0.5,0.233,,,,,, +2014,9,29,14,30,871,81,579,3,26,940,255.6,0.4,0.233,,,,,, +2014,9,29,15,30,783,69,386,2,25,940,244.1,0.4,0.233,,,,,, +2014,9,29,16,30,590,48,172,2,23,940,234.9,0.3,0.234,,,,,, +2014,9,29,17,30,0,0,0,3,21,940,235.7,0.2,0.234,,,,,, +2014,9,29,18,30,0,0,0,3,19,940,218.3,0.3,0.234,,,,,, +2014,9,29,19,30,0,0,0,2,19,940,161.3,0.5,0.234,,,,,, +2014,9,29,20,30,0,0,0,2,19,940,144.5,0.8,0.234,,,,,, +2014,9,29,21,30,0,0,0,2,18,940,140.8,1,0.234,,,,,, +2014,9,29,22,30,0,0,0,2,18,940,141,1.1,0.234,,,,,, +2014,9,29,23,30,0,0,0,1,17,940,143.4,1.2,0.234,,,,,, +2014,9,30,0,30,0,0,0,1,16,940,146.1,1.3,0.234,,,,,, +2014,9,30,1,30,0,0,0,1,15,940,147.8,1.3,0.234,,,,,, +2014,9,30,2,30,0,0,0,1,14,940,149.3,1.2,0.234,,,,,, +2014,9,30,3,30,0,0,0,2,14,940,154,1.2,0.234,,,,,, +2014,9,30,4,30,0,0,0,2,14,940,162,1.2,0.234,,,,,, +2014,9,30,5,30,0,0,0,3,14,940,170.5,1.2,0.234,,,,,, +2014,9,30,6,30,441,46,116,3,17,940,176,1.9,0.234,,,,,, +2014,9,30,7,30,704,75,328,3,20,940,183,2.1,0.234,,,,,, +2014,9,30,8,30,823,93,530,3,23,940,190.6,1.2,0.234,,,,,, +2014,9,30,9,30,885,103,694,2,25,940,205.8,0.4,0.234,,,,,, +2014,9,30,10,30,921,107,803,1,27,940,254.1,0.2,0.234,,,,,, +2014,9,30,11,30,938,106,846,1,28,940,6.3,0.5,0.234,,,,,, +2014,9,30,12,30,934,102,820,0,29,940,39.4,0.8,0.234,,,,,, +2014,9,30,13,30,911,96,727,0,30,940,47.7,1,0.234,,,,,, +2014,9,30,14,30,861,87,575,0,29,940,48.3,1.1,0.234,,,,,, +2014,9,30,15,30,763,74,380,0,28,940,40.1,1.2,0.234,,,,,, +2006,9,30,16,30,48,75,85,-2,28,940,29.2,1,0.231,,,,,, +2006,9,30,17,30,0,0,0,-1,26,940,24,1,0.231,,,,,, +2006,9,30,18,30,0,0,0,-1,24,940,21.5,1,0.231,,,,,, +2006,9,30,19,30,0,0,0,-1,23,940,26.9,1.1,0.231,,,,,, +2006,9,30,20,30,0,0,0,0,22,940,35.2,1.2,0.231,,,,,, +2006,9,30,21,30,0,0,0,0,22,940,47.4,1.2,0.231,,,,,, +2006,9,30,22,30,0,0,0,0,21,940,66.6,1.2,0.231,,,,,, +2006,9,30,23,30,0,0,0,0,20,940,87.5,1.2,0.231,,,,,, +2006,10,1,0,30,0,0,0,0,20,940,108.8,1.4,0.231,,,,,, +2006,10,1,1,30,0,0,0,-1,20,940,126.9,1.5,0.231,,,,,, +2006,10,1,2,30,0,0,0,-1,20,940,141.9,1.4,0.231,,,,,, +2006,10,1,3,30,0,0,0,-1,20,940,153.4,1.2,0.231,,,,,, +2006,10,1,4,30,0,0,0,-1,19,940,168.7,1,0.231,,,,,, +2006,10,1,5,30,0,0,0,-1,19,940,178.5,0.7,0.231,,,,,, +2006,10,1,6,30,0,8,8,-1,21,940,195.2,0.8,0.231,,,,,, +2006,10,1,7,30,0,104,104,-1,23,940,213.2,1,0.231,,,,,, +2006,10,1,8,30,249,230,362,0,26,940,269.2,1.2,0.231,,,,,, +2006,10,1,9,30,323,295,509,0,27,940,327,1.7,0.231,,,,,, +2006,10,1,10,30,55,331,373,2,29,940,354,2.1,0.231,,,,,, +2006,10,1,11,30,227,379,558,4,31,940,356.4,2.5,0.231,,,,,, +2006,10,1,12,30,153,379,496,4,32,940,357.5,3.2,0.231,,,,,, +2006,10,1,13,30,300,314,521,4,31,940,2.1,3.7,0.231,,,,,, +2006,10,1,14,30,291,244,408,4,30,940,6.7,3.9,0.231,,,,,, +2006,10,1,15,30,540,110,324,4,28,940,13.3,3.5,0.231,,,,,, +2006,10,1,16,30,179,72,108,4,26,940,18.2,2.1,0.231,,,,,, +2006,10,1,17,30,0,0,0,5,24,940,13.3,1.2,0.231,,,,,, +2006,10,1,18,30,0,0,0,6,23,940,8.1,1.2,0.231,,,,,, +2006,10,1,19,30,0,0,0,6,21,940,6.3,1.3,0.231,,,,,, +2006,10,1,20,30,0,0,0,7,20,940,5,1.4,0.231,,,,,, +2006,10,1,21,30,0,0,0,7,20,940,2.8,1.5,0.231,,,,,, +2006,10,1,22,30,0,0,0,7,19,940,8.2,1.5,0.231,,,,,, +2006,10,1,23,30,0,0,0,7,19,940,12.2,1.4,0.231,,,,,, +2006,10,2,0,30,0,0,0,8,18,940,7.2,1.1,0.231,,,,,, +2006,10,2,1,30,0,0,0,8,18,940,3.7,0.7,0.231,,,,,, +2006,10,2,2,30,0,0,0,8,18,940,20.8,0.4,0.231,,,,,, +2006,10,2,3,30,0,0,0,9,17,940,98.5,0.4,0.231,,,,,, +2006,10,2,4,30,0,0,0,9,17,940,134.9,0.5,0.231,,,,,, +2006,10,2,5,30,0,0,0,10,17,940,161.7,0.6,0.231,,,,,, +2006,10,2,6,30,165,53,79,10,18,940,173.7,1.1,0.231,,,,,, +2006,10,2,7,30,655,75,306,11,20,940,186.3,1.4,0.231,,,,,, +2006,10,2,8,30,776,92,500,12,23,940,179.6,1,0.231,,,,,, +2006,10,2,9,30,841,103,658,12,25,940,109.4,1.1,0.231,,,,,, +2006,10,2,10,30,869,112,762,12,26,940,81.1,1.7,0.231,,,,,, +2006,10,2,11,30,881,115,803,12,27,940,73.2,2.1,0.231,,,,,, +2006,10,2,12,30,871,116,777,11,28,940,64,2.5,0.231,,,,,, +2006,10,2,13,30,808,128,680,11,28,940,51.6,2.9,0.231,,,,,, +2006,10,2,14,30,758,112,535,10,28,940,42.7,3.2,0.231,,,,,, +2006,10,2,15,30,663,89,348,10,27,940,38.8,3.4,0.231,,,,,, +2006,10,2,16,30,499,51,148,10,25,940,36.7,2.5,0.231,,,,,, +2006,10,2,17,30,0,0,0,10,23,940,35.1,1.5,0.231,,,,,, +2006,10,2,18,30,0,0,0,10,21,940,35,1.4,0.231,,,,,, +2006,10,2,19,30,0,0,0,10,20,940,41,1.5,0.231,,,,,, +2006,10,2,20,30,0,0,0,11,19,940,53.6,1.4,0.231,,,,,, +2006,10,2,21,30,0,0,0,10,18,940,71.8,1.4,0.231,,,,,, +2006,10,2,22,30,0,0,0,10,17,940,92,1.5,0.231,,,,,, +2006,10,2,23,30,0,0,0,10,16,940,109.3,1.8,0.231,,,,,, +2006,10,3,0,30,0,0,0,10,16,940,124.7,2,0.231,,,,,, +2006,10,3,1,30,0,0,0,9,15,940,135.4,2,0.231,,,,,, +2006,10,3,2,30,0,0,0,9,15,940,141.9,1.8,0.231,,,,,, +2006,10,3,3,30,0,0,0,9,14,940,147.2,1.6,0.231,,,,,, +2006,10,3,4,30,0,0,0,9,14,940,152.2,1.4,0.231,,,,,, +2006,10,3,5,30,0,0,0,9,15,940,156.1,1.7,0.231,,,,,, +2006,10,3,6,30,498,36,111,9,17,940,158.6,2.2,0.231,,,,,, +2006,10,3,7,30,748,59,321,9,21,940,168.4,1.4,0.231,,,,,, +2006,10,3,8,30,852,73,519,10,24,940,205.4,0.8,0.231,,,,,, +2006,10,3,9,30,905,83,678,10,27,940,358.2,1.5,0.231,,,,,, +2006,10,3,10,30,916,98,780,9,28,940,4.4,2,0.231,,,,,, +2006,10,3,11,30,927,100,821,8,29,940,7.9,2.5,0.231,,,,,, +2006,10,3,12,30,737,182,739,7,30,940,12.2,2.8,0.231,,,,,, +2006,10,3,13,30,722,164,654,6,30,940,14.3,3,0.231,,,,,, +2006,10,3,14,30,310,234,405,5,30,940,15.8,3.2,0.231,,,,,, +2006,10,3,15,30,467,120,301,4,29,940,17.9,3,0.231,,,,,, +2006,10,3,16,30,193,68,104,4,26,940,20.1,2.1,0.231,,,,,, +2006,10,3,17,30,0,0,0,3,24,940,23,1.2,0.231,,,,,, +2006,10,3,18,30,0,0,0,3,21,940,26.5,1.2,0.231,,,,,, +2006,10,3,19,30,0,0,0,4,19,940,31.9,1.3,0.231,,,,,, +2006,10,3,20,30,0,0,0,4,17,940,40.2,1.3,0.231,,,,,, +2006,10,3,21,30,0,0,0,5,16,940,48.2,1.2,0.231,,,,,, +2006,10,3,22,30,0,0,0,5,16,940,55.8,1.2,0.231,,,,,, +2006,10,3,23,30,0,0,0,5,16,940,65.7,1.1,0.231,,,,,, +2006,10,4,0,30,0,0,0,6,15,940,77,1,0.231,,,,,, +2006,10,4,1,30,0,0,0,6,15,940,84,0.8,0.231,,,,,, +2006,10,4,2,30,0,0,0,6,15,940,82.5,0.6,0.231,,,,,, +2006,10,4,3,30,0,0,0,7,14,940,69.2,0.6,0.231,,,,,, +2006,10,4,4,30,0,0,0,7,14,940,53.2,0.7,0.231,,,,,, +2006,10,4,5,30,0,0,0,7,14,940,43.5,0.7,0.231,,,,,, +2006,10,4,6,30,231,49,83,7,15,940,32.9,1,0.231,,,,,, +2006,10,4,7,30,368,119,247,6,17,940,28.8,1.6,0.231,,,,,, +2006,10,4,8,30,534,161,438,4,20,940,2.7,2.4,0.231,,,,,, +2006,10,4,9,30,769,136,638,1,23,940,351.1,3.2,0.231,,,,,, +2006,10,4,10,30,775,166,740,-2,26,940,353.2,3.8,0.231,,,,,, +2006,10,4,11,30,980,90,848,-4,27,940,0.9,4.1,0.231,,,,,, +2006,10,4,12,30,632,237,711,-6,28,940,9.2,4.3,0.231,,,,,, +2006,10,4,13,30,966,80,730,-8,29,940,15.7,4.2,0.231,,,,,, +2006,10,4,14,30,921,73,577,-9,28,940,17,3.9,0.231,,,,,, +2006,10,4,15,30,829,61,377,-10,27,940,17.7,3.1,0.231,,,,,, +2006,10,4,16,30,630,41,158,-10,23,940,17.2,1.8,0.231,,,,,, +2006,10,4,17,30,0,0,0,-8,20,940,14,0.9,0.231,,,,,, +2006,10,4,18,30,0,0,0,-7,19,940,12.1,0.9,0.231,,,,,, +2006,10,4,19,30,0,0,0,-5,18,940,22.1,0.9,0.231,,,,,, +2006,10,4,20,30,0,0,0,-3,17,940,36.4,1,0.231,,,,,, +2006,10,4,21,30,0,0,0,-1,16,940,44.7,1.1,0.231,,,,,, +2006,10,4,22,30,0,0,0,0,16,940,48.1,1.1,0.231,,,,,, +2006,10,4,23,30,0,0,0,0,15,940,53.2,1,0.231,,,,,, +2006,10,5,0,30,0,0,0,1,15,940,62.7,0.7,0.231,,,,,, +2006,10,5,1,30,0,0,0,1,14,940,75.7,0.5,0.231,,,,,, +2006,10,5,2,30,0,0,0,1,14,940,91.9,0.3,0.231,,,,,, +2006,10,5,3,30,0,0,0,1,13,940,110.1,0.3,0.231,,,,,, +2006,10,5,4,30,0,0,0,2,13,940,115.6,0.5,0.231,,,,,, +2006,10,5,5,30,0,0,0,2,13,940,116.1,0.6,0.231,,,,,, +2006,10,5,6,30,246,47,83,3,14,940,122.3,1,0.231,,,,,, +2006,10,5,7,30,437,111,261,2,16,940,132.2,0.9,0.231,,,,,, +2006,10,5,8,30,871,73,523,0,19,940,90.8,1.6,0.231,,,,,, +2006,10,5,9,30,643,182,600,-1,22,940,358.9,3.4,0.231,,,,,, +2006,10,5,10,30,787,159,739,-2,23,940,357.6,4.5,0.231,,,,,, +2006,10,5,11,30,579,269,714,-2,24,940,2.4,5,0.231,,,,,, +2006,10,5,12,30,949,91,799,-2,25,940,11,5.2,0.231,,,,,, +2006,10,5,13,30,913,92,703,-2,26,940,20.8,5.2,0.231,,,,,, +2006,10,5,14,30,869,81,553,-2,25,940,30,4.8,0.231,,,,,, +2006,10,5,15,30,779,66,359,-1,24,940,35.5,3.8,0.231,,,,,, +2006,10,5,16,30,585,41,147,-1,21,940,37.8,2.1,0.231,,,,,, +2006,10,5,17,30,0,0,0,0,18,940,36.5,1,0.231,,,,,, +2006,10,5,18,30,0,0,0,0,16,940,32.2,0.9,0.231,,,,,, +2006,10,5,19,30,0,0,0,1,14,940,32.8,0.9,0.231,,,,,, +2006,10,5,20,30,0,0,0,3,13,940,43.9,1,0.231,,,,,, +2006,10,5,21,30,0,0,0,4,12,940,63.9,1.2,0.231,,,,,, +2006,10,5,22,30,0,0,0,5,11,940,82.5,1.3,0.231,,,,,, +2006,10,5,23,30,0,0,0,6,11,940,97.5,1.4,0.231,,,,,, +2006,10,6,0,30,0,0,0,7,10,940,108.7,1.4,0.231,,,,,, +2006,10,6,1,30,0,0,0,7,9,940,117.3,1.4,0.231,,,,,, +2006,10,6,2,30,0,0,0,7,9,940,123,1.4,0.231,,,,,, +2006,10,6,3,30,0,0,0,7,8,940,124.7,1.5,0.231,,,,,, +2006,10,6,4,30,0,0,0,7,8,940,122.3,1.8,0.231,,,,,, +2006,10,6,5,30,0,0,0,7,8,940,119.4,2.7,0.231,,,,,, +2006,10,6,6,30,468,40,106,7,9,940,115.9,3.7,0.231,,,,,, +2006,10,6,7,30,741,67,320,6,12,940,116.7,3.8,0.231,,,,,, +2006,10,6,8,30,859,82,523,5,15,940,98.5,3.6,0.231,,,,,, +2006,10,6,9,30,915,92,684,3,17,940,62.4,4.1,0.231,,,,,, +2006,10,6,10,30,939,100,788,2,18,940,44.7,4.9,0.231,,,,,, +2006,10,6,11,30,943,104,826,1,19,940,39,5.7,0.231,,,,,, +2006,10,6,12,30,932,104,796,0,20,940,39.7,6.3,0.231,,,,,, +2006,10,6,13,30,912,95,701,0,20,940,43.1,6.4,0.231,,,,,, +2006,10,6,14,30,854,87,547,0,20,940,47.3,6.1,0.231,,,,,, +2006,10,6,15,30,742,73,349,0,19,940,51.4,5.2,0.231,,,,,, +2006,10,6,16,30,520,46,137,0,17,940,55.6,3.6,0.231,,,,,, +2006,10,6,17,30,0,0,0,1,15,940,53.3,2.4,0.231,,,,,, +2006,10,6,18,30,0,0,0,2,13,940,56.5,2.3,0.231,,,,,, +2006,10,6,19,30,0,0,0,2,12,940,68.7,2.2,0.231,,,,,, +2006,10,6,20,30,0,0,0,3,12,940,87.9,2.1,0.231,,,,,, +2006,10,6,21,30,0,0,0,3,11,940,106.4,2.4,0.231,,,,,, +2006,10,6,22,30,0,0,0,4,10,940,117.9,2.8,0.231,,,,,, +2006,10,6,23,30,0,0,0,4,10,940,125.1,3.2,0.231,,,,,, +2006,10,7,0,30,0,0,0,5,9,940,130.7,3.3,0.231,,,,,, +2006,10,7,1,30,0,0,0,5,9,940,136.7,3,0.231,,,,,, +2006,10,7,2,30,0,0,0,6,8,940,143.6,2.8,0.231,,,,,, +2006,10,7,3,30,0,0,0,6,8,940,150.2,2.5,0.231,,,,,, +2006,10,7,4,30,0,0,0,6,7,940,155.2,2.2,0.231,,,,,, +2006,10,7,5,30,0,0,0,6,7,940,159,2.5,0.231,,,,,, +2006,10,7,6,30,424,41,100,7,9,940,159.8,3.5,0.231,,,,,, +2006,10,7,7,30,732,66,313,6,12,940,163.6,3.9,0.231,,,,,, +2006,10,7,8,30,851,80,514,5,15,940,175.1,3.3,0.231,,,,,, +2006,10,7,9,30,910,90,675,3,18,940,184.9,2.7,0.231,,,,,, +2006,10,7,10,30,941,95,780,2,20,940,190.3,2.5,0.231,,,,,, +2006,10,7,11,30,954,96,821,0,21,940,190.2,2.5,0.231,,,,,, +2006,10,7,12,30,948,95,793,0,22,940,189.4,2.6,0.231,,,,,, +2006,10,7,13,30,920,92,698,-2,23,940,185.9,2.5,0.231,,,,,, +2006,10,7,14,30,864,84,544,-2,22,940,180.7,2.4,0.231,,,,,, +2006,10,7,15,30,756,70,347,-3,21,940,174.9,2,0.231,,,,,, +2006,10,7,16,30,524,44,134,-3,19,940,167,1.3,0.223,,,,,, +2006,10,7,17,30,0,0,0,-3,17,940,140.6,1.1,0.223,,,,,, +2006,10,7,18,30,0,0,0,-2,15,940,130.7,1.2,0.223,,,,,, +2006,10,7,19,30,0,0,0,-2,14,940,133.2,1.3,0.223,,,,,, +2006,10,7,20,30,0,0,0,-2,13,940,137,1.4,0.223,,,,,, +2006,10,7,21,30,0,0,0,-1,12,940,140.6,1.5,0.223,,,,,, +2006,10,7,22,30,0,0,0,-1,12,940,144.2,1.5,0.223,,,,,, +2006,10,7,23,30,0,0,0,-1,11,940,147.4,1.5,0.223,,,,,, +2006,10,8,0,30,0,0,0,0,11,940,151.2,1.5,0.223,,,,,, +2006,10,8,1,30,0,0,0,0,10,940,153.5,1.5,0.223,,,,,, +2006,10,8,2,30,0,0,0,0,10,940,153.2,1.6,0.223,,,,,, +2006,10,8,3,30,0,0,0,0,10,940,153.7,1.6,0.223,,,,,, +2006,10,8,4,30,0,0,0,0,10,940,154.1,1.7,0.223,,,,,, +2006,10,8,5,30,0,0,0,0,10,940,157.9,1.9,0.223,,,,,, +2006,10,8,6,30,0,16,16,0,13,940,160,2.8,0.223,,,,,, +2006,10,8,7,30,245,129,211,0,16,940,165.4,2.8,0.223,,,,,, +2006,10,8,8,30,625,129,445,0,19,940,186.8,1.6,0.223,,,,,, +2006,10,8,9,30,571,201,566,-1,22,940,231.4,0.9,0.223,,,,,, +2006,10,8,10,30,412,305,603,-2,23,940,260,0.7,0.223,,,,,, +2006,10,8,11,30,933,104,809,-3,24,940,265.2,0.5,0.223,,,,,, +2006,10,8,12,30,595,242,678,-3,25,940,254.7,0.5,0.223,,,,,, +2006,10,8,13,30,468,246,552,-3,25,940,247.5,0.6,0.223,,,,,, +2006,10,8,14,30,838,88,531,-3,24,940,250.9,0.6,0.223,,,,,, +2006,10,8,15,30,729,72,336,-3,23,940,254.8,0.5,0.223,,,,,, +2006,10,8,16,30,492,45,127,-3,21,940,254.1,0.2,0.223,,,,,, +2006,10,8,17,30,0,0,0,-2,19,940,246.6,0.1,0.223,,,,,, +2006,10,8,18,30,0,0,0,-2,18,940,116.4,0.4,0.223,,,,,, +2006,10,8,19,30,0,0,0,-2,17,940,110.7,0.7,0.223,,,,,, +2006,10,8,20,30,0,0,0,-2,16,940,111.8,1,0.223,,,,,, +2006,10,8,21,30,0,0,0,-1,15,940,116.4,1.1,0.223,,,,,, +2006,10,8,22,30,0,0,0,-1,14,940,123.8,1.2,0.223,,,,,, +2006,10,8,23,30,0,0,0,-1,12,940,133.4,1.2,0.223,,,,,, +2006,10,9,0,30,0,0,0,0,12,940,145,1.2,0.223,,,,,, +2006,10,9,1,30,0,0,0,0,11,940,156.1,1.2,0.223,,,,,, +2006,10,9,2,30,0,0,0,0,11,940,164.1,1.2,0.223,,,,,, +2006,10,9,3,30,0,0,0,1,10,940,170.9,1.2,0.223,,,,,, +2006,10,9,4,30,0,0,0,1,10,940,181.6,1.2,0.223,,,,,, +2006,10,9,5,30,0,0,0,2,10,940,191.3,1.1,0.223,,,,,, +2006,10,9,6,30,414,40,95,2,12,940,195.9,1.6,0.223,,,,,, +2006,10,9,7,30,697,71,302,2,16,940,194.7,2,0.223,,,,,, +2006,10,9,8,30,822,88,501,1,20,940,190.8,1.5,0.223,,,,,, +2006,10,9,9,30,887,97,661,-1,23,940,169.1,1.2,0.223,,,,,, +2006,10,9,10,30,921,102,765,-2,25,940,142.5,1.2,0.223,,,,,, +2006,10,9,11,30,933,103,805,-2,26,940,130.6,1.1,0.223,,,,,, +2006,10,9,12,30,923,102,774,-2,26,940,121.9,1.1,0.223,,,,,, +2006,10,9,13,30,893,97,677,-2,26,940,111,1.1,0.223,,,,,, +2006,10,9,14,30,834,87,523,-2,26,940,94.5,1.1,0.223,,,,,, +2006,10,9,15,30,721,71,328,-1,25,940,67,1,0.223,,,,,, +2006,10,9,16,30,257,53,94,0,22,940,32.7,1,0.223,,,,,, +2006,10,9,17,30,0,0,0,0,19,940,354.3,1.3,0.223,,,,,, +2006,10,9,18,30,0,0,0,1,17,940,352.5,1.6,0.223,,,,,, +2006,10,9,19,30,0,0,0,3,16,940,1.4,1.8,0.223,,,,,, +2006,10,9,20,30,0,0,0,5,15,940,13.7,2,0.223,,,,,, +2006,10,9,21,30,0,0,0,7,14,940,24.4,2.1,0.223,,,,,, +2006,10,9,22,30,0,0,0,9,13,940,31.6,2,0.223,,,,,, +2006,10,9,23,30,0,0,0,10,12,940,35,1.9,0.223,,,,,, +2006,10,10,0,30,0,0,0,10,12,940,35.7,1.8,0.223,,,,,, +2006,10,10,1,30,0,0,0,10,12,940,38.1,1.7,0.223,,,,,, +2006,10,10,2,30,0,0,0,10,11,940,45.1,1.5,0.223,,,,,, +2006,10,10,3,30,0,0,0,10,11,940,56.9,1.3,0.223,,,,,, +2006,10,10,4,30,0,0,0,10,11,940,71.9,1.2,0.223,,,,,, +2006,10,10,5,30,0,0,0,10,11,940,85.3,1.1,0.223,,,,,, +2006,10,10,6,30,294,47,85,9,12,940,91.7,1.6,0.223,,,,,, +2006,10,10,7,30,415,105,241,9,14,940,106.7,2.3,0.223,,,,,, +2006,10,10,8,30,530,155,419,7,16,940,109.3,2.4,0.223,,,,,, +2006,10,10,9,30,622,182,575,6,19,940,83.8,2.7,0.223,,,,,, +2006,10,10,10,30,910,100,752,5,20,940,69.1,3.1,0.223,,,,,, +2006,10,10,11,30,922,103,792,4,21,940,65.5,3.3,0.223,,,,,, +2006,10,10,12,30,917,101,764,3,22,940,67.4,3.3,0.223,,,,,, +2006,10,10,13,30,904,90,673,2,23,940,69.8,3.1,0.223,,,,,, +2006,10,10,14,30,848,82,521,1,22,940,71.3,2.8,0.223,,,,,, +2006,10,10,15,30,732,69,326,0,21,940,71.6,2,0.223,,,,,, +2006,10,10,16,30,499,41,119,0,19,940,69.2,1.1,0.223,,,,,, +2006,10,10,17,30,0,0,0,1,17,940,55,0.8,0.223,,,,,, +2006,10,10,18,30,0,0,0,1,15,940,27.8,0.8,0.223,,,,,, +2006,10,10,19,30,0,0,0,2,14,940,14.9,0.9,0.223,,,,,, +2006,10,10,20,30,0,0,0,3,13,940,18.9,0.8,0.223,,,,,, +2006,10,10,21,30,0,0,0,4,12,940,34.3,0.7,0.223,,,,,, +2006,10,10,22,30,0,0,0,4,12,940,69.6,0.6,0.223,,,,,, +2006,10,10,23,30,0,0,0,4,11,940,119.2,0.7,0.223,,,,,, +2006,10,11,0,30,0,0,0,4,11,940,153.4,0.9,0.223,,,,,, +2006,10,11,1,30,0,0,0,4,11,940,173.3,1,0.223,,,,,, +2006,10,11,2,30,0,0,0,4,11,940,187.6,1.1,0.223,,,,,, +2006,10,11,3,30,0,0,0,4,10,940,199.1,1.2,0.223,,,,,, +2006,10,11,4,30,0,0,0,3,10,940,208.2,1.3,0.223,,,,,, +2006,10,11,5,30,0,0,0,3,10,940,214.6,1.4,0.223,,,,,, +2006,10,11,6,30,461,35,93,3,12,940,219,2,0.223,,,,,, +2006,10,11,7,30,741,62,302,3,16,940,220.5,2.5,0.223,,,,,, +2006,10,11,8,30,858,76,501,1,19,940,234.1,2.2,0.223,,,,,, +2006,10,11,9,30,917,86,661,0,22,940,272.5,2.1,0.223,,,,,, +2006,10,11,10,30,937,96,763,0,23,940,286.5,1.8,0.223,,,,,, +2006,10,11,11,30,947,97,801,0,24,940,289.7,1.6,0.223,,,,,, +2006,10,11,12,30,939,96,771,-1,25,940,289.4,1.4,0.223,,,,,, +2006,10,11,13,30,916,90,676,-1,26,940,291.7,1.2,0.223,,,,,, +2006,10,11,14,30,858,81,522,-2,26,940,295.9,1,0.223,,,,,, +2006,10,11,15,30,743,68,325,-2,25,940,296,0.7,0.223,,,,,, +2006,10,11,16,30,516,39,117,-2,21,940,282.8,0.4,0.223,,,,,, +2006,10,11,17,30,0,0,0,-2,18,940,218.1,0.6,0.223,,,,,, +2006,10,11,18,30,0,0,0,-2,17,940,193.8,0.9,0.223,,,,,, +2006,10,11,19,30,0,0,0,-2,16,940,194.2,1.2,0.223,,,,,, +2006,10,11,20,30,0,0,0,-2,15,940,198.6,1.3,0.223,,,,,, +2006,10,11,21,30,0,0,0,-2,15,940,202.6,1.4,0.223,,,,,, +2006,10,11,22,30,0,0,0,-2,14,940,205.8,1.5,0.223,,,,,, +2006,10,11,23,30,0,0,0,-2,14,940,208,1.6,0.223,,,,,, +2006,10,12,0,30,0,0,0,-2,14,940,210.3,1.6,0.223,,,,,, +2006,10,12,1,30,0,0,0,-2,13,940,210.4,1.5,0.223,,,,,, +2006,10,12,2,30,0,0,0,-2,13,940,209.9,1.4,0.223,,,,,, +2006,10,12,3,30,0,0,0,-2,12,940,212.5,1.3,0.223,,,,,, +2006,10,12,4,30,0,0,0,-1,12,940,216.1,1.3,0.223,,,,,, +2006,10,12,5,30,0,0,0,-1,12,940,219.3,1.2,0.223,,,,,, +2006,10,12,6,30,417,37,89,-1,13,940,221.5,1.8,0.223,,,,,, +2006,10,12,7,30,708,68,295,-1,17,940,222.8,2.3,0.223,,,,,, +2006,10,12,8,30,832,85,494,-1,20,940,230,2.2,0.223,,,,,, +2006,10,12,9,30,896,95,654,-1,23,940,265.5,2.2,0.223,,,,,, +2006,10,12,10,30,934,98,759,-1,25,940,298.1,2.4,0.223,,,,,, +2006,10,12,11,30,944,100,797,-2,26,940,314,2.5,0.223,,,,,, +2006,10,12,12,30,936,98,766,-2,27,940,320.8,2.4,0.223,,,,,, +2006,10,12,13,30,906,93,669,-2,27,940,324.3,2.4,0.223,,,,,, +2006,10,12,14,30,843,85,514,-2,26,940,325.5,2.4,0.223,,,,,, +2006,10,12,15,30,724,70,317,-2,25,940,326.8,1.9,0.223,,,,,, +2006,10,12,16,30,503,38,112,-2,21,940,332.3,1.2,0.223,,,,,, +2006,10,12,17,30,0,0,0,-1,18,940,354,1,0.223,,,,,, +2006,10,12,18,30,0,0,0,0,17,940,14.1,1,0.223,,,,,, +2006,10,12,19,30,0,0,0,0,16,940,24.6,1,0.223,,,,,, +2006,10,12,20,30,0,0,0,1,15,940,27,0.9,0.223,,,,,, +2006,10,12,21,30,0,0,0,3,14,940,25.6,0.8,0.223,,,,,, +2006,10,12,22,30,0,0,0,5,12,940,21,0.6,0.223,,,,,, +2006,10,12,23,30,0,0,0,6,12,940,7.9,0.5,0.223,,,,,, +2006,10,13,0,30,0,0,0,7,11,940,342.6,0.6,0.223,,,,,, +2006,10,13,1,30,0,0,0,8,11,940,329.5,0.7,0.223,,,,,, +2006,10,13,2,30,0,0,0,8,11,940,332.1,0.9,0.223,,,,,, +2006,10,13,3,30,0,0,0,9,12,940,339.1,1,0.223,,,,,, +2006,10,13,4,30,0,0,0,9,12,940,344.3,1,0.223,,,,,, +2006,10,13,5,30,0,0,0,9,12,940,346,1.2,0.223,,,,,, +2006,10,13,6,30,287,42,77,9,13,940,349.5,2,0.223,,,,,, +2006,10,13,7,30,176,127,183,9,15,940,345.5,3,0.223,,,,,, +2006,10,13,8,30,84,211,252,8,17,940,340.7,3.4,0.223,,,,,, +2006,10,13,9,30,41,257,283,7,20,940,334.7,3.7,0.223,,,,,, +2006,10,13,10,30,10,211,218,7,22,940,333.8,4.2,0.223,,,,,, +2006,10,13,11,30,892,110,765,6,23,940,339.7,4.7,0.223,,,,,, +2006,10,13,12,30,876,112,733,6,23,940,348.6,5,0.223,,,,,, +2006,10,13,13,30,837,109,637,6,23,930,358.7,5.1,0.223,,,,,, +2006,10,13,14,30,0,21,21,6,22,930,6,4.9,0.223,,,,,, +2006,10,13,15,30,659,77,299,6,21,930,12.5,4.4,0.223,,,,,, +2006,10,13,16,30,414,42,101,9,18,930,20.9,2.9,0.223,,,,,, +2006,10,13,17,30,0,0,0,10,16,930,38.9,1.6,0.223,,,,,, +2006,10,13,18,30,0,0,0,9,14,940,58.1,1.3,0.223,,,,,, +2006,10,13,19,30,0,0,0,9,13,940,66.4,1.3,0.223,,,,,, +2006,10,13,20,30,0,0,0,9,12,940,72,1.5,0.223,,,,,, +2006,10,13,21,30,0,0,0,9,11,940,77.2,1.8,0.223,,,,,, +2006,10,13,22,30,0,0,0,9,10,940,86.3,2,0.223,,,,,, +2006,10,13,23,30,0,0,0,9,10,940,101.8,2.3,0.223,,,,,, +2006,10,14,0,30,0,0,0,9,10,940,115.4,2.7,0.223,,,,,, +2006,10,14,1,30,0,0,0,9,9,940,120.3,2.6,0.223,,,,,, +2006,10,14,2,30,0,0,0,9,9,940,119.9,2.2,0.223,,,,,, +2006,10,14,3,30,0,0,0,9,9,940,117.7,1.7,0.223,,,,,, +2006,10,14,4,30,0,0,0,9,9,940,110.8,1.5,0.223,,,,,, +2006,10,14,5,30,0,0,0,9,9,940,100.3,1.9,0.223,,,,,, +2006,10,14,6,30,0,30,30,9,10,940,91,2.8,0.223,,,,,, +2006,10,14,7,30,262,119,201,9,11,940,85.9,3.4,0.223,,,,,, +2006,10,14,8,30,279,203,339,9,13,940,78,3.5,0.223,,,,,, +2006,10,14,9,30,0,92,92,9,14,940,70.3,3.5,0.223,,,,,, +2006,10,14,10,30,0,95,95,8,15,940,72.7,3.6,0.223,,,,,, +2006,10,14,11,30,0,132,132,7,16,940,82,3.6,0.223,,,,,, +2006,10,14,12,30,0,76,76,7,17,940,94.6,3.8,0.223,,,,,, +2006,10,14,13,30,4,174,176,7,17,940,107.2,3.9,0.223,,,,,, +2006,10,14,14,30,39,200,220,7,17,940,116.5,3.9,0.223,,,,,, +2006,10,14,15,30,422,106,247,7,16,940,121.4,3.5,0.223,,,,,, +2006,10,14,16,30,207,49,78,7,15,940,124.2,2.2,0.223,,,,,, +2006,10,14,17,30,0,0,0,8,13,940,127,1,0.223,,,,,, +2006,10,14,18,30,0,0,0,8,12,940,128,0.7,0.223,,,,,, +2006,10,14,19,30,0,0,0,8,12,940,123,0.7,0.223,,,,,, +2006,10,14,20,30,0,0,0,8,11,940,112.2,0.8,0.223,,,,,, +2006,10,14,21,30,0,0,0,8,11,940,109.5,0.9,0.223,,,,,, +2006,10,14,22,30,0,0,0,9,10,940,112.2,1,0.223,,,,,, +2006,10,14,23,30,0,0,0,9,10,940,119.8,1,0.223,,,,,, +2006,10,15,0,30,0,0,0,9,10,940,130.8,1.1,0.223,,,,,, +2006,10,15,1,30,0,0,0,9,9,940,138.6,1.2,0.223,,,,,, +2006,10,15,2,30,0,0,0,9,9,940,143.1,1.3,0.223,,,,,, +2006,10,15,3,30,0,0,0,9,9,940,144.1,1.5,0.223,,,,,, +2006,10,15,4,30,0,0,0,9,9,940,144.5,1.6,0.223,,,,,, +2006,10,15,5,30,0,0,0,9,9,940,144.9,2.1,0.223,,,,,, +2006,10,15,6,30,255,43,72,9,10,940,145.4,3.1,0.223,,,,,, +2006,10,15,7,30,563,90,266,9,12,940,148.8,3.8,0.223,,,,,, +2006,10,15,8,30,718,113,458,9,14,940,155.5,3.7,0.223,,,,,, +2006,10,15,9,30,800,124,613,9,16,940,154.9,3.6,0.223,,,,,, +2006,10,15,10,30,864,118,719,9,18,940,152.5,3.6,0.223,,,,,, +2006,10,15,11,30,883,116,756,9,19,940,151.2,3.7,0.223,,,,,, +2006,10,15,12,30,881,110,726,9,20,940,150.5,3.8,0.223,,,,,, +2006,10,15,13,30,906,79,642,8,20,940,150.5,3.6,0.223,,,,,, +2006,10,15,14,30,853,71,492,8,20,940,149.9,3.3,0.223,,,,,, +2006,10,15,15,30,742,58,301,8,19,940,147.7,2.5,0.223,,,,,, +2006,10,15,16,30,87,47,59,8,16,940,140.9,1.5,0.219,,,,,, +2006,10,15,17,30,0,0,0,8,14,940,114.9,1.1,0.219,,,,,, +2006,10,15,18,30,0,0,0,8,12,940,99.3,1.2,0.219,,,,,, +2006,10,15,19,30,0,0,0,8,12,940,98.2,1.4,0.219,,,,,, +2006,10,15,20,30,0,0,0,8,11,940,102.1,1.4,0.219,,,,,, +2006,10,15,21,30,0,0,0,8,11,940,106.7,1.3,0.219,,,,,, +2006,10,15,22,30,0,0,0,8,11,940,110,1.2,0.219,,,,,, +2006,10,15,23,30,0,0,0,8,10,930,111.9,1.2,0.219,,,,,, +2006,10,16,0,30,0,0,0,8,10,930,113,1.1,0.219,,,,,, +2006,10,16,1,30,0,0,0,8,9,930,111.7,1.1,0.219,,,,,, +2006,10,16,2,30,0,0,0,8,9,930,108,1.1,0.219,,,,,, +2006,10,16,3,30,0,0,0,8,9,930,106.9,1,0.219,,,,,, +2006,10,16,4,30,0,0,0,8,9,930,110.5,0.9,0.219,,,,,, +2006,10,16,5,30,0,0,0,8,9,930,114.5,0.8,0.219,,,,,, +2006,10,16,6,30,345,36,74,8,10,930,115.2,1.1,0.219,,,,,, +2006,10,16,7,30,659,70,273,8,12,940,103.4,1.5,0.219,,,,,, +2006,10,16,8,30,801,86,468,8,15,940,46,2.1,0.219,,,,,, +2006,10,16,9,30,869,97,625,8,17,930,30.1,2.9,0.219,,,,,, +2006,10,16,10,30,890,109,724,7,19,930,28.7,3.3,0.219,,,,,, +2006,10,16,11,30,906,109,763,7,20,930,30.9,3.6,0.219,,,,,, +2006,10,16,12,30,906,103,734,6,21,930,32.6,3.7,0.219,,,,,, +2006,10,16,13,30,884,94,639,5,22,930,30.6,3.7,0.219,,,,,, +2006,10,16,14,30,835,81,489,4,21,930,24.4,3.8,0.219,,,,,, +2006,10,16,15,30,728,63,298,4,20,930,16.4,3.7,0.219,,,,,, +2006,10,16,16,30,462,35,95,4,17,930,7.8,3.2,0.219,,,,,, +2006,10,16,17,30,0,0,0,4,15,930,3.6,3.2,0.219,,,,,, +2006,10,16,18,30,0,0,0,5,13,930,10.5,3.7,0.219,,,,,, +2006,10,16,19,30,0,0,0,7,12,930,23.3,3.4,0.219,,,,,, +2006,10,16,20,30,0,0,0,8,12,930,35.2,3.1,0.219,,,,,, +2006,10,16,21,30,0,0,0,8,11,930,46.3,2.9,0.219,,,,,, +2006,10,16,22,30,0,0,0,9,11,930,60.5,2.7,0.219,,,,,, +2006,10,16,23,30,0,0,0,9,10,930,72.9,2.5,0.219,,,,,, +2006,10,17,0,30,0,0,0,9,10,930,81.2,2.3,0.219,,,,,, +2006,10,17,1,30,0,0,0,8,9,930,87.3,2.3,0.219,,,,,, +2006,10,17,2,30,0,0,0,8,9,930,88.4,2.3,0.219,,,,,, +2006,10,17,3,30,0,0,0,8,8,930,89.5,2.3,0.219,,,,,, +2006,10,17,4,30,0,0,0,7,8,930,89.5,2.1,0.219,,,,,, +2006,10,17,5,30,0,0,0,7,8,940,88.1,2.1,0.219,,,,,, +2006,10,17,6,30,338,36,73,6,9,940,88.4,3.4,0.219,,,,,, +2006,10,17,7,30,724,59,280,6,11,940,100.5,4.9,0.219,,,,,, +2006,10,17,8,30,850,74,476,5,14,940,118.1,5,0.219,,,,,, +2006,10,17,9,30,916,82,635,3,17,940,129.4,4.2,0.219,,,,,, +2006,10,17,10,30,947,88,738,2,18,940,135.5,3.5,0.219,,,,,, +2006,10,17,11,30,961,88,776,1,19,940,136.1,3.2,0.219,,,,,, +2006,10,17,12,30,956,85,746,0,20,940,134.5,3,0.219,,,,,, +2006,10,17,13,30,937,77,650,0,20,940,133.4,3,0.219,,,,,, +2006,10,17,14,30,880,69,495,0,19,940,133.9,3,0.219,,,,,, +2006,10,17,15,30,763,56,299,0,18,940,135.2,2.6,0.219,,,,,, +2006,10,17,16,30,491,32,93,0,15,940,136,1.7,0.219,,,,,, +2006,10,17,17,30,0,0,0,2,13,940,131.4,1.2,0.219,,,,,, +2006,10,17,18,30,0,0,0,2,11,940,130.6,1.5,0.219,,,,,, +2006,10,17,19,30,0,0,0,1,11,940,132,1.7,0.219,,,,,, +2006,10,17,20,30,0,0,0,1,10,940,135.4,2,0.219,,,,,, +2006,10,17,21,30,0,0,0,1,9,940,140.2,2.2,0.219,,,,,, +2006,10,17,22,30,0,0,0,0,9,940,145.4,2.4,0.219,,,,,, +2006,10,17,23,30,0,0,0,-1,8,940,151.6,2.8,0.219,,,,,, +2006,10,18,0,30,0,0,0,-2,8,940,157.2,3.2,0.219,,,,,, +2006,10,18,1,30,0,0,0,-2,7,940,160.3,3.6,0.219,,,,,, +2006,10,18,2,30,0,0,0,-2,7,940,163.4,3.8,0.219,,,,,, +2006,10,18,3,30,0,0,0,-2,6,940,166.1,3.8,0.219,,,,,, +2006,10,18,4,30,0,0,0,-2,6,950,165,3.6,0.219,,,,,, +2006,10,18,5,30,0,0,0,-2,6,950,163.2,3.8,0.219,,,,,, +2006,10,18,6,30,501,27,80,-2,8,950,159.2,4.8,0.219,,,,,, +2006,10,18,7,30,794,49,288,-2,11,950,162.8,6.1,0.219,,,,,, +2006,10,18,8,30,902,63,486,-1,14,950,183.4,6.8,0.219,,,,,, +2006,10,18,9,30,952,73,644,-2,16,950,188.9,6.8,0.219,,,,,, +2006,10,18,10,30,964,86,744,-2,17,950,188.7,6.7,0.219,,,,,, +2006,10,18,11,30,971,89,780,-3,18,950,188,6.7,0.219,,,,,, +2006,10,18,12,30,962,88,748,-3,19,950,186.3,6.7,0.219,,,,,, +2006,10,18,13,30,928,85,649,-4,19,950,185.2,6.7,0.219,,,,,, +2006,10,18,14,30,868,77,493,-4,19,950,183.6,6.5,0.219,,,,,, +2006,10,18,15,30,746,62,296,-5,18,950,182.3,5.6,0.219,,,,,, +2006,10,18,16,30,478,33,90,-3,15,950,180.5,3.7,0.219,,,,,, +2006,10,18,17,30,0,0,0,-1,12,950,176.7,2.4,0.219,,,,,, +2006,10,18,18,30,0,0,0,-1,12,950,175.3,2.2,0.219,,,,,, +2006,10,18,19,30,0,0,0,-2,12,950,174.8,2.2,0.219,,,,,, +2006,10,18,20,30,0,0,0,-3,11,950,174.1,2.3,0.219,,,,,, +2006,10,18,21,30,0,0,0,-3,11,950,174.1,2.5,0.219,,,,,, +2006,10,18,22,30,0,0,0,-3,10,950,176.2,2.8,0.219,,,,,, +2006,10,18,23,30,0,0,0,-3,9,950,179.2,3,0.219,,,,,, +2006,10,19,0,30,0,0,0,-3,8,950,182.3,2.9,0.219,,,,,, +2006,10,19,1,30,0,0,0,-3,8,950,185.3,2.5,0.219,,,,,, +2006,10,19,2,30,0,0,0,-4,8,950,186.2,2.2,0.219,,,,,, +2006,10,19,3,30,0,0,0,-4,8,950,186.1,2,0.219,,,,,, +2006,10,19,4,30,0,0,0,-4,8,950,185.6,1.9,0.219,,,,,, +2006,10,19,5,30,0,0,0,-4,8,950,184.3,1.9,0.219,,,,,, +2006,10,19,6,30,112,35,47,-3,10,950,182.7,2.9,0.219,,,,,, +2006,10,19,7,30,753,54,278,-2,13,950,184.8,3.8,0.219,,,,,, +2006,10,19,8,30,874,67,475,-3,16,950,197.5,3.5,0.219,,,,,, +2006,10,19,9,30,933,76,632,-4,19,950,201.2,3.1,0.219,,,,,, +2006,10,19,10,30,959,82,732,-4,20,950,196,3,0.219,,,,,, +2006,10,19,11,30,968,84,768,-4,21,950,189.3,3.2,0.219,,,,,, +2006,10,19,12,30,958,82,736,-4,22,950,185.1,3.4,0.219,,,,,, +2006,10,19,13,30,928,79,638,-5,22,950,184.6,3.6,0.219,,,,,, +2006,10,19,14,30,866,71,483,-5,22,940,186.4,3.6,0.219,,,,,, +2006,10,19,15,30,744,57,287,-5,20,940,187.7,2.6,0.219,,,,,, +2006,10,19,16,30,456,31,84,0,17,940,187,1.5,0.219,,,,,, +2006,10,19,17,30,0,0,0,0,16,940,182.3,1.3,0.219,,,,,, +2006,10,19,18,30,0,0,0,-2,15,940,177.7,1.5,0.219,,,,,, +2006,10,19,19,30,0,0,0,-2,15,940,174,1.6,0.219,,,,,, +2006,10,19,20,30,0,0,0,-3,14,940,171.8,1.7,0.219,,,,,, +2006,10,19,21,30,0,0,0,-3,14,940,169.9,1.7,0.219,,,,,, +2006,10,19,22,30,0,0,0,-2,13,940,168,1.9,0.219,,,,,, +2006,10,19,23,30,0,0,0,-3,12,940,167.5,2.1,0.219,,,,,, +2006,10,20,0,30,0,0,0,-3,12,940,168.8,2.2,0.219,,,,,, +2006,10,20,1,30,0,0,0,-3,12,940,169.7,2.2,0.219,,,,,, +2006,10,20,2,30,0,0,0,-3,11,940,171.7,2.1,0.219,,,,,, +2006,10,20,3,30,0,0,0,-3,10,940,174.5,2,0.219,,,,,, +2006,10,20,4,30,0,0,0,-3,10,940,176.6,1.9,0.219,,,,,, +2006,10,20,5,30,0,0,0,-3,10,940,177.8,1.8,0.219,,,,,, +2006,10,20,6,30,408,29,69,-2,12,940,177.8,2.5,0.219,,,,,, +2006,10,20,7,30,722,56,268,-1,15,940,177.2,3.4,0.219,,,,,, +2006,10,20,8,30,847,70,462,-2,18,940,186,3.7,0.219,,,,,, +2006,10,20,9,30,910,79,617,-1,21,940,194.7,3.7,0.219,,,,,, +2006,10,20,10,30,940,84,718,0,23,940,194.5,3.6,0.219,,,,,, +2006,10,20,11,30,952,86,755,0,24,940,191.3,3.4,0.219,,,,,, +2006,10,20,12,30,945,85,725,0,25,940,186.1,3.3,0.219,,,,,, +2006,10,20,13,30,916,81,629,0,25,940,183.5,3,0.219,,,,,, +2006,10,20,14,30,856,73,476,1,25,940,182.1,2.6,0.219,,,,,, +2006,10,20,15,30,736,58,282,1,23,940,179.9,1.7,0.219,,,,,, +2006,10,20,16,30,441,31,80,5,21,940,184.1,0.8,0.219,,,,,, +2006,10,20,17,30,0,0,0,3,19,940,202.5,0.4,0.219,,,,,, +2006,10,20,18,30,0,0,0,3,18,940,188.4,0.3,0.219,,,,,, +2006,10,20,19,30,0,0,0,3,17,940,121.4,0.7,0.219,,,,,, +2006,10,20,20,30,0,0,0,3,16,940,126.2,1,0.219,,,,,, +2006,10,20,21,30,0,0,0,3,15,940,136.3,1.2,0.219,,,,,, +2006,10,20,22,30,0,0,0,2,14,940,146.6,1.3,0.219,,,,,, +2006,10,20,23,30,0,0,0,2,13,940,156.8,1.4,0.219,,,,,, +2006,10,21,0,30,0,0,0,2,13,940,166.7,1.4,0.219,,,,,, +2006,10,21,1,30,0,0,0,2,12,940,175.3,1.4,0.219,,,,,, +2006,10,21,2,30,0,0,0,1,11,940,183.8,1.5,0.219,,,,,, +2006,10,21,3,30,0,0,0,0,10,940,189.1,1.9,0.219,,,,,, +2006,10,21,4,30,0,0,0,0,9,940,188.1,2.4,0.219,,,,,, +2006,10,21,5,30,0,0,0,-1,9,940,186.8,3.1,0.219,,,,,, +2006,10,21,6,30,400,29,68,-1,11,940,184.9,4.3,0.219,,,,,, +2006,10,21,7,30,290,105,190,-2,14,940,181.8,5.3,0.219,,,,,, +2006,10,21,8,30,868,72,470,-2,17,950,189.3,5.9,0.219,,,,,, +2006,10,21,9,30,932,81,629,-3,20,950,199.2,6.2,0.219,,,,,, +2006,10,21,10,30,957,89,730,-4,21,950,200.4,6,0.219,,,,,, +2006,10,21,11,30,966,92,767,-5,22,940,196.8,5.6,0.219,,,,,, +2006,10,21,12,30,958,90,735,-6,23,940,192.7,5.5,0.219,,,,,, +2006,10,21,13,30,929,86,637,-7,23,940,189.8,5.3,0.219,,,,,, +2006,10,21,14,30,867,77,481,-7,22,940,187.6,5,0.219,,,,,, +2006,10,21,15,30,740,61,283,-7,20,940,185.4,3.8,0.219,,,,,, +2006,10,21,16,30,440,32,78,-2,17,940,181.9,2.5,0.219,,,,,, +2006,10,21,17,30,0,0,0,-2,14,940,178.6,2.4,0.219,,,,,, +2006,10,21,18,30,0,0,0,-3,14,940,176.8,2.6,0.219,,,,,, +2006,10,21,19,30,0,0,0,-3,14,940,174.3,2.7,0.219,,,,,, +2006,10,21,20,30,0,0,0,-4,14,940,171.4,2.8,0.219,,,,,, +2006,10,21,21,30,0,0,0,-4,13,940,170.8,2.8,0.219,,,,,, +2006,10,21,22,30,0,0,0,-4,12,940,170,2.8,0.219,,,,,, +2006,10,21,23,30,0,0,0,-4,12,940,170.2,2.8,0.219,,,,,, +2006,10,22,0,30,0,0,0,-4,11,940,172.2,2.7,0.219,,,,,, +2006,10,22,1,30,0,0,0,-4,10,940,177.5,2.6,0.219,,,,,, +2006,10,22,2,30,0,0,0,-4,9,940,183.4,2.4,0.219,,,,,, +2006,10,22,3,30,0,0,0,-4,9,950,186.3,2.3,0.219,,,,,, +2006,10,22,4,30,0,0,0,-4,8,950,186.8,2.3,0.219,,,,,, +2006,10,22,5,30,0,0,0,-4,8,950,186.3,2.4,0.219,,,,,, +2006,10,22,6,30,418,28,67,-4,10,950,185.1,3.4,0.219,,,,,, +2006,10,22,7,30,359,96,199,-3,14,950,186.1,3.9,0.219,,,,,, +2006,10,22,8,30,878,70,469,-4,17,950,192.1,3.3,0.219,,,,,, +2006,10,22,9,30,940,78,627,-5,20,950,200.5,2.6,0.219,,,,,, +2006,10,22,10,30,968,84,728,-5,22,950,205.6,1.9,0.219,,,,,, +2006,10,22,11,30,976,86,763,-6,23,950,213.8,1.5,0.219,,,,,, +2006,10,22,12,30,965,85,730,-6,24,940,209,1.5,0.219,,,,,, +2006,10,22,13,30,940,78,632,-6,24,940,200.2,1.5,0.219,,,,,, +2006,10,22,14,30,879,70,476,-6,24,940,189.1,1.5,0.219,,,,,, +2006,10,22,15,30,755,56,279,-6,22,940,176.4,1.2,0.219,,,,,, +2006,10,22,16,30,449,29,75,0,19,940,162.2,1,0.219,,,,,, +2006,10,22,17,30,0,0,0,-2,16,940,152.6,1.2,0.219,,,,,, +2006,10,22,18,30,0,0,0,-2,15,940,153.6,1.4,0.219,,,,,, +2006,10,22,19,30,0,0,0,-3,15,940,160.7,1.5,0.219,,,,,, +2006,10,22,20,30,0,0,0,-3,15,940,169,1.6,0.219,,,,,, +2006,10,22,21,30,0,0,0,-3,15,940,174.3,1.7,0.219,,,,,, +2006,10,22,22,30,0,0,0,-4,14,940,176.7,1.7,0.219,,,,,, +2006,10,22,23,30,0,0,0,-4,14,940,178.4,1.8,0.219,,,,,, +2006,10,23,0,30,0,0,0,-4,13,940,181.1,1.8,0.219,,,,,, +2006,10,23,1,30,0,0,0,-4,13,940,187,1.8,0.219,,,,,, +2006,10,23,2,30,0,0,0,-4,12,940,196.9,1.7,0.219,,,,,, +2006,10,23,3,30,0,0,0,-4,11,940,203.6,1.7,0.219,,,,,, +2006,10,23,4,30,0,0,0,-4,11,940,205.6,1.7,0.219,,,,,, +2006,10,23,5,30,0,0,0,-4,10,940,204.4,1.7,0.219,,,,,, +2006,10,23,6,30,384,27,62,-4,11,940,202.6,2.4,0.219,,,,,, +2006,10,23,7,30,313,100,189,-2,14,950,199.1,3,0.219,,,,,, +2006,10,23,8,30,852,71,455,-4,18,950,195.3,2.6,0.219,,,,,, +2006,10,23,9,30,916,80,611,-4,21,940,203.2,1.9,0.219,,,,,, +2006,10,23,10,30,941,87,709,-4,24,940,234.2,1.2,0.219,,,,,, +2006,10,23,11,30,950,89,744,-4,25,940,277.2,0.9,0.219,,,,,, +2006,10,23,12,30,492,243,570,-4,26,940,307.2,1.3,0.219,,,,,, +2006,10,23,13,30,632,161,530,-3,26,940,345.2,2,0.219,,,,,, +2006,10,23,14,30,351,181,342,-3,26,940,0.4,2.2,0.219,,,,,, +2006,10,23,15,30,517,77,228,-2,24,940,15.1,1.5,0.219,,,,,, +2006,10,23,16,30,389,29,67,1,21,940,41.2,1,0.22,,,,,, +2006,10,23,17,30,0,0,0,0,18,940,59.5,1.2,0.22,,,,,, +2006,10,23,18,30,0,0,0,0,17,940,63.9,1.1,0.22,,,,,, +2006,10,23,19,30,0,0,0,0,17,940,66,0.9,0.22,,,,,, +2006,10,23,20,30,0,0,0,0,16,940,60.4,0.8,0.22,,,,,, +2006,10,23,21,30,0,0,0,0,16,940,39.2,0.9,0.22,,,,,, +2006,10,23,22,30,0,0,0,-1,15,940,11.9,1.3,0.22,,,,,, +2006,10,23,23,30,0,0,0,-1,15,940,1.8,1.5,0.22,,,,,, +2006,10,24,0,30,0,0,0,-1,15,940,0.1,1.4,0.22,,,,,, +2006,10,24,1,30,0,0,0,-1,15,940,356.2,1.1,0.22,,,,,, +2006,10,24,2,30,0,0,0,-1,14,940,345.2,0.9,0.22,,,,,, +2006,10,24,3,30,0,0,0,-1,14,940,329.9,0.7,0.22,,,,,, +2006,10,24,4,30,0,0,0,-2,14,940,312.7,0.6,0.22,,,,,, +2006,10,24,5,30,0,0,0,-2,14,940,282.9,0.5,0.22,,,,,, +2006,10,24,6,30,81,30,37,-2,15,940,247.9,0.4,0.22,,,,,, +2006,10,24,7,30,291,101,182,0,17,940,286.6,0.8,0.22,,,,,, +2006,10,24,8,30,591,120,384,-1,20,940,337.2,1.2,0.22,,,,,, +2006,10,24,9,30,624,163,522,0,23,940,332.7,1.3,0.22,,,,,, +2006,10,24,10,30,915,91,693,0,24,940,329.4,1.1,0.22,,,,,, +2006,10,24,11,30,927,93,728,0,25,940,331.3,0.9,0.22,,,,,, +2006,10,24,12,30,919,91,696,0,25,940,345.5,0.8,0.22,,,,,, +2006,10,24,13,30,891,84,601,0,25,940,356.1,0.8,0.22,,,,,, +2006,10,24,14,30,824,75,449,0,25,940,355.8,0.8,0.22,,,,,, +2006,10,24,15,30,688,60,257,0,23,940,343.1,0.8,0.22,,,,,, +2006,10,24,16,30,362,29,63,2,20,940,323.4,0.8,0.22,,,,,, +2006,10,24,17,30,0,0,0,2,18,940,312.9,0.9,0.22,,,,,, +2006,10,24,18,30,0,0,0,2,16,940,310.7,0.9,0.22,,,,,, +2006,10,24,19,30,0,0,0,3,14,940,316.6,0.9,0.22,,,,,, +2006,10,24,20,30,0,0,0,4,13,940,333.3,0.9,0.22,,,,,, +2006,10,24,21,30,0,0,0,4,13,940,353.4,0.9,0.22,,,,,, +2006,10,24,22,30,0,0,0,5,12,940,8.4,0.8,0.22,,,,,, +2006,10,24,23,30,0,0,0,5,12,940,16,0.8,0.22,,,,,, +2006,10,25,0,30,0,0,0,5,11,940,18.1,0.8,0.22,,,,,, +2006,10,25,1,30,0,0,0,5,11,940,10.1,0.8,0.22,,,,,, +2006,10,25,2,30,0,0,0,4,11,940,357,0.8,0.22,,,,,, +2006,10,25,3,30,0,0,0,4,10,940,346.9,0.9,0.22,,,,,, +2006,10,25,4,30,0,0,0,3,10,940,340.7,0.9,0.22,,,,,, +2006,10,25,5,30,0,0,0,3,10,940,339.7,1,0.22,,,,,, +2006,10,25,6,30,265,30,52,2,11,940,343.1,1.5,0.22,,,,,, +2006,10,25,7,30,595,74,239,3,14,940,352.9,2.5,0.22,,,,,, +2006,10,25,8,30,755,96,431,3,16,940,17.9,2.9,0.22,,,,,, +2006,10,25,9,30,844,107,589,2,18,940,39.4,2.8,0.22,,,,,, +2006,10,25,10,30,879,119,692,0,19,950,65.5,2.7,0.22,,,,,, +2006,10,25,11,30,905,120,736,-4,20,940,93.6,2.9,0.22,,,,,, +2006,10,25,12,30,911,116,712,-9,21,940,118.1,3.2,0.22,,,,,, +2006,10,25,13,30,893,105,619,-13,21,940,137.1,3.7,0.22,,,,,, +2006,10,25,14,30,822,93,462,-15,20,940,155.6,4.1,0.22,,,,,, +2006,10,25,15,30,673,73,263,-16,18,940,174.4,3.9,0.22,,,,,, +2006,10,25,16,30,328,32,62,-12,14,940,193.7,3.2,0.22,,,,,, +2006,10,25,17,30,0,0,0,-10,11,940,206.9,3.1,0.22,,,,,, +2006,10,25,18,30,0,0,0,-11,10,950,214.1,2.7,0.22,,,,,, +2006,10,25,19,30,0,0,0,-11,10,950,212.5,1.9,0.22,,,,,, +2006,10,25,20,30,0,0,0,-11,9,950,203.3,1.6,0.22,,,,,, +2006,10,25,21,30,0,0,0,-12,8,950,191.3,1.6,0.22,,,,,, +2006,10,25,22,30,0,0,0,-12,7,950,183.2,1.9,0.22,,,,,, +2006,10,25,23,30,0,0,0,-11,7,950,179.2,2.4,0.22,,,,,, +2006,10,26,0,30,0,0,0,-11,6,950,180,3.1,0.22,,,,,, +2006,10,26,1,30,0,0,0,-10,6,950,181.1,3.8,0.22,,,,,, +2006,10,26,2,30,0,0,0,-10,5,950,179.1,4.1,0.22,,,,,, +2006,10,26,3,30,0,0,0,-9,5,950,175.7,4.1,0.22,,,,,, +2006,10,26,4,30,0,0,0,-9,4,950,173.8,4,0.22,,,,,, +2006,10,26,5,30,0,0,0,-9,4,950,170.5,3.9,0.22,,,,,, +2006,10,26,6,30,387,26,57,-9,6,950,168.4,4.6,0.22,,,,,, +2006,10,26,7,30,755,54,261,-9,10,950,169.4,5.9,0.22,,,,,, +2006,10,26,8,30,885,69,459,-9,13,950,185.3,6.8,0.22,,,,,, +2006,10,26,9,30,946,79,616,-8,16,950,195.7,7,0.22,,,,,, +2006,10,26,10,30,975,85,717,-8,17,950,196.3,6.7,0.22,,,,,, +2006,10,26,11,30,981,88,752,-9,18,950,194.5,6.4,0.22,,,,,, +2006,10,26,12,30,970,87,717,-9,19,950,192.1,6.3,0.22,,,,,, +2006,10,26,13,30,944,80,618,-9,20,950,190.6,6.3,0.22,,,,,, +2006,10,26,14,30,879,71,461,-10,19,950,189.7,6.1,0.22,,,,,, +2006,10,26,15,30,745,56,264,-10,17,950,189,5.1,0.22,,,,,, +2006,10,26,16,30,407,27,62,-7,14,950,187.3,3.3,0.22,,,,,, +2006,10,26,17,30,0,0,0,-6,11,950,181.5,2.5,0.22,,,,,, +2006,10,26,18,30,0,0,0,-6,11,950,174.7,2.6,0.22,,,,,, +2006,10,26,19,30,0,0,0,-7,10,950,168.9,2.8,0.22,,,,,, +2006,10,26,20,30,0,0,0,-7,10,950,168,3.1,0.22,,,,,, +2006,10,26,21,30,0,0,0,-8,9,950,170.2,3.3,0.22,,,,,, +2006,10,26,22,30,0,0,0,-8,9,950,173.2,3.4,0.22,,,,,, +2006,10,26,23,30,0,0,0,-9,8,950,176.4,3.3,0.22,,,,,, +2006,10,27,0,30,0,0,0,-9,8,950,180.4,3.1,0.22,,,,,, +2006,10,27,1,30,0,0,0,-9,7,950,181.2,2.9,0.22,,,,,, +2006,10,27,2,30,0,0,0,-9,7,950,180.2,2.8,0.22,,,,,, +2006,10,27,3,30,0,0,0,-9,6,950,180.1,2.9,0.22,,,,,, +2006,10,27,4,30,0,0,0,-9,6,950,180.2,3,0.22,,,,,, +2006,10,27,5,30,0,0,0,-9,6,950,179.8,3.1,0.22,,,,,, +2006,10,27,6,30,381,25,54,-9,8,950,178.4,3.9,0.22,,,,,, +2006,10,27,7,30,756,52,256,-8,12,950,178.1,4.7,0.22,,,,,, +2006,10,27,8,30,888,66,453,-8,15,960,187.8,4.7,0.22,,,,,, +2006,10,27,9,30,949,75,610,-7,18,950,196.5,4.8,0.22,,,,,, +2006,10,27,10,30,964,87,708,-7,20,950,194.6,4.8,0.22,,,,,, +2006,10,27,11,30,973,89,743,-6,21,950,192.8,4.8,0.22,,,,,, +2006,10,27,12,30,963,88,709,-6,22,950,192.3,4.8,0.22,,,,,, +2006,10,27,13,30,942,78,612,-6,23,950,193.6,4.6,0.22,,,,,, +2006,10,27,14,30,874,70,455,-6,22,950,194.5,4.2,0.22,,,,,, +2006,10,27,15,30,737,56,258,-6,20,950,191.4,2.8,0.22,,,,,, +2006,10,27,16,30,383,26,58,-2,17,950,180.4,1.8,0.22,,,,,, +2006,10,27,17,30,0,0,0,-3,15,950,168.2,1.9,0.22,,,,,, +2006,10,27,18,30,0,0,0,-3,14,950,165.2,2.1,0.22,,,,,, +2006,10,27,19,30,0,0,0,-4,13,950,165.9,2.2,0.22,,,,,, +2006,10,27,20,30,0,0,0,-4,13,950,167.4,2.3,0.22,,,,,, +2006,10,27,21,30,0,0,0,-4,12,950,168.5,2.2,0.22,,,,,, +2006,10,27,22,30,0,0,0,-4,11,950,172.6,2.2,0.22,,,,,, +2006,10,27,23,30,0,0,0,-4,11,950,184.5,2.2,0.22,,,,,, +2006,10,28,0,30,0,0,0,-4,10,950,196.3,2.2,0.22,,,,,, +2006,10,28,1,30,0,0,0,-4,10,950,197.2,2.3,0.22,,,,,, +2006,10,28,2,30,0,0,0,-4,10,950,193.6,2.4,0.22,,,,,, +2006,10,28,3,30,0,0,0,-4,9,950,191.4,2.5,0.22,,,,,, +2006,10,28,4,30,0,0,0,-4,9,950,190.1,2.4,0.22,,,,,, +2006,10,28,5,30,0,0,0,-4,9,950,189.9,2.4,0.22,,,,,, +2006,10,28,6,30,0,12,12,-4,10,950,190.3,3.1,0.22,,,,,, +2006,10,28,7,30,124,105,138,-3,13,950,195,3.6,0.22,,,,,, +2006,10,28,8,30,504,136,354,-4,16,950,202.2,3.1,0.22,,,,,, +2006,10,28,9,30,557,174,486,-4,20,950,217.8,2.6,0.22,,,,,, +2006,10,28,10,30,908,91,672,-4,23,950,234,2.2,0.22,,,,,, +2006,10,28,11,30,806,132,670,-4,24,950,254.1,1.9,0.22,,,,,, +2006,10,28,12,30,948,90,698,-4,25,950,259.2,1.4,0.22,,,,,, +2006,10,28,13,30,914,85,599,-4,25,950,258.6,0.9,0.22,,,,,, +2006,10,28,14,30,853,73,444,-5,25,950,255.3,0.4,0.22,,,,,, +2006,10,28,15,30,726,55,251,-4,23,940,174.9,0.3,0.22,,,,,, +2006,10,28,16,30,395,24,55,0,19,940,126.1,0.6,0.22,,,,,, +2006,10,28,17,30,0,0,0,-2,17,940,127.6,0.9,0.22,,,,,, +2006,10,28,18,30,0,0,0,-2,16,940,131.4,1.1,0.22,,,,,, +2006,10,28,19,30,0,0,0,-3,15,940,138.8,1.2,0.22,,,,,, +2006,10,28,20,30,0,0,0,-3,14,940,149.4,1.3,0.22,,,,,, +2006,10,28,21,30,0,0,0,-3,13,940,162.4,1.4,0.22,,,,,, +2006,10,28,22,30,0,0,0,-4,12,940,174.6,1.4,0.22,,,,,, +2006,10,28,23,30,0,0,0,-4,11,940,181.2,1.4,0.22,,,,,, +2006,10,29,0,30,0,0,0,-4,10,940,184,1.3,0.22,,,,,, +2006,10,29,1,30,0,0,0,-4,9,940,187.4,1.3,0.22,,,,,, +2006,10,29,2,30,0,0,0,-4,9,940,189.6,1.2,0.22,,,,,, +2006,10,29,3,30,0,0,0,-4,8,940,190.4,1.2,0.22,,,,,, +2006,10,29,4,30,0,0,0,-4,8,940,190.6,1.2,0.22,,,,,, +2006,10,29,5,30,0,0,0,-5,8,940,190.6,1.1,0.22,,,,,, +2006,10,29,6,30,335,24,47,-5,10,940,190.7,1.3,0.22,,,,,, +2006,10,29,7,30,694,58,240,-4,13,940,197.4,1.4,0.22,,,,,, +2006,10,29,8,30,833,78,434,-5,17,940,203.9,1,0.22,,,,,, +2006,10,29,9,30,898,90,589,-5,20,940,192.3,0.7,0.22,,,,,, +2006,10,29,10,30,940,94,691,-5,22,940,81,1.2,0.22,,,,,, +2006,10,29,11,30,952,95,727,-6,23,940,51.5,2,0.22,,,,,, +2006,10,29,12,30,942,93,693,-7,24,940,47.5,2.4,0.22,,,,,, +2006,10,29,13,30,914,86,595,-7,24,940,46,2.5,0.22,,,,,, +2006,10,29,14,30,841,76,439,-7,23,940,40.2,2.4,0.22,,,,,, +2006,10,29,15,30,688,61,244,-8,21,940,30,1.7,0.22,,,,,, +2006,10,29,16,30,282,27,48,-6,17,940,10.7,1.3,0.22,,,,,, +2006,10,29,17,30,0,0,0,-6,14,940,4.7,1.5,0.22,,,,,, +2006,10,29,18,30,0,0,0,-5,13,940,9.7,1.6,0.22,,,,,, +2006,10,29,19,30,0,0,0,-4,12,940,21.1,1.7,0.22,,,,,, +2006,10,29,20,30,0,0,0,-3,11,940,39.3,1.6,0.22,,,,,, +2006,10,29,21,30,0,0,0,-2,11,940,67.1,1.5,0.22,,,,,, +2006,10,29,22,30,0,0,0,-1,10,940,94,1.5,0.22,,,,,, +2006,10,29,23,30,0,0,0,-1,9,940,112,1.5,0.22,,,,,, +2006,10,30,0,30,0,0,0,-1,9,940,125.5,1.5,0.22,,,,,, +2006,10,30,1,30,0,0,0,-1,8,940,133.4,1.5,0.22,,,,,, +2006,10,30,2,30,0,0,0,-1,8,940,139.7,1.6,0.22,,,,,, +2006,10,30,3,30,0,0,0,0,7,940,145.6,1.7,0.22,,,,,, +2006,10,30,4,30,0,0,0,0,6,940,151.4,1.7,0.22,,,,,, +2006,10,30,5,30,0,0,0,0,6,940,157.9,1.6,0.22,,,,,, +2006,10,30,6,30,270,24,43,0,7,940,162.4,2.2,0.22,,,,,, +2006,10,30,7,30,668,62,235,0,10,940,164.2,3,0.22,,,,,, +2006,10,30,8,30,824,81,430,0,12,940,161.9,3,0.22,,,,,, +2006,10,30,9,30,898,91,587,-1,15,940,158.3,2.1,0.22,,,,,, +2006,10,30,10,30,931,98,687,-2,18,940,135.5,1.3,0.22,,,,,, +2006,10,30,11,30,944,99,722,-3,20,940,70.9,1.5,0.22,,,,,, +2006,10,30,12,30,937,96,689,-4,21,940,51.6,2,0.22,,,,,, +2006,10,30,13,30,904,90,590,-5,21,940,51.6,2.1,0.22,,,,,, +2006,10,30,14,30,835,79,436,-6,21,940,53.1,1.9,0.22,,,,,, +2006,10,30,15,30,383,84,184,-6,19,940,51.5,1.2,0.22,,,,,, +2006,10,30,16,30,223,24,40,-4,15,940,40.6,0.9,0.22,,,,,, +2006,10,30,17,30,0,0,0,-4,12,940,32.4,1,0.22,,,,,, +2006,10,30,18,30,0,0,0,-4,12,940,36,1.1,0.22,,,,,, +2006,10,30,19,30,0,0,0,-3,12,940,43.2,1.2,0.22,,,,,, +2006,10,30,20,30,0,0,0,-2,12,940,49.4,1.2,0.22,,,,,, +2006,10,30,21,30,0,0,0,0,11,940,54.9,1.1,0.22,,,,,, +2006,10,30,22,30,0,0,0,1,10,940,61.6,1,0.22,,,,,, +2006,10,30,23,30,0,0,0,3,9,940,70.4,0.9,0.22,,,,,, +2006,10,31,0,30,0,0,0,4,7,940,81.3,0.9,0.22,,,,,, +2006,10,31,1,30,0,0,0,5,7,940,96.3,0.9,0.22,,,,,, +2006,10,31,2,30,0,0,0,5,6,940,115.7,0.9,0.22,,,,,, +2006,10,31,3,30,0,0,0,5,6,940,134.8,0.9,0.22,,,,,, +2006,10,31,4,30,0,0,0,5,6,940,150,1,0.22,,,,,, +2006,10,31,5,30,0,0,0,5,6,940,163.9,1,0.22,,,,,, +2006,10,31,6,30,15,22,23,5,7,940,178.4,1.1,0.22,,,,,, +2006,10,31,7,30,613,68,225,5,9,940,195.9,1.4,0.22,,,,,, +2006,10,31,8,30,788,86,418,5,12,940,203.4,1,0.22,,,,,, +2006,10,31,9,30,871,95,572,3,15,940,291.1,0.9,0.22,,,,,, +2006,10,31,10,30,879,113,665,2,17,940,0,1.4,0.22,,,,,, +2006,10,31,11,30,891,115,698,1,19,940,16.3,1.4,0.22,,,,,, +2006,10,31,12,30,880,111,664,0,20,940,38.2,1.4,0.22,,,,,, +2006,10,31,13,30,936,69,583,0,20,940,64.4,1.3,0.22,,,,,, +2006,10,31,14,30,868,62,430,0,20,940,86.6,1.2,0.22,,,,,, +2006,10,31,15,30,729,49,238,0,18,940,107.5,0.7,0.22,,,,,, +2012,10,31,16,30,110,23,30,-5,21,940,8.3,0.9,0.226,,,,,, +2012,10,31,17,30,0,0,0,-6,19,940,17.1,0.9,0.226,,,,,, +2012,10,31,18,30,0,0,0,-6,17,940,30.7,0.9,0.226,,,,,, +2012,10,31,19,30,0,0,0,-5,15,940,44.9,1,0.226,,,,,, +2012,10,31,20,30,0,0,0,-5,14,940,58.8,1,0.226,,,,,, +2012,10,31,21,30,0,0,0,-4,13,940,70.9,0.8,0.226,,,,,, +2012,10,31,22,30,0,0,0,-3,12,940,84.3,0.5,0.226,,,,,, +2012,10,31,23,30,0,0,0,-3,12,940,118.7,0.4,0.226,,,,,, +2012,11,1,0,30,0,0,0,-3,12,940,177.9,0.6,0.226,,,,,, +2012,11,1,1,30,0,0,0,-3,12,940,204.3,0.7,0.226,,,,,, +2012,11,1,2,30,0,0,0,-3,12,940,220.2,0.8,0.226,,,,,, +2012,11,1,3,30,0,0,0,-4,12,940,235.8,0.8,0.226,,,,,, +2012,11,1,4,30,0,0,0,-4,12,940,255.4,0.7,0.226,,,,,, +2012,11,1,5,30,0,0,0,-3,12,940,284.2,0.7,0.226,,,,,, +2012,11,1,6,30,0,12,12,-3,13,940,310.1,0.7,0.226,,,,,, +2012,11,1,7,30,303,87,163,-2,15,940,320.8,0.9,0.226,,,,,, +2012,11,1,8,30,815,60,398,-1,17,940,316.7,1,0.226,,,,,, +2012,11,1,9,30,657,137,493,-1,20,940,325,1.2,0.226,,,,,, +2012,11,1,10,30,903,102,662,-3,23,940,351.1,1.9,0.226,,,,,, +2012,11,1,11,30,924,101,700,-6,24,940,12,2.4,0.226,,,,,, +2012,11,1,12,30,923,96,669,-7,25,940,15.7,2.6,0.226,,,,,, +2012,11,1,13,30,914,80,577,-7,25,940,18.1,2.6,0.226,,,,,, +2012,11,1,14,30,694,85,375,-7,24,940,23.9,1.8,0.226,,,,,, +2012,11,1,15,30,570,58,203,-7,22,940,31.8,1.2,0.226,,,,,, +2012,11,1,16,30,52,22,25,-6,18,940,40.2,1.2,0.226,,,,,, +2012,11,1,17,30,0,0,0,-6,16,940,46.5,1.3,0.226,,,,,, +2012,11,1,18,30,0,0,0,-5,15,940,52.6,1.3,0.226,,,,,, +2012,11,1,19,30,0,0,0,-3,14,940,58.1,1.3,0.226,,,,,, +2012,11,1,20,30,0,0,0,-2,12,940,63.7,1.3,0.226,,,,,, +2012,11,1,21,30,0,0,0,0,11,940,71.3,1.3,0.226,,,,,, +2012,11,1,22,30,0,0,0,1,10,940,82.6,1.2,0.226,,,,,, +2012,11,1,23,30,0,0,0,3,10,940,97.8,1.2,0.226,,,,,, +2012,11,2,0,30,0,0,0,4,9,940,112.9,1.3,0.226,,,,,, +2012,11,2,1,30,0,0,0,6,8,940,126.5,1.3,0.226,,,,,, +2012,11,2,2,30,0,0,0,7,8,940,138,1.4,0.226,,,,,, +2012,11,2,3,30,0,0,0,7,7,940,146.6,1.4,0.226,,,,,, +2012,11,2,4,30,0,0,0,7,7,940,150.9,1.5,0.226,,,,,, +2012,11,2,5,30,0,0,0,7,7,940,153.1,1.6,0.226,,,,,, +2012,11,2,6,30,273,20,35,8,8,940,155.9,2.1,0.226,,,,,, +2012,11,2,7,30,675,54,221,7,10,940,158.5,2.8,0.226,,,,,, +2012,11,2,8,30,835,70,413,7,13,940,163,2.5,0.226,,,,,, +2012,11,2,9,30,910,78,567,5,16,940,165.4,1.2,0.226,,,,,, +2012,11,2,10,30,949,81,666,3,19,940,179.8,0.5,0.226,,,,,, +2012,11,2,11,30,959,82,701,0,22,940,347.5,0.8,0.226,,,,,, +2012,11,2,12,30,949,81,667,-2,23,940,353.5,1,0.226,,,,,, +2012,11,2,13,30,918,75,570,-4,24,940,352.8,1,0.226,,,,,, +2012,11,2,14,30,849,66,417,-4,23,940,350,0.9,0.226,,,,,, +2012,11,2,15,30,704,51,226,-5,21,940,351.8,0.6,0.226,,,,,, +2012,11,2,16,30,310,20,38,-4,18,940,18.1,0.4,0.226,,,,,, +2012,11,2,17,30,0,0,0,-4,16,940,66.9,0.5,0.226,,,,,, +2012,11,2,18,30,0,0,0,-4,16,940,101.4,0.7,0.226,,,,,, +2012,11,2,19,30,0,0,0,-4,16,940,124.8,1,0.226,,,,,, +2012,11,2,20,30,0,0,0,-4,15,940,140.8,1.2,0.226,,,,,, +2012,11,2,21,30,0,0,0,-4,15,940,153.1,1.3,0.226,,,,,, +2012,11,2,22,30,0,0,0,-4,14,940,163.1,1.4,0.226,,,,,, +2012,11,2,23,30,0,0,0,-4,13,940,173,1.4,0.226,,,,,, +2012,11,3,0,30,0,0,0,-4,12,940,180.4,1.5,0.226,,,,,, +2012,11,3,1,30,0,0,0,-4,11,940,182.1,1.4,0.226,,,,,, +2012,11,3,2,30,0,0,0,-4,11,940,181.5,1.4,0.226,,,,,, +2012,11,3,3,30,0,0,0,-4,11,940,183.4,1.4,0.226,,,,,, +2012,11,3,4,30,0,0,0,-4,11,940,187.8,1.4,0.226,,,,,, +2012,11,3,5,30,0,0,0,-4,11,940,190,1.3,0.226,,,,,, +2012,11,3,6,30,269,18,32,-4,12,940,190.7,1.5,0.226,,,,,, +2012,11,3,7,30,672,52,216,-4,14,950,189.1,2.2,0.226,,,,,, +2012,11,3,8,30,822,70,404,-4,18,950,185.6,2.5,0.226,,,,,, +2012,11,3,9,30,894,81,557,-5,21,950,184.4,1.7,0.226,,,,,, +2012,11,3,10,30,925,88,655,-7,23,950,189.7,1,0.226,,,,,, +2012,11,3,11,30,934,92,689,-7,24,950,199.5,0.9,0.226,,,,,, +2012,11,3,12,30,920,91,656,-8,25,940,195.9,1.2,0.226,,,,,, +2012,11,3,13,30,905,79,563,-8,25,940,191.3,1.3,0.226,,,,,, +2012,11,3,14,30,834,69,411,-8,24,940,188.9,1.3,0.226,,,,,, +2012,11,3,15,30,454,70,182,-9,22,940,186.9,0.9,0.226,,,,,, +2012,11,3,16,30,141,20,28,-7,18,950,164.8,0.6,0.226,,,,,, +2012,11,3,17,30,0,0,0,-8,16,950,130.5,0.9,0.226,,,,,, +2012,11,3,18,30,0,0,0,-8,16,950,126,1.2,0.226,,,,,, +2012,11,3,19,30,0,0,0,-8,15,950,133.6,1.5,0.226,,,,,, +2012,11,3,20,30,0,0,0,-8,15,950,143.5,1.6,0.226,,,,,, +2012,11,3,21,30,0,0,0,-9,14,950,154.2,1.7,0.226,,,,,, +2012,11,3,22,30,0,0,0,-9,13,950,163.7,1.7,0.226,,,,,, +2012,11,3,23,30,0,0,0,-9,13,950,170.9,1.8,0.226,,,,,, +2012,11,4,0,30,0,0,0,-9,12,950,176.4,1.7,0.226,,,,,, +2012,11,4,1,30,0,0,0,-9,12,950,181.2,1.7,0.226,,,,,, +2012,11,4,2,30,0,0,0,-9,11,950,184.1,1.7,0.226,,,,,, +2012,11,4,3,30,0,0,0,-9,11,950,184.4,1.7,0.226,,,,,, +2012,11,4,4,30,0,0,0,-8,10,950,183.8,1.8,0.226,,,,,, +2012,11,4,5,30,0,0,0,-8,10,950,184.7,2,0.226,,,,,, +2012,11,4,6,30,0,30,30,-8,11,950,185.7,2.8,0.226,,,,,, +2012,11,4,7,30,259,86,148,-7,15,950,184.1,3.6,0.226,,,,,, +2012,11,4,8,30,830,68,402,-7,18,950,181.3,3.5,0.226,,,,,, +2012,11,4,9,30,902,77,554,-7,22,950,185.6,3,0.226,,,,,, +2012,11,4,10,30,939,81,652,-6,25,950,191.3,2.3,0.226,,,,,, +2012,11,4,11,30,947,83,686,-6,26,950,208.4,2,0.226,,,,,, +2012,11,4,12,30,933,83,652,-5,27,950,213.1,2.2,0.226,,,,,, +2012,11,4,13,30,903,76,556,-6,27,950,210.2,2.3,0.226,,,,,, +2012,11,4,14,30,832,67,404,-6,26,950,206.4,2.2,0.226,,,,,, +2012,11,4,15,30,680,51,216,-6,24,950,199.8,1.5,0.226,,,,,, +2012,11,4,16,30,272,19,33,-5,20,950,182.7,1.3,0.226,,,,,, +2012,11,4,17,30,0,0,0,-5,18,950,170.2,1.6,0.226,,,,,, +2012,11,4,18,30,0,0,0,-6,18,950,167.1,1.8,0.226,,,,,, +2012,11,4,19,30,0,0,0,-6,18,950,166.1,2,0.226,,,,,, +2012,11,4,20,30,0,0,0,-6,17,950,165.4,2,0.226,,,,,, +2012,11,4,21,30,0,0,0,-6,16,950,165.6,2.1,0.226,,,,,, +2012,11,4,22,30,0,0,0,-6,16,950,166.9,2.1,0.226,,,,,, +2012,11,4,23,30,0,0,0,-6,15,950,170.2,2.2,0.226,,,,,, +2012,11,5,0,30,0,0,0,-5,14,950,176,2.2,0.226,,,,,, +2012,11,5,1,30,0,0,0,-5,13,950,180.8,2.2,0.226,,,,,, +2012,11,5,2,30,0,0,0,-5,13,950,183.4,2.1,0.226,,,,,, +2012,11,5,3,30,0,0,0,-4,12,950,184,2.1,0.226,,,,,, +2012,11,5,4,30,0,0,0,-4,12,950,183.6,2.2,0.226,,,,,, +2012,11,5,5,30,0,0,0,-4,11,950,182.3,2.2,0.226,,,,,, +2012,11,5,6,30,0,28,28,-3,13,950,180.3,3,0.226,,,,,, +2012,11,5,7,30,267,84,147,-3,17,950,179.9,3.9,0.226,,,,,, +2012,11,5,8,30,831,63,395,-3,20,950,181.8,3.8,0.226,,,,,, +2012,11,5,9,30,901,73,546,-2,23,950,198.7,3.3,0.226,,,,,, +2012,11,5,10,30,918,85,639,-1,25,950,211.7,2.5,0.226,,,,,, +2012,11,5,11,30,926,88,673,-1,26,950,209.9,2.2,0.226,,,,,, +2012,11,5,12,30,914,86,640,-1,27,950,196.7,2.2,0.226,,,,,, +2012,11,5,13,30,888,78,546,0,27,950,187.6,2.4,0.226,,,,,, +2012,11,5,14,30,812,69,395,0,27,950,184.1,2.2,0.226,,,,,, +2012,11,5,15,30,653,53,209,0,25,950,181,1.6,0.226,,,,,, +2012,11,5,16,30,236,18,30,0,22,950,172.4,1.2,0.226,,,,,, +2012,11,5,17,30,0,0,0,0,20,950,166.9,1.5,0.226,,,,,, +2012,11,5,18,30,0,0,0,0,20,950,166.5,1.6,0.226,,,,,, +2012,11,5,19,30,0,0,0,0,19,950,166.8,1.7,0.226,,,,,, +2012,11,5,20,30,0,0,0,0,19,950,166.8,1.7,0.226,,,,,, +2012,11,5,21,30,0,0,0,0,18,950,165.8,1.7,0.226,,,,,, +2012,11,5,22,30,0,0,0,0,17,950,164.2,1.7,0.226,,,,,, +2012,11,5,23,30,0,0,0,0,17,950,164.3,1.7,0.226,,,,,, +2012,11,6,0,30,0,0,0,0,16,950,166.5,1.7,0.226,,,,,, +2012,11,6,1,30,0,0,0,0,15,950,168.5,1.7,0.226,,,,,, +2012,11,6,2,30,0,0,0,0,14,950,174.2,1.8,0.226,,,,,, +2012,11,6,3,30,0,0,0,0,14,950,180.2,1.8,0.226,,,,,, +2012,11,6,4,30,0,0,0,0,13,950,185.5,1.7,0.226,,,,,, +2012,11,6,5,30,0,0,0,0,12,950,189.6,1.7,0.226,,,,,, +2012,11,6,6,30,248,16,26,-1,13,950,193.2,2.1,0.226,,,,,, +2012,11,6,7,30,226,85,138,-1,17,950,194.2,2.7,0.226,,,,,, +2012,11,6,8,30,829,64,392,-1,20,950,199.5,2.5,0.226,,,,,, +2012,11,6,9,30,901,73,543,-1,23,950,211.2,1.9,0.226,,,,,, +2012,11,6,10,30,926,82,638,-1,26,950,214.6,1,0.226,,,,,, +2012,11,6,11,30,935,85,672,-1,27,950,241,0.5,0.226,,,,,, +2012,11,6,12,30,924,83,639,-1,28,950,316.1,0.5,0.226,,,,,, +2012,11,6,13,30,898,75,545,-2,28,950,321.8,0.4,0.226,,,,,, +2012,11,6,14,30,827,66,396,-2,27,950,315.1,0.3,0.226,,,,,, +2012,11,6,15,30,677,50,209,-2,25,940,309.1,0.2,0.226,,,,,, +2012,11,6,16,30,258,17,29,-2,21,940,326.9,0.2,0.226,,,,,, +2012,11,6,17,30,0,0,0,-2,20,940,8.6,0.4,0.226,,,,,, +2012,11,6,18,30,0,0,0,-3,20,950,45.7,0.6,0.226,,,,,, +2012,11,6,19,30,0,0,0,-3,20,950,62.8,0.9,0.226,,,,,, +2012,11,6,20,30,0,0,0,-3,19,950,74,1,0.226,,,,,, +2012,11,6,21,30,0,0,0,-4,18,950,91.2,1.1,0.226,,,,,, +2012,11,6,22,30,0,0,0,-4,17,950,116.4,1.1,0.226,,,,,, +2012,11,6,23,30,0,0,0,-4,16,950,144.8,1.1,0.226,,,,,, +2012,11,7,0,30,0,0,0,-4,14,940,170.8,1.2,0.226,,,,,, +2012,11,7,1,30,0,0,0,-4,13,940,191.7,1.3,0.226,,,,,, +2012,11,7,2,30,0,0,0,-5,12,940,206.4,1.4,0.226,,,,,, +2012,11,7,3,30,0,0,0,-5,12,940,215.9,1.3,0.226,,,,,, +2012,11,7,4,30,0,0,0,-4,11,940,220.8,1.2,0.226,,,,,, +2012,11,7,5,30,0,0,0,-4,11,940,221.5,1.1,0.226,,,,,, +2012,11,7,6,30,0,24,24,-4,12,940,219.5,1,0.226,,,,,, +2012,11,7,7,30,285,79,145,-4,16,940,220.7,1.2,0.226,,,,,, +2012,11,7,8,30,821,68,390,-3,20,940,218.8,1.1,0.226,,,,,, +2012,11,7,9,30,894,79,542,-3,23,940,220.2,0.6,0.226,,,,,, +2012,11,7,10,30,934,84,641,-4,26,940,232.6,0.4,0.226,,,,,, +2012,11,7,11,30,943,86,675,-4,27,940,9.4,0.6,0.226,,,,,, +2012,11,7,12,30,932,85,642,-4,28,940,31,0.8,0.226,,,,,, +2012,11,7,13,30,902,78,547,-5,28,940,37.7,0.9,0.226,,,,,, +2012,11,7,14,30,827,69,396,-5,27,940,36.7,0.8,0.226,,,,,, +2012,11,7,15,30,671,52,208,-6,24,940,27.9,0.8,0.226,,,,,, +2012,11,7,16,30,243,17,27,-5,20,940,1.4,1,0.22,,,,,, +2012,11,7,17,30,0,0,0,-5,17,940,354.4,1.2,0.22,,,,,, +2012,11,7,18,30,0,0,0,-5,16,940,357.8,1.4,0.22,,,,,, +2012,11,7,19,30,0,0,0,-4,15,940,7.2,1.4,0.22,,,,,, +2012,11,7,20,30,0,0,0,-3,14,940,20,1.3,0.22,,,,,, +2012,11,7,21,30,0,0,0,-2,14,940,36.5,1.2,0.22,,,,,, +2012,11,7,22,30,0,0,0,-1,13,940,56.9,1.2,0.22,,,,,, +2012,11,7,23,30,0,0,0,-1,12,940,78.3,1.2,0.22,,,,,, +2012,11,8,0,30,0,0,0,-1,12,940,95.5,1.2,0.22,,,,,, +2012,11,8,1,30,0,0,0,-1,12,940,110.3,1.2,0.22,,,,,, +2012,11,8,2,30,0,0,0,-1,11,940,120.9,1.2,0.22,,,,,, +2012,11,8,3,30,0,0,0,0,10,940,126.1,1.2,0.22,,,,,, +2012,11,8,4,30,0,0,0,0,10,940,127.4,1.2,0.22,,,,,, +2012,11,8,5,30,0,0,0,1,10,940,127,1.2,0.22,,,,,, +2012,11,8,6,30,0,5,5,1,10,940,128.1,1.3,0.22,,,,,, +2012,11,8,7,30,0,51,51,2,12,940,128.6,1.7,0.22,,,,,, +2012,11,8,8,30,36,154,168,2,15,940,131.4,1.7,0.22,,,,,, +2012,11,8,9,30,320,216,380,2,18,940,100.7,1.9,0.22,,,,,, +2012,11,8,10,30,657,160,549,2,20,940,55.6,2.7,0.22,,,,,, +2012,11,8,11,30,789,125,614,3,21,940,46.5,3.7,0.22,,,,,, +2012,11,8,12,30,17,216,227,5,22,940,41.7,5.1,0.22,,,,,, +2012,11,8,13,30,142,238,311,6,21,940,36.3,6.5,0.22,,,,,, +2012,11,8,14,30,744,77,369,6,20,940,28.7,6.6,0.22,,,,,, +2012,11,8,15,30,578,57,190,7,19,940,18.6,5.7,0.22,,,,,, +2012,11,8,16,30,0,23,23,7,16,940,16.6,4.1,0.22,,,,,, +2012,11,8,17,30,0,0,0,7,14,940,15.3,2.8,0.22,,,,,, +2012,11,8,18,30,0,0,0,8,12,940,22,2.8,0.22,,,,,, +2012,11,8,19,30,0,0,0,8,11,940,30.3,3.1,0.22,,,,,, +2012,11,8,20,30,0,0,0,9,11,940,37.5,3,0.22,,,,,, +2012,11,8,21,30,0,0,0,9,11,940,44.8,2.8,0.22,,,,,, +2012,11,8,22,30,0,0,0,9,10,940,49.3,2.6,0.22,,,,,, +2012,11,8,23,30,0,0,0,8,10,940,49.2,2.4,0.22,,,,,, +2012,11,9,0,30,0,0,0,8,9,940,47.1,2.4,0.22,,,,,, +2012,11,9,1,30,0,0,0,7,8,940,44.7,2.4,0.22,,,,,, +2012,11,9,2,30,0,0,0,7,8,940,43.5,2.4,0.22,,,,,, +2012,11,9,3,30,0,0,0,6,7,940,44.3,2.4,0.22,,,,,, +2012,11,9,4,30,0,0,0,5,6,940,47.5,2.3,0.22,,,,,, +2012,11,9,5,30,0,0,0,5,6,940,52.8,2.3,0.22,,,,,, +2012,11,9,6,30,0,7,7,4,6,940,57.7,3.2,0.22,,,,,, +2012,11,9,7,30,0,72,72,3,7,940,67.5,4.7,0.22,,,,,, +2012,11,9,8,30,789,75,378,2,8,940,76.1,5.5,0.22,,,,,, +2012,11,9,9,30,900,77,535,0,10,940,75,5.8,0.22,,,,,, +2012,11,9,10,30,943,81,636,-2,11,940,70.7,6,0.22,,,,,, +2012,11,9,11,30,960,80,671,-4,12,940,66.4,6.2,0.22,,,,,, +2012,11,9,12,30,950,78,638,-5,13,940,64.1,6.5,0.22,,,,,, +2012,11,9,13,30,908,75,540,-5,12,940,63.9,6.6,0.22,,,,,, +2012,11,9,14,30,825,67,388,-5,11,940,64.3,6.5,0.22,,,,,, +2012,11,9,15,30,658,51,200,-4,10,940,65.8,5.9,0.22,,,,,, +2012,11,9,16,30,0,24,24,-4,8,940,68.3,4.8,0.22,,,,,, +2012,11,9,17,30,0,0,0,-3,7,940,71.7,4.3,0.22,,,,,, +2012,11,9,18,30,0,0,0,-3,6,940,76.3,4.2,0.22,,,,,, +2012,11,9,19,30,0,0,0,-2,5,940,81.9,3.9,0.22,,,,,, +2012,11,9,20,30,0,0,0,-1,4,940,84.9,3.3,0.22,,,,,, +2012,11,9,21,30,0,0,0,-1,4,940,83.1,2.8,0.22,,,,,, +2012,11,9,22,30,0,0,0,-1,3,940,82.8,2.6,0.22,,,,,, +2012,11,9,23,30,0,0,0,-1,3,940,85.1,2.3,0.22,,,,,, +2012,11,10,0,30,0,0,0,0,2,940,88.3,2.1,0.22,,,,,, +2012,11,10,1,30,0,0,0,0,2,940,90.8,1.9,0.22,,,,,, +2012,11,10,2,30,0,0,0,0,1,940,92.9,1.7,0.22,,,,,, +2012,11,10,3,30,0,0,0,0,1,940,95,1.5,0.22,,,,,, +2012,11,10,4,30,0,0,0,0,1,940,97,1.2,0.22,,,,,, +2012,11,10,5,30,0,0,0,-1,0,940,98.5,1.1,0.22,,,,,, +2012,11,10,6,30,0,19,19,-1,1,940,98.3,1.6,0.22,,,,,, +2012,11,10,7,30,679,47,195,-1,3,940,100.8,3,0.22,,,,,, +2012,11,10,8,30,840,65,384,-2,6,940,108.3,4.1,0.22,,,,,, +2012,11,10,9,30,916,75,538,-3,8,940,108.9,4.4,0.22,,,,,, +2012,11,10,10,30,936,87,634,-5,9,940,105.2,4.6,0.22,,,,,, +2012,11,10,11,30,949,88,669,-7,10,940,102.1,4.9,0.22,,,,,, +2012,11,10,12,30,941,85,637,-8,11,940,103.1,5.3,0.22,,,,,, +2012,11,10,13,30,905,80,541,-8,10,940,109.1,5.6,0.22,,,,,, +2012,11,10,14,30,836,68,390,-9,10,940,116.9,5.6,0.22,,,,,, +2012,11,10,15,30,678,50,202,-9,9,940,123.9,4.6,0.22,,,,,, +2012,11,10,16,30,214,15,23,-8,6,940,129.2,2.8,0.22,,,,,, +2012,11,10,17,30,0,0,0,-6,4,940,132.7,1.9,0.22,,,,,, +2012,11,10,18,30,0,0,0,-5,3,940,132.6,2,0.22,,,,,, +2012,11,10,19,30,0,0,0,-3,2,940,132.4,2.5,0.22,,,,,, +2012,11,10,20,30,0,0,0,-3,2,950,132.6,3,0.22,,,,,, +2012,11,10,21,30,0,0,0,-2,1,950,132.1,3.2,0.22,,,,,, +2012,11,10,22,30,0,0,0,-2,0,950,131.3,3.2,0.22,,,,,, +2012,11,10,23,30,0,0,0,-2,0,950,131.4,2.8,0.22,,,,,, +2012,11,11,0,30,0,0,0,-2,0,950,132.4,2.4,0.22,,,,,, +2012,11,11,1,30,0,0,0,-3,0,950,133.7,2.1,0.22,,,,,, +2012,11,11,2,30,0,0,0,-4,0,950,137.1,2.1,0.22,,,,,, +2012,11,11,3,30,0,0,0,-5,0,950,143,2.1,0.22,,,,,, +2012,11,11,4,30,0,0,0,-7,0,950,148.8,2,0.22,,,,,, +2012,11,11,5,30,0,0,0,-8,0,950,152.9,2,0.22,,,,,, +2012,11,11,6,30,0,12,18,-9,1,950,158.5,2.7,0.22,,,,,, +2012,11,11,7,30,688,46,194,-9,3,950,167.3,3.5,0.22,,,,,, +2012,11,11,8,30,850,63,383,-11,6,950,186.9,3.9,0.22,,,,,, +2012,11,11,9,30,924,73,536,-12,8,950,200.6,4,0.22,,,,,, +2012,11,11,10,30,960,78,636,-14,10,950,195.4,3.8,0.22,,,,,, +2012,11,11,11,30,966,82,670,-15,11,950,189.6,4,0.22,,,,,, +2012,11,11,12,30,956,80,637,-16,11,950,187.6,4.2,0.22,,,,,, +2012,11,11,13,30,920,75,540,-17,11,950,188,4.5,0.22,,,,,, +2012,11,11,14,30,849,65,389,-17,11,950,192.2,4.6,0.22,,,,,, +2012,11,11,15,30,695,48,201,-18,9,950,196.5,3.5,0.22,,,,,, +2012,11,11,16,30,237,14,22,-17,6,950,197.9,2.1,0.22,,,,,, +2012,11,11,17,30,0,0,0,-16,4,950,194.7,1.9,0.22,,,,,, +2012,11,11,18,30,0,0,0,-16,4,950,190.6,2.2,0.22,,,,,, +2012,11,11,19,30,0,0,0,-16,4,950,185.9,2.4,0.22,,,,,, +2012,11,11,20,30,0,0,0,-16,3,950,178.6,2.7,0.22,,,,,, +2012,11,11,21,30,0,0,0,-16,2,950,176.9,3.2,0.22,,,,,, +2012,11,11,22,30,0,0,0,-16,2,950,178.7,3.4,0.22,,,,,, +2012,11,11,23,30,0,0,0,-16,1,950,177.6,3.3,0.22,,,,,, +2012,11,12,0,30,0,0,0,-16,1,950,179.6,3.4,0.22,,,,,, +2012,11,12,1,30,0,0,0,-16,0,950,181.6,3.4,0.22,,,,,, +2012,11,12,2,30,0,0,0,-16,0,950,183,3.3,0.22,,,,,, +2012,11,12,3,30,0,0,0,-16,0,950,184.2,3.1,0.22,,,,,, +2012,11,12,4,30,0,0,0,-16,0,950,184.2,2.9,0.22,,,,,, +2012,11,12,5,30,0,0,0,-16,0,950,183.2,2.9,0.22,,,,,, +2012,11,12,6,30,0,11,11,-16,1,950,181.5,3.8,0.22,,,,,, +2012,11,12,7,30,280,72,132,-15,4,950,180.3,4.6,0.22,,,,,, +2012,11,12,8,30,854,61,379,-15,8,950,183.1,4.8,0.22,,,,,, +2012,11,12,9,30,929,70,532,-16,11,950,193.2,4.9,0.22,,,,,, +2012,11,12,10,30,958,77,630,-17,12,950,195.8,4.8,0.22,,,,,, +2012,11,12,11,30,968,79,665,-18,14,950,195.3,4.5,0.22,,,,,, +2012,11,12,12,30,955,79,632,-18,15,950,192.1,4.1,0.22,,,,,, +2012,11,12,13,30,903,79,532,-18,15,950,188,3.8,0.22,,,,,, +2012,11,12,14,30,824,69,381,-18,15,950,184.4,3.3,0.22,,,,,, +2012,11,12,15,30,657,51,194,-18,13,950,180.3,2.2,0.22,,,,,, +2012,11,12,16,30,0,19,19,-16,10,950,169.9,1.6,0.22,,,,,, +2012,11,12,17,30,0,0,0,-16,8,950,166.7,2,0.22,,,,,, +2012,11,12,18,30,0,0,0,-16,7,950,167.5,2.4,0.22,,,,,, +2012,11,12,19,30,0,0,0,-17,7,950,167,2.6,0.22,,,,,, +2012,11,12,20,30,0,0,0,-17,6,950,164.9,2.7,0.22,,,,,, +2012,11,12,21,30,0,0,0,-17,6,950,163.8,2.8,0.22,,,,,, +2012,11,12,22,30,0,0,0,-17,5,950,167.2,3,0.22,,,,,, +2012,11,12,23,30,0,0,0,-17,5,950,172,3.2,0.22,,,,,, +2012,11,13,0,30,0,0,0,-17,4,950,174.9,3.3,0.22,,,,,, +2012,11,13,1,30,0,0,0,-17,4,950,176.9,3.2,0.22,,,,,, +2012,11,13,2,30,0,0,0,-17,3,950,178.5,3,0.22,,,,,, +2012,11,13,3,30,0,0,0,-17,3,950,180.7,2.8,0.22,,,,,, +2012,11,13,4,30,0,0,0,-17,3,950,184.4,2.4,0.22,,,,,, +2012,11,13,5,30,0,0,0,-17,3,950,185.1,2.1,0.22,,,,,, +2012,11,13,6,30,0,9,9,-16,4,950,178.6,2.9,0.22,,,,,, +2012,11,13,7,30,232,74,122,-15,8,950,174,4,0.22,,,,,, +2012,11,13,8,30,843,64,376,-15,12,950,175.8,4.2,0.22,,,,,, +2012,11,13,9,30,924,73,530,-15,15,950,190.5,3.9,0.22,,,,,, +2012,11,13,10,30,965,77,630,-13,18,950,198.5,3.4,0.22,,,,,, +2012,11,13,11,30,977,79,666,-11,19,950,201.3,2.9,0.22,,,,,, +2012,11,13,12,30,970,76,635,-11,20,950,203.8,2.6,0.22,,,,,, +2012,11,13,13,30,934,72,538,-11,20,950,210.5,2.4,0.22,,,,,, +2012,11,13,14,30,861,63,387,-11,19,950,214.4,1.8,0.22,,,,,, +2012,11,13,15,30,701,47,198,-10,17,950,212.4,1.1,0.22,,,,,, +2012,11,13,16,30,0,19,19,-9,14,950,206.5,1,0.22,,,,,, +2012,11,13,17,30,0,0,0,-10,12,950,189.4,1.2,0.22,,,,,, +2012,11,13,18,30,0,0,0,-10,11,950,180.6,1.5,0.22,,,,,, +2012,11,13,19,30,0,0,0,-11,10,950,179.2,1.8,0.22,,,,,, +2012,11,13,20,30,0,0,0,-11,10,950,180.4,1.9,0.22,,,,,, +2012,11,13,21,30,0,0,0,-11,10,950,181.4,1.9,0.22,,,,,, +2012,11,13,22,30,0,0,0,-11,9,950,182.7,1.8,0.22,,,,,, +2012,11,13,23,30,0,0,0,-11,9,950,182.1,1.8,0.22,,,,,, +2012,11,14,0,30,0,0,0,-11,8,950,188,1.8,0.22,,,,,, +2012,11,14,1,30,0,0,0,-11,7,950,198.4,1.7,0.22,,,,,, +2012,11,14,2,30,0,0,0,-11,7,950,204.7,1.6,0.22,,,,,, +2012,11,14,3,30,0,0,0,-11,7,950,205.7,1.6,0.22,,,,,, +2012,11,14,4,30,0,0,0,-11,6,950,203.8,1.6,0.22,,,,,, +2012,11,14,5,30,0,0,0,-11,6,950,202.4,1.7,0.22,,,,,, +2012,11,14,6,30,0,0,0,-11,7,950,202.6,1.9,0.22,,,,,, +2012,11,14,7,30,0,53,53,-10,9,950,199.8,2.7,0.22,,,,,, +2012,11,14,8,30,376,131,269,-10,12,950,193.7,3,0.22,,,,,, +2012,11,14,9,30,382,192,379,-10,15,950,193.9,2.6,0.22,,,,,, +2012,11,14,10,30,857,88,577,-11,18,950,213.7,1.9,0.22,,,,,, +2012,11,14,11,30,519,208,518,-12,19,950,229.7,1.2,0.22,,,,,, +2012,11,14,12,30,458,219,481,-13,20,950,200.4,1,0.22,,,,,, +2012,11,14,13,30,371,197,381,-13,19,950,171.8,1,0.22,,,,,, +2012,11,14,14,30,550,109,314,-13,18,950,148.6,0.8,0.22,,,,,, +2012,11,14,15,30,323,74,143,-11,16,950,131.2,1,0.22,,,,,, +2012,11,14,16,30,0,13,13,-11,14,950,132.3,1.2,0.22,,,,,, +2012,11,14,17,30,0,0,0,-11,13,950,133.8,1.4,0.22,,,,,, +2012,11,14,18,30,0,0,0,-11,13,950,141.8,1.4,0.22,,,,,, +2012,11,14,19,30,0,0,0,-11,12,950,157.5,1.5,0.22,,,,,, +2012,11,14,20,30,0,0,0,-11,12,950,169.4,1.6,0.22,,,,,, +2012,11,14,21,30,0,0,0,-12,11,950,178.5,1.7,0.22,,,,,, +2012,11,14,22,30,0,0,0,-12,11,950,182,1.8,0.22,,,,,, +2012,11,14,23,30,0,0,0,-12,11,950,180.8,1.7,0.22,,,,,, +2012,11,15,0,30,0,0,0,-12,10,950,181.1,1.7,0.22,,,,,, +2012,11,15,1,30,0,0,0,-12,10,950,177.4,1.8,0.22,,,,,, +2012,11,15,2,30,0,0,0,-12,9,940,176.2,1.8,0.22,,,,,, +2012,11,15,3,30,0,0,0,-12,9,950,174.6,1.8,0.22,,,,,, +2012,11,15,4,30,0,0,0,-12,8,950,175.1,1.8,0.22,,,,,, +2012,11,15,5,30,0,0,0,-12,8,950,175.3,1.7,0.22,,,,,, +2012,11,15,6,30,0,0,0,-12,8,950,180.9,1.6,0.22,,,,,, +2012,11,15,7,30,0,52,52,-11,10,950,189,2.2,0.22,,,,,, +2012,11,15,8,30,0,99,99,-11,12,950,193.4,2.5,0.22,,,,,, +2012,11,15,9,30,179,219,306,-11,14,950,196.2,1.8,0.22,,,,,, +2012,11,15,10,30,75,254,296,-12,17,950,211.9,1,0.22,,,,,, +2012,11,15,11,30,42,250,276,-13,18,950,232.1,0.3,0.22,,,,,, +2012,11,15,12,30,187,266,373,-13,19,950,60,0.2,0.22,,,,,, +2012,11,15,13,30,554,151,424,-14,19,950,106.4,0.3,0.22,,,,,, +2012,11,15,14,30,180,156,222,-14,18,950,119.5,0.3,0.22,,,,,, +2012,11,15,15,30,111,81,104,-10,17,940,96.1,0.2,0.22,,,,,, +2012,11,15,16,30,0,9,9,-11,15,940,73.2,0.1,0.221,,,,,, +2012,11,15,17,30,0,0,0,-12,14,940,0.7,0.1,0.221,,,,,, +2012,11,15,18,30,0,0,0,-12,13,950,334,0.1,0.221,,,,,, +2012,11,15,19,30,0,0,0,-12,12,950,310.2,0.1,0.221,,,,,, +2012,11,15,20,30,0,0,0,-11,12,950,273.6,0.1,0.221,,,,,, +2012,11,15,21,30,0,0,0,-10,11,950,195.4,0.2,0.221,,,,,, +2012,11,15,22,30,0,0,0,-8,9,940,171.9,0.4,0.221,,,,,, +2012,11,15,23,30,0,0,0,-5,8,940,166.3,0.7,0.221,,,,,, +2012,11,16,0,30,0,0,0,-2,7,940,176.5,0.8,0.221,,,,,, +2012,11,16,1,30,0,0,0,0,7,940,190,0.8,0.221,,,,,, +2012,11,16,2,30,0,0,0,1,7,940,203.3,0.7,0.221,,,,,, +2012,11,16,3,30,0,0,0,3,8,940,208.4,0.6,0.221,,,,,, +2012,11,16,4,30,0,0,0,4,7,940,185.6,0.5,0.221,,,,,, +2012,11,16,5,30,0,0,0,4,7,940,143.5,0.7,0.221,,,,,, +2012,11,16,6,30,0,0,0,4,8,950,137,0.8,0.221,,,,,, +2012,11,16,7,30,0,5,5,4,10,950,147.7,1,0.221,,,,,, +2012,11,16,8,30,174,150,212,4,12,950,160.1,1,0.221,,,,,, +2012,11,16,9,30,841,75,482,4,15,950,108,1.2,0.221,,,,,, +2012,11,16,10,30,898,75,580,5,17,950,45.5,1.9,0.221,,,,,, +2012,11,16,11,30,913,76,615,6,18,940,30.9,2.4,0.221,,,,,, +2012,11,16,12,30,906,74,587,6,19,940,25.7,2.8,0.221,,,,,, +2012,11,16,13,30,860,74,495,6,20,940,23.2,3,0.221,,,,,, +2012,11,16,14,30,785,63,352,6,19,940,18.8,2.9,0.221,,,,,, +2012,11,16,15,30,346,67,139,5,17,940,13.9,2,0.221,,,,,, +2012,11,16,16,30,0,11,11,5,14,940,5.5,1.2,0.221,,,,,, +2012,11,16,17,30,0,0,0,5,12,940,356.6,1.2,0.221,,,,,, +2012,11,16,18,30,0,0,0,6,11,940,352.5,1.2,0.221,,,,,, +2012,11,16,19,30,0,0,0,6,10,940,356.4,1.2,0.221,,,,,, +2012,11,16,20,30,0,0,0,6,10,940,5.3,1.2,0.221,,,,,, +2012,11,16,21,30,0,0,0,7,9,940,16.1,1.2,0.221,,,,,, +2012,11,16,22,30,0,0,0,7,9,940,27.8,1.3,0.221,,,,,, +2012,11,16,23,30,0,0,0,8,8,940,40.9,1.2,0.221,,,,,, +2012,11,17,0,30,0,0,0,8,8,940,54.1,1.2,0.221,,,,,, +2012,11,17,1,30,0,0,0,7,7,940,66.7,1.2,0.221,,,,,, +2012,11,17,2,30,0,0,0,7,7,940,79.2,1.1,0.221,,,,,, +2012,11,17,3,30,0,0,0,8,8,940,93.8,1.1,0.221,,,,,, +2012,11,17,4,30,0,0,0,8,8,940,108.4,1.1,0.221,,,,,, +2012,11,17,5,30,0,0,0,8,8,940,120.5,1.1,0.221,,,,,, +2012,11,17,6,30,0,0,0,8,8,940,126.6,1.6,0.221,,,,,, +2012,11,17,7,30,546,51,157,8,10,950,128.4,2.1,0.221,,,,,, +2012,11,17,8,30,736,72,333,9,12,950,120,2.4,0.221,,,,,, +2012,11,17,9,30,845,77,482,8,15,950,76.8,2.9,0.221,,,,,, +2012,11,17,10,30,885,82,576,7,17,940,57.2,3.4,0.221,,,,,, +2012,11,17,11,30,896,84,610,6,18,940,54.3,3.6,0.221,,,,,, +2012,11,17,12,30,460,212,471,6,18,940,54.7,3.6,0.221,,,,,, +2012,11,17,13,30,226,216,326,6,18,940,55.7,3.4,0.221,,,,,, +2012,11,17,14,30,35,143,156,6,17,940,53.7,3,0.221,,,,,, +2012,11,17,15,30,0,58,58,6,16,940,47.4,2.1,0.221,,,,,, +2012,11,17,16,30,0,4,4,7,13,940,34.7,1.3,0.221,,,,,, +2012,11,17,17,30,0,0,0,7,11,940,23.9,1.4,0.221,,,,,, +2012,11,17,18,30,0,0,0,8,10,940,22.6,1.5,0.221,,,,,, +2012,11,17,19,30,0,0,0,9,10,940,28.8,1.6,0.221,,,,,, +2012,11,17,20,30,0,0,0,9,9,940,41.7,1.7,0.221,,,,,, +2012,11,17,21,30,0,0,0,9,9,940,57.1,1.6,0.221,,,,,, +2012,11,17,22,30,0,0,0,9,9,940,73.2,1.5,0.221,,,,,, +2012,11,17,23,30,0,0,0,9,9,940,88.3,1.4,0.221,,,,,, +2012,11,18,0,30,0,0,0,8,8,940,100.3,1.4,0.221,,,,,, +2012,11,18,1,30,0,0,0,8,8,940,110.3,1.3,0.221,,,,,, +2012,11,18,2,30,0,0,0,8,8,940,118.8,1.3,0.221,,,,,, +2012,11,18,3,30,0,0,0,8,8,940,126.7,1.3,0.221,,,,,, +2012,11,18,4,30,0,0,0,8,8,940,133.4,1.2,0.221,,,,,, +2012,11,18,5,30,0,0,0,8,8,950,138.9,1.2,0.221,,,,,, +2012,11,18,6,30,0,0,0,8,8,950,143.2,1.7,0.221,,,,,, +2012,11,18,7,30,518,56,154,9,10,950,142.3,2.6,0.221,,,,,, +2012,11,18,8,30,737,76,335,9,12,950,139.1,2.7,0.221,,,,,, +2012,11,18,9,30,848,83,486,7,14,950,124.8,2,0.221,,,,,, +2012,11,18,10,30,913,80,587,6,16,950,77,1.9,0.221,,,,,, +2012,11,18,11,30,929,80,622,4,17,950,51.5,2.2,0.221,,,,,, +2012,11,18,12,30,920,77,592,2,18,940,42.2,2.3,0.221,,,,,, +2012,11,18,13,30,884,71,499,1,18,940,37.8,2.2,0.221,,,,,, +2012,11,18,14,30,475,114,286,1,17,940,33.2,2.1,0.221,,,,,, +2012,11,18,15,30,637,45,174,1,15,940,28,1.6,0.221,,,,,, +2012,11,18,16,30,147,10,12,2,13,950,20.9,1.1,0.221,,,,,, +2012,11,18,17,30,0,0,0,2,11,950,18,1.2,0.221,,,,,, +2012,11,18,18,30,0,0,0,3,10,950,22.4,1.3,0.221,,,,,, +2012,11,18,19,30,0,0,0,4,9,950,32.9,1.3,0.221,,,,,, +2012,11,18,20,30,0,0,0,5,8,950,45.9,1.2,0.221,,,,,, +2012,11,18,21,30,0,0,0,5,8,950,59.5,1.2,0.221,,,,,, +2012,11,18,22,30,0,0,0,5,7,950,72.7,1.1,0.221,,,,,, +2012,11,18,23,30,0,0,0,6,7,950,85.4,1.1,0.221,,,,,, +2012,11,19,0,30,0,0,0,6,6,950,97.2,1.1,0.221,,,,,, +2012,11,19,1,30,0,0,0,6,6,950,107.5,1.2,0.221,,,,,, +2012,11,19,2,30,0,0,0,6,6,950,120.7,1.2,0.221,,,,,, +2012,11,19,3,30,0,0,0,4,5,950,136.2,1.2,0.221,,,,,, +2012,11,19,4,30,0,0,0,4,5,950,149.2,1.2,0.221,,,,,, +2012,11,19,5,30,0,0,0,4,5,950,157.9,1.1,0.221,,,,,, +2012,11,19,6,30,0,0,0,6,6,950,163.4,1.2,0.221,,,,,, +2012,11,19,7,30,596,44,156,7,8,950,170.9,1.7,0.221,,,,,, +2012,11,19,8,30,784,62,335,7,11,950,181.2,1.6,0.221,,,,,, +2012,11,19,9,30,877,70,484,6,14,950,226.9,1.2,0.221,,,,,, +2012,11,19,10,30,914,76,580,4,17,950,300.7,1.5,0.221,,,,,, +2012,11,19,11,30,928,77,616,2,18,950,323.1,1.7,0.221,,,,,, +2012,11,19,12,30,919,75,586,1,19,950,335.4,1.6,0.221,,,,,, +2012,11,19,13,30,881,71,495,0,20,950,340.6,1.3,0.221,,,,,, +2012,11,19,14,30,804,61,351,0,19,950,339.9,1,0.221,,,,,, +2012,11,19,15,30,636,44,173,0,18,950,334.3,0.7,0.221,,,,,, +2012,11,19,16,30,0,0,0,0,15,950,328.8,0.4,0.221,,,,,, +2012,11,19,17,30,0,0,0,0,13,950,319.5,0.2,0.221,,,,,, +2012,11,19,18,30,0,0,0,0,13,950,246.8,0.3,0.221,,,,,, +2012,11,19,19,30,0,0,0,0,12,950,195.8,0.5,0.221,,,,,, +2012,11,19,20,30,0,0,0,1,12,950,186.3,0.8,0.221,,,,,, +2012,11,19,21,30,0,0,0,1,12,950,189.7,1.1,0.221,,,,,, +2012,11,19,22,30,0,0,0,1,11,950,195.7,1.3,0.221,,,,,, +2012,11,19,23,30,0,0,0,1,11,950,201.5,1.4,0.221,,,,,, +2012,11,20,0,30,0,0,0,1,11,950,206.8,1.4,0.221,,,,,, +2012,11,20,1,30,0,0,0,1,10,950,208.9,1.4,0.221,,,,,, +2012,11,20,2,30,0,0,0,1,10,950,207,1.4,0.221,,,,,, +2012,11,20,3,30,0,0,0,1,9,950,204.3,1.3,0.221,,,,,, +2012,11,20,4,30,0,0,0,1,9,950,203.8,1.3,0.221,,,,,, +2012,11,20,5,30,0,0,0,1,9,950,204.9,1.3,0.221,,,,,, +2012,11,20,6,30,0,0,0,1,9,950,207,1.3,0.221,,,,,, +2012,11,20,7,30,148,68,95,1,10,950,208.4,1.9,0.221,,,,,, +2012,11,20,8,30,799,61,336,1,13,950,206.1,2.2,0.221,,,,,, +2012,11,20,9,30,886,71,486,1,16,950,209.6,1.6,0.221,,,,,, +2012,11,20,10,30,929,75,584,0,19,950,248.2,1.2,0.221,,,,,, +2012,11,20,11,30,943,76,620,-1,20,950,289.3,1.1,0.221,,,,,, +2012,11,20,12,30,936,74,592,-2,21,950,308.6,0.9,0.221,,,,,, +2012,11,20,13,30,902,68,500,-3,22,940,326.2,0.7,0.221,,,,,, +2012,11,20,14,30,827,59,355,-3,21,940,352.7,0.5,0.221,,,,,, +2012,11,20,15,30,661,43,175,-3,19,940,28.6,0.4,0.221,,,,,, +2012,11,20,16,30,0,0,0,-3,17,940,96.7,0.6,0.221,,,,,, +2012,11,20,17,30,0,0,0,-3,15,940,124.8,0.9,0.221,,,,,, +2012,11,20,18,30,0,0,0,-3,13,940,142,1,0.221,,,,,, +2012,11,20,19,30,0,0,0,-3,12,940,152.5,1.1,0.221,,,,,, +2012,11,20,20,30,0,0,0,-3,10,940,161.2,1.1,0.221,,,,,, +2012,11,20,21,30,0,0,0,-2,9,940,169.5,1.2,0.221,,,,,, +2012,11,20,22,30,0,0,0,-2,8,940,174.5,1.2,0.221,,,,,, +2012,11,20,23,30,0,0,0,-1,8,940,175.2,1.3,0.221,,,,,, +2012,11,21,0,30,0,0,0,0,7,940,174,1.3,0.221,,,,,, +2012,11,21,1,30,0,0,0,0,7,940,170.6,1.4,0.221,,,,,, +2012,11,21,2,30,0,0,0,0,6,940,168.5,1.4,0.221,,,,,, +2012,11,21,3,30,0,0,0,0,6,940,170.1,1.5,0.221,,,,,, +2012,11,21,4,30,0,0,0,1,6,940,172.1,1.5,0.221,,,,,, +2012,11,21,5,30,0,0,0,1,6,940,173.7,1.4,0.221,,,,,, +2012,11,21,6,30,0,0,0,2,7,940,173.9,1.2,0.221,,,,,, +2012,11,21,7,30,598,42,150,3,9,950,172.9,1.8,0.221,,,,,, +2012,11,21,8,30,789,61,330,4,12,950,171.7,2.1,0.221,,,,,, +2012,11,21,9,30,878,70,479,4,15,940,157.4,1.5,0.221,,,,,, +2012,11,21,10,30,919,76,577,3,18,940,112.2,1.2,0.221,,,,,, +2012,11,21,11,30,936,77,614,1,21,940,83.4,1.3,0.221,,,,,, +2012,11,21,12,30,927,75,586,0,22,940,79.5,1.2,0.221,,,,,, +2012,11,21,13,30,884,72,493,0,22,940,83.4,0.9,0.221,,,,,, +2012,11,21,14,30,803,62,348,0,21,940,86.9,0.3,0.221,,,,,, +2012,11,21,15,30,622,45,168,0,18,940,30.1,0.3,0.221,,,,,, +2012,11,21,16,30,0,0,0,2,14,940,309.6,0.7,0.221,,,,,, +2012,11,21,17,30,0,0,0,3,12,940,311,0.9,0.221,,,,,, +2012,11,21,18,30,0,0,0,4,11,940,312.6,0.7,0.221,,,,,, +2012,11,21,19,30,0,0,0,5,10,940,320.6,0.3,0.221,,,,,, +2012,11,21,20,30,0,0,0,5,10,940,355.4,0.2,0.221,,,,,, +2012,11,21,21,30,0,0,0,6,9,940,143.7,0.5,0.221,,,,,, +2012,11,21,22,30,0,0,0,6,9,940,170.5,0.9,0.221,,,,,, +2012,11,21,23,30,0,0,0,7,8,940,184.8,1.2,0.221,,,,,, +2012,11,22,0,30,0,0,0,7,8,940,191.2,1.4,0.221,,,,,, +2012,11,22,1,30,0,0,0,7,7,950,190.8,1.5,0.221,,,,,, +2012,11,22,2,30,0,0,0,7,7,950,187.9,1.5,0.221,,,,,, +2012,11,22,3,30,0,0,0,7,7,950,187.1,1.5,0.221,,,,,, +2012,11,22,4,30,0,0,0,7,7,950,187,1.6,0.221,,,,,, +2012,11,22,5,30,0,0,0,6,6,950,187.3,1.7,0.221,,,,,, +2012,11,22,6,30,0,0,0,6,6,950,187,2.2,0.221,,,,,, +2012,11,22,7,30,509,49,139,7,8,950,184.5,3,0.221,,,,,, +2012,11,22,8,30,731,70,317,6,12,950,180.6,3.4,0.221,,,,,, +2012,11,22,9,30,835,79,465,6,16,950,189.3,3.3,0.221,,,,,, +2012,11,22,10,30,892,81,565,3,20,950,198.5,2.9,0.221,,,,,, +2012,11,22,11,30,905,84,601,2,21,950,194.6,2.4,0.221,,,,,, +2012,11,22,12,30,893,83,572,1,22,950,185.7,2.2,0.221,,,,,, +2012,11,22,13,30,858,76,483,0,22,950,179.2,2.2,0.221,,,,,, +2012,11,22,14,30,771,67,340,0,21,950,176,1.9,0.221,,,,,, +2012,11,22,15,30,581,49,163,0,19,950,173.4,1.3,0.221,,,,,, +2012,11,22,16,30,0,0,0,0,16,950,163.3,1.2,0.221,,,,,, +2012,11,22,17,30,0,0,0,0,14,950,159.8,1.4,0.221,,,,,, +2012,11,22,18,30,0,0,0,0,13,950,162.7,1.6,0.221,,,,,, +2012,11,22,19,30,0,0,0,0,12,950,168.2,1.8,0.221,,,,,, +2012,11,22,20,30,0,0,0,0,12,950,171.4,1.9,0.221,,,,,, +2012,11,22,21,30,0,0,0,0,11,950,171.3,2.1,0.221,,,,,, +2012,11,22,22,30,0,0,0,0,10,950,171,2.3,0.221,,,,,, +2012,11,22,23,30,0,0,0,0,9,950,171.8,2.5,0.221,,,,,, +2012,11,23,0,30,0,0,0,0,8,950,174.2,2.6,0.221,,,,,, +2012,11,23,1,30,0,0,0,0,7,950,176.2,2.7,0.221,,,,,, +2012,11,23,2,30,0,0,0,-1,7,950,178.4,2.8,0.221,,,,,, +2012,11,23,3,30,0,0,0,-2,6,950,180.4,2.8,0.221,,,,,, +2012,11,23,4,30,0,0,0,-3,6,950,182.2,2.8,0.221,,,,,, +2012,11,23,5,30,0,0,0,-4,5,950,183,2.8,0.221,,,,,, +2012,11,23,6,30,0,0,0,-5,6,950,181.8,3.5,0.221,,,,,, +2012,11,23,7,30,136,64,88,-5,9,950,179.5,4.2,0.221,,,,,, +2012,11,23,8,30,806,58,328,-5,13,950,179.7,3.9,0.221,,,,,, +2012,11,23,9,30,892,68,477,-5,16,950,189.7,3.4,0.221,,,,,, +2012,11,23,10,30,929,74,575,-4,18,950,190,2.9,0.221,,,,,, +2012,11,23,11,30,941,76,611,-4,20,950,192.8,2.2,0.221,,,,,, +2012,11,23,12,30,931,75,582,-4,21,950,197,1.8,0.221,,,,,, +2012,11,23,13,30,900,68,492,-4,22,950,197.7,1.7,0.221,,,,,, +2012,11,23,14,30,823,59,348,-4,21,950,197.4,1.3,0.221,,,,,, +2012,11,23,15,30,651,43,170,-4,19,950,191.8,1,0.221,,,,,, +2012,11,23,16,30,0,0,0,-4,16,950,171.9,1.1,0.226,,,,,, +2012,11,23,17,30,0,0,0,-4,15,950,166.4,1.4,0.226,,,,,, +2012,11,23,18,30,0,0,0,-4,14,950,168.8,1.7,0.226,,,,,, +2012,11,23,19,30,0,0,0,-4,14,950,171,1.9,0.226,,,,,, +2012,11,23,20,30,0,0,0,-5,13,950,173.4,2.2,0.226,,,,,, +2012,11,23,21,30,0,0,0,-5,12,950,174.6,2.3,0.226,,,,,, +2012,11,23,22,30,0,0,0,-5,12,950,175.8,2.3,0.226,,,,,, +2012,11,23,23,30,0,0,0,-5,11,950,179.6,2.3,0.226,,,,,, +2012,11,24,0,30,0,0,0,-5,11,950,190,2.3,0.226,,,,,, +2012,11,24,1,30,0,0,0,-6,10,950,198.6,2.2,0.226,,,,,, +2012,11,24,2,30,0,0,0,-6,10,950,204,2,0.226,,,,,, +2012,11,24,3,30,0,0,0,-6,9,950,206.3,1.8,0.226,,,,,, +2012,11,24,4,30,0,0,0,-6,9,950,205.5,1.6,0.226,,,,,, +2012,11,24,5,30,0,0,0,-6,9,950,203,1.5,0.226,,,,,, +2012,11,24,6,30,0,0,0,-6,9,950,199.8,1.7,0.226,,,,,, +2012,11,24,7,30,126,63,85,-6,11,950,194.1,2.7,0.226,,,,,, +2012,11,24,8,30,818,56,327,-6,14,950,191.9,3.1,0.226,,,,,, +2012,11,24,9,30,903,66,478,-6,18,950,200.7,2.3,0.226,,,,,, +2012,11,24,10,30,937,74,576,-7,21,950,232.4,1.6,0.226,,,,,, +2012,11,24,11,30,950,75,613,-6,23,950,245.1,1.2,0.226,,,,,, +2012,11,24,12,30,941,74,584,-6,24,950,230.1,1,0.226,,,,,, +2012,11,24,13,30,907,68,493,-5,24,940,210.6,1,0.226,,,,,, +2012,11,24,14,30,828,59,348,-5,23,940,194,0.9,0.226,,,,,, +2012,11,24,15,30,654,43,169,-4,19,940,176.1,0.8,0.226,,,,,, +2012,11,24,16,30,0,0,0,-4,16,940,161.3,1.1,0.226,,,,,, +2012,11,24,17,30,0,0,0,-5,14,940,163.8,1.3,0.226,,,,,, +2012,11,24,18,30,0,0,0,-5,14,940,170.6,1.4,0.226,,,,,, +2012,11,24,19,30,0,0,0,-5,14,940,177.8,1.5,0.226,,,,,, +2012,11,24,20,30,0,0,0,-5,14,940,183.5,1.5,0.226,,,,,, +2012,11,24,21,30,0,0,0,-5,14,940,185.9,1.5,0.226,,,,,, +2012,11,24,22,30,0,0,0,-5,13,940,185.9,1.5,0.226,,,,,, +2012,11,24,23,30,0,0,0,-5,13,940,185.1,1.5,0.226,,,,,, +2012,11,25,0,30,0,0,0,-5,12,940,184.1,1.5,0.226,,,,,, +2012,11,25,1,30,0,0,0,-5,12,940,185.3,1.5,0.226,,,,,, +2012,11,25,2,30,0,0,0,-5,11,940,187.7,1.5,0.226,,,,,, +2012,11,25,3,30,0,0,0,-5,11,940,190.2,1.4,0.226,,,,,, +2012,11,25,4,30,0,0,0,-5,10,940,192.7,1.3,0.226,,,,,, +2012,11,25,5,30,0,0,0,-5,10,940,195.3,1.2,0.226,,,,,, +2012,11,25,6,30,0,0,0,-5,10,940,198.2,1.2,0.226,,,,,, +2012,11,25,7,30,87,62,77,-5,11,940,199.7,1.6,0.226,,,,,, +2012,11,25,8,30,775,64,318,-5,14,940,203.3,1.6,0.226,,,,,, +2012,11,25,9,30,868,75,468,-5,17,940,205.2,0.9,0.226,,,,,, +2012,11,25,10,30,913,81,567,-5,20,940,212.4,0.3,0.226,,,,,, +2012,11,25,11,30,927,83,605,-5,22,940,166.4,0.1,0.226,,,,,, +2012,11,25,12,30,917,82,577,-5,23,940,115.4,0.2,0.226,,,,,, +2012,11,25,13,30,895,72,489,-5,23,940,142.9,0.2,0.226,,,,,, +2012,11,25,14,30,810,63,345,-5,22,940,141.1,0.1,0.226,,,,,, +2012,11,25,15,30,624,46,165,-5,19,940,352.7,0.3,0.226,,,,,, +2012,11,25,16,30,0,0,0,-5,16,940,342.4,0.8,0.226,,,,,, +2012,11,25,17,30,0,0,0,-5,15,940,356.3,1.1,0.226,,,,,, +2012,11,25,18,30,0,0,0,-5,14,940,15.2,1.2,0.226,,,,,, +2012,11,25,19,30,0,0,0,-5,14,940,34.8,1.3,0.226,,,,,, +2012,11,25,20,30,0,0,0,-4,13,940,48.7,1.3,0.226,,,,,, +2012,11,25,21,30,0,0,0,-4,12,940,58.9,1.3,0.226,,,,,, +2012,11,25,22,30,0,0,0,-3,11,940,69.2,1.2,0.226,,,,,, +2012,11,25,23,30,0,0,0,-3,11,940,84.2,1,0.226,,,,,, +2012,11,26,0,30,0,0,0,-3,10,940,105.1,0.9,0.226,,,,,, +2012,11,26,1,30,0,0,0,-3,10,940,132.7,0.8,0.226,,,,,, +2012,11,26,2,30,0,0,0,-2,10,940,157.4,0.8,0.226,,,,,, +2012,11,26,3,30,0,0,0,-2,9,940,179.9,0.8,0.226,,,,,, +2012,11,26,4,30,0,0,0,-3,9,940,192.8,1,0.226,,,,,, +2012,11,26,5,30,0,0,0,-3,9,940,197.3,1.1,0.226,,,,,, +2012,11,26,6,30,0,0,0,-3,9,940,198.5,1.2,0.226,,,,,, +2012,11,26,7,30,110,61,79,-3,11,950,199.4,1.9,0.226,,,,,, +2012,11,26,8,30,752,68,312,-4,14,950,196.9,2.3,0.226,,,,,, +2012,11,26,9,30,853,80,464,-5,17,950,206.7,2,0.226,,,,,, +2012,11,26,10,30,913,84,567,-7,20,950,219.3,1.7,0.226,,,,,, +2012,11,26,11,30,928,86,606,-8,22,940,232.4,1.2,0.226,,,,,, +2012,11,26,12,30,917,85,578,-9,23,940,257.6,0.8,0.226,,,,,, +2012,11,26,13,30,895,74,491,-9,23,940,275.6,0.6,0.226,,,,,, +2012,11,26,14,30,810,65,345,-9,22,940,286.8,0.5,0.226,,,,,, +2012,11,26,15,30,624,47,165,-7,18,940,298.5,0.3,0.226,,,,,, +2012,11,26,16,30,0,0,0,-7,15,940,317.9,0.3,0.226,,,,,, +2012,11,26,17,30,0,0,0,-7,13,940,337.7,0.2,0.226,,,,,, +2012,11,26,18,30,0,0,0,-7,12,950,11.3,0.2,0.226,,,,,, +2012,11,26,19,30,0,0,0,-6,11,950,151.2,0.5,0.226,,,,,, +2012,11,26,20,30,0,0,0,-6,11,950,167.7,1,0.226,,,,,, +2012,11,26,21,30,0,0,0,-5,11,950,183.2,1.2,0.226,,,,,, +2012,11,26,22,30,0,0,0,-4,11,950,197,1.4,0.226,,,,,, +2012,11,26,23,30,0,0,0,-3,11,950,205.6,1.5,0.226,,,,,, +2012,11,27,0,30,0,0,0,-3,11,950,210.9,1.4,0.226,,,,,, +2012,11,27,1,30,0,0,0,-3,11,950,212.9,1.4,0.226,,,,,, +2012,11,27,2,30,0,0,0,-3,11,950,213,1.4,0.226,,,,,, +2012,11,27,3,30,0,0,0,-3,11,950,213.8,1.3,0.226,,,,,, +2012,11,27,4,30,0,0,0,-3,10,950,219.8,1.3,0.226,,,,,, +2012,11,27,5,30,0,0,0,-3,10,950,229.1,1.3,0.226,,,,,, +2012,11,27,6,30,0,0,0,-3,10,950,234.3,1.2,0.226,,,,,, +2012,11,27,7,30,0,45,45,-4,11,950,237.2,1.5,0.226,,,,,, +2012,11,27,8,30,143,133,179,-4,14,950,229,1.8,0.226,,,,,, +2012,11,27,9,30,281,187,313,-4,17,950,221.7,1.3,0.226,,,,,, +2012,11,27,10,30,780,99,510,-3,20,950,243.8,1.1,0.226,,,,,, +2012,11,27,11,30,796,116,559,-2,21,950,303.7,1.1,0.226,,,,,, +2012,11,27,12,30,497,189,456,-1,22,950,315.7,0.9,0.226,,,,,, +2012,11,27,13,30,237,202,312,-1,21,950,301.5,0.9,0.226,,,,,, +2012,11,27,14,30,328,129,242,0,20,950,280.9,0.7,0.226,,,,,, +2012,11,27,15,30,457,51,138,0,17,950,251,0.6,0.226,,,,,, +2012,11,27,16,30,0,0,0,-1,15,950,193.3,0.8,0.226,,,,,, +2012,11,27,17,30,0,0,0,-1,14,950,182.6,1.1,0.226,,,,,, +2012,11,27,18,30,0,0,0,-1,14,950,189,1.2,0.226,,,,,, +2012,11,27,19,30,0,0,0,-1,13,950,197.4,1.2,0.226,,,,,, +2012,11,27,20,30,0,0,0,-1,12,950,202.9,1.2,0.226,,,,,, +2012,11,27,21,30,0,0,0,0,11,950,206.6,1.2,0.226,,,,,, +2012,11,27,22,30,0,0,0,0,10,950,211.4,1.1,0.226,,,,,, +2012,11,27,23,30,0,0,0,0,10,950,218.3,1,0.226,,,,,, +2012,11,28,0,30,0,0,0,0,10,950,225,1,0.226,,,,,, +2012,11,28,1,30,0,0,0,0,10,950,233.1,0.9,0.226,,,,,, +2012,11,28,2,30,0,0,0,0,10,950,249.4,0.9,0.226,,,,,, +2012,11,28,3,30,0,0,0,0,9,950,267.6,0.8,0.226,,,,,, +2012,11,28,4,30,0,0,0,0,9,950,282.2,0.7,0.226,,,,,, +2012,11,28,5,30,0,0,0,0,8,950,296.4,0.5,0.226,,,,,, +2012,11,28,6,30,0,0,0,0,8,950,336.1,0.5,0.226,,,,,, +2012,11,28,7,30,135,58,79,0,10,950,7.3,1,0.226,,,,,, +2012,11,28,8,30,381,110,231,0,13,950,32.1,1.6,0.226,,,,,, +2012,11,28,9,30,838,77,448,0,16,950,30.8,2.1,0.226,,,,,, +2012,11,28,10,30,895,79,548,-1,19,950,21.9,2.7,0.226,,,,,, +2012,11,28,11,30,916,80,588,-4,20,950,21.5,3,0.226,,,,,, +2012,11,28,12,30,913,78,564,-7,21,950,25.2,3.3,0.226,,,,,, +2012,11,28,13,30,867,75,475,-7,21,950,24.5,3.4,0.226,,,,,, +2012,11,28,14,30,777,64,331,-6,20,950,22.7,2.8,0.226,,,,,, +2012,11,28,15,30,301,63,119,-4,17,950,21.4,1.8,0.226,,,,,, +2012,11,28,16,30,0,0,0,-2,14,950,16.9,1.5,0.226,,,,,, +2012,11,28,17,30,0,0,0,0,12,950,20.9,1.6,0.226,,,,,, +2012,11,28,18,30,0,0,0,1,11,950,28.7,1.6,0.226,,,,,, +2012,11,28,19,30,0,0,0,3,10,950,37,1.5,0.226,,,,,, +2012,11,28,20,30,0,0,0,4,9,950,46.3,1.4,0.226,,,,,, +2012,11,28,21,30,0,0,0,5,9,950,59.3,1.4,0.226,,,,,, +2012,11,28,22,30,0,0,0,5,9,950,76.8,1.3,0.226,,,,,, +2012,11,28,23,30,0,0,0,6,8,950,94.5,1.3,0.226,,,,,, +2012,11,29,0,30,0,0,0,7,8,950,108.6,1.2,0.226,,,,,, +2012,11,29,1,30,0,0,0,7,8,950,119.9,1.2,0.226,,,,,, +2012,11,29,2,30,0,0,0,7,8,950,128.5,1.2,0.226,,,,,, +2012,11,29,3,30,0,0,0,8,8,950,132,1.2,0.226,,,,,, +2012,11,29,4,30,0,0,0,8,8,950,132.9,1.2,0.226,,,,,, +2012,11,29,5,30,0,0,0,8,8,950,134.5,1.1,0.226,,,,,, +2012,11,29,6,30,0,0,0,8,8,950,136.2,1.2,0.226,,,,,, +2012,11,29,7,30,444,42,111,9,10,950,136,1.8,0.226,,,,,, +2012,11,29,8,30,250,123,202,9,12,950,135.6,2.5,0.226,,,,,, +2012,11,29,9,30,268,186,304,10,15,950,118.5,3,0.226,,,,,, +2012,11,29,10,30,515,178,446,9,16,950,103.5,3.4,0.226,,,,,, +2012,11,29,11,30,594,165,493,9,17,950,96.6,3.5,0.226,,,,,, +2012,11,29,12,30,607,152,474,8,18,950,91.9,3.5,0.226,,,,,, +2012,11,29,13,30,496,154,382,8,18,950,87.6,3.3,0.226,,,,,, +2012,11,29,14,30,751,67,324,8,17,950,83,2.7,0.226,,,,,, +2012,11,29,15,30,393,56,129,8,16,950,76.9,1.7,0.226,,,,,, +2012,11,29,16,30,0,0,0,8,14,950,69.3,1.2,0.226,,,,,, +2012,11,29,17,30,0,0,0,9,12,950,70.8,1.3,0.226,,,,,, +2012,11,29,18,30,0,0,0,10,11,950,80.5,1.5,0.226,,,,,, +2012,11,29,19,30,0,0,0,9,10,950,92.7,1.6,0.226,,,,,, +2012,11,29,20,30,0,0,0,9,10,950,104.7,1.7,0.226,,,,,, +2012,11,29,21,30,0,0,0,9,10,950,112.4,1.6,0.226,,,,,, +2012,11,29,22,30,0,0,0,9,10,950,114.1,1.5,0.226,,,,,, +2012,11,29,23,30,0,0,0,9,9,950,112.8,1.3,0.226,,,,,, +2012,11,30,0,30,0,0,0,9,9,950,108.7,1.2,0.226,,,,,, +2012,11,30,1,30,0,0,0,9,9,950,100.2,1.1,0.226,,,,,, +2012,11,30,2,30,0,0,0,9,9,950,90.7,1.1,0.226,,,,,, +2012,11,30,3,30,0,0,0,9,9,950,87.5,1.1,0.226,,,,,, +2012,11,30,4,30,0,0,0,9,9,950,90,1,0.226,,,,,, +2012,11,30,5,30,0,0,0,9,9,950,93.3,0.9,0.226,,,,,, +2012,11,30,6,30,0,0,0,9,10,950,94,0.8,0.226,,,,,, +2012,11,30,7,30,65,55,65,11,12,950,87.3,0.9,0.226,,,,,, +2012,11,30,8,30,125,129,168,11,14,950,68.7,1.7,0.226,,,,,, +2012,11,30,9,30,55,183,208,11,15,950,47.4,2.5,0.226,,,,,, +2012,11,30,10,30,134,239,309,10,16,950,48.9,2.7,0.226,,,,,, +2012,11,30,11,30,516,190,474,9,17,950,52.7,2.8,0.226,,,,,, +2012,11,30,12,30,0,157,157,9,17,950,56.8,3,0.226,,,,,, +2012,11,30,13,30,0,123,123,8,16,940,61.8,3.1,0.226,,,,,, +2012,11,30,14,30,0,41,41,8,16,940,65.5,2.7,0.226,,,,,, +2012,11,30,15,30,0,25,25,9,15,940,64,1.8,0.226,,,,,, +2012,11,30,16,30,0,0,0,9,13,940,51.8,1.5,0.226,,,,,, +2012,11,30,17,30,0,0,0,10,12,940,44.6,1.7,0.226,,,,,, +2012,11,30,18,30,0,0,0,10,11,940,45.3,1.9,0.226,,,,,, +2012,11,30,19,30,0,0,0,9,10,940,50.8,2,0.226,,,,,, +2012,11,30,20,30,0,0,0,9,10,950,55.2,1.9,0.226,,,,,, +2012,11,30,21,30,0,0,0,9,10,950,62.8,1.8,0.226,,,,,, +2012,11,30,22,30,0,0,0,9,9,950,73.2,1.6,0.226,,,,,, +2012,11,30,23,30,0,0,0,9,9,950,86.2,1.5,0.226,,,,,, +2012,12,1,0,30,0,0,0,9,9,950,100.1,1.5,0.226,,,,,, +2012,12,1,1,30,0,0,0,9,9,950,114.1,1.5,0.226,,,,,, +2012,12,1,2,30,0,0,0,8,8,950,126,1.4,0.226,,,,,, +2012,12,1,3,30,0,0,0,8,8,950,135.5,1.4,0.226,,,,,, +2012,12,1,4,30,0,0,0,8,8,950,144.3,1.3,0.226,,,,,, +2012,12,1,5,30,0,0,0,8,8,950,151.6,1.2,0.226,,,,,, +2012,12,1,6,30,0,0,0,8,8,950,154.6,1.5,0.226,,,,,, +2012,12,1,7,30,51,54,62,8,9,950,152.3,2.2,0.226,,,,,, +2012,12,1,8,30,316,114,212,8,11,950,151.3,2.8,0.226,,,,,, +2012,12,1,9,30,507,140,361,8,14,950,148.5,2.7,0.226,,,,,, +2012,12,1,10,30,648,134,469,8,15,950,136,2.4,0.226,,,,,, +2012,12,1,11,30,715,126,518,8,16,950,116.7,2.5,0.226,,,,,, +2012,12,1,12,30,408,208,423,8,17,950,104.8,2.5,0.226,,,,,, +2012,12,1,13,30,451,162,368,9,16,940,104.6,2.3,0.226,,,,,, +2012,12,1,14,30,541,94,278,9,15,940,106.7,1.4,0.226,,,,,, +2012,12,1,15,30,284,63,115,9,14,940,109.1,0.6,0.226,,,,,, +2012,12,1,16,30,0,0,0,9,12,940,120.4,0.3,0.221,,,,,, +2012,12,1,17,30,0,0,0,10,11,950,137.1,0.3,0.221,,,,,, +2012,12,1,18,30,0,0,0,9,10,950,136.2,0.5,0.221,,,,,, +2012,12,1,19,30,0,0,0,9,9,950,134.9,0.8,0.221,,,,,, +2012,12,1,20,30,0,0,0,9,9,950,142,1.1,0.221,,,,,, +2012,12,1,21,30,0,0,0,9,9,950,150.2,1.3,0.221,,,,,, +2012,12,1,22,30,0,0,0,9,9,950,154.4,1.4,0.221,,,,,, +2012,12,1,23,30,0,0,0,9,9,940,154.8,1.5,0.221,,,,,, +2012,12,2,0,30,0,0,0,9,9,940,152.3,1.6,0.221,,,,,, +2012,12,2,1,30,0,0,0,9,9,940,150.9,1.6,0.221,,,,,, +2012,12,2,2,30,0,0,0,9,9,940,153.2,1.5,0.221,,,,,, +2012,12,2,3,30,0,0,0,9,9,940,153.6,1.4,0.221,,,,,, +2012,12,2,4,30,0,0,0,9,9,940,150.2,1.3,0.221,,,,,, +2012,12,2,5,30,0,0,0,9,9,940,147.3,1.2,0.221,,,,,, +2012,12,2,6,30,0,0,0,9,9,940,141.7,1.6,0.221,,,,,, +2012,12,2,7,30,479,40,110,10,11,940,135.7,2,0.221,,,,,, +2012,12,2,8,30,187,124,182,10,13,940,126.9,1.6,0.221,,,,,, +2012,12,2,9,30,388,163,331,10,15,940,78.2,1.7,0.221,,,,,, +2012,12,2,10,30,845,79,513,9,16,940,32.1,2.5,0.221,,,,,, +2012,12,2,11,30,321,237,413,9,17,940,29.6,3,0.221,,,,,, +2012,12,2,12,30,498,185,447,9,18,940,37.9,3.4,0.221,,,,,, +2012,12,2,13,30,312,188,330,8,17,940,45.8,3.6,0.221,,,,,, +2012,12,2,14,30,418,114,255,8,16,940,49.3,3.5,0.221,,,,,, +2012,12,2,15,30,273,63,114,8,15,940,46.9,2.5,0.221,,,,,, +2012,12,2,16,30,0,0,0,8,14,940,38.4,2,0.221,,,,,, +2012,12,2,17,30,0,0,0,9,12,940,43.6,2.5,0.221,,,,,, +2012,12,2,18,30,0,0,0,9,12,940,59.5,3.2,0.221,,,,,, +2012,12,2,19,30,0,0,0,10,11,940,70.5,3.4,0.221,,,,,, +2012,12,2,20,30,0,0,0,10,11,940,81.5,3.3,0.221,,,,,, +2012,12,2,21,30,0,0,0,9,10,940,90.7,3.2,0.221,,,,,, +2012,12,2,22,30,0,0,0,9,10,940,91.9,2.5,0.221,,,,,, +2012,12,2,23,30,0,0,0,9,10,940,89,1.9,0.221,,,,,, +2012,12,3,0,30,0,0,0,9,10,940,88.5,1.6,0.221,,,,,, +2012,12,3,1,30,0,0,0,9,10,940,97.3,1.4,0.221,,,,,, +2012,12,3,2,30,0,0,0,9,9,940,113.4,1.2,0.221,,,,,, +2012,12,3,3,30,0,0,0,9,9,940,128,1.1,0.221,,,,,, +2012,12,3,4,30,0,0,0,9,9,940,140.9,1,0.221,,,,,, +2012,12,3,5,30,0,0,0,9,9,950,153.2,0.9,0.221,,,,,, +2012,12,3,6,30,0,0,0,9,9,950,158.2,1,0.221,,,,,, +2012,12,3,7,30,475,42,110,10,11,950,156.6,1.8,0.221,,,,,, +2012,12,3,8,30,647,65,262,10,13,950,156.8,2.5,0.221,,,,,, +2012,12,3,9,30,171,192,266,10,15,950,153.9,2.5,0.221,,,,,, +2012,12,3,10,30,887,77,531,9,17,950,145.1,2.1,0.221,,,,,, +2012,12,3,11,30,798,100,533,7,18,950,127.1,1.9,0.221,,,,,, +2012,12,3,12,30,906,73,548,6,19,950,113.8,1.8,0.221,,,,,, +2012,12,3,13,30,867,70,463,4,19,950,111.5,1.7,0.221,,,,,, +2012,12,3,14,30,790,60,326,3,18,950,116,1.2,0.221,,,,,, +2012,12,3,15,30,610,43,155,3,16,950,129.7,0.6,0.221,,,,,, +2012,12,3,16,30,0,0,0,3,14,950,175.9,0.5,0.221,,,,,, +2012,12,3,17,30,0,0,0,3,13,950,224.1,0.6,0.221,,,,,, +2012,12,3,18,30,0,0,0,3,12,950,245.9,0.6,0.221,,,,,, +2012,12,3,19,30,0,0,0,3,12,950,250.5,0.5,0.221,,,,,, +2012,12,3,20,30,0,0,0,4,11,950,242.8,0.4,0.221,,,,,, +2012,12,3,21,30,0,0,0,4,11,950,228.9,0.4,0.221,,,,,, +2012,12,3,22,30,0,0,0,4,10,950,197.8,0.6,0.221,,,,,, +2012,12,3,23,30,0,0,0,4,10,950,174.4,0.8,0.221,,,,,, +2012,12,4,0,30,0,0,0,4,10,950,174.1,1,0.221,,,,,, +2012,12,4,1,30,0,0,0,4,9,950,177.6,1.1,0.221,,,,,, +2012,12,4,2,30,0,0,0,4,8,950,180.2,1.2,0.221,,,,,, +2012,12,4,3,30,0,0,0,4,8,950,184.4,1.2,0.221,,,,,, +2012,12,4,4,30,0,0,0,4,7,950,190.8,1.3,0.221,,,,,, +2012,12,4,5,30,0,0,0,4,7,950,197.7,1.3,0.221,,,,,, +2012,12,4,6,30,0,0,0,4,7,950,202,1.5,0.221,,,,,, +2012,12,4,7,30,75,51,62,4,9,950,204.6,2.1,0.221,,,,,, +2012,12,4,8,30,137,123,165,4,12,950,202.7,2.5,0.221,,,,,, +2012,12,4,9,30,832,62,418,4,16,950,210.2,2.2,0.221,,,,,, +2012,12,4,10,30,610,143,453,2,18,950,225.4,1.7,0.221,,,,,, +2012,12,4,11,30,520,185,467,1,19,950,238.2,1.1,0.221,,,,,, +2012,12,4,12,30,260,233,369,0,20,950,247.5,0.6,0.221,,,,,, +2012,12,4,13,30,558,136,388,0,20,950,244.5,0.3,0.221,,,,,, +2012,12,4,14,30,360,121,242,0,19,950,150.1,0.4,0.221,,,,,, +2012,12,4,15,30,284,62,114,1,17,950,135.1,0.6,0.221,,,,,, +2012,12,4,16,30,0,0,0,0,15,950,137.7,0.8,0.221,,,,,, +2012,12,4,17,30,0,0,0,0,14,950,144.7,1,0.221,,,,,, +2012,12,4,18,30,0,0,0,1,14,950,153.6,1,0.221,,,,,, +2012,12,4,19,30,0,0,0,1,13,950,158.2,1.1,0.221,,,,,, +2012,12,4,20,30,0,0,0,1,13,950,161.8,1.2,0.221,,,,,, +2012,12,4,21,30,0,0,0,1,13,950,168.2,1.3,0.221,,,,,, +2012,12,4,22,30,0,0,0,1,13,950,175.6,1.3,0.221,,,,,, +2012,12,4,23,30,0,0,0,1,13,950,181.9,1.3,0.221,,,,,, +2012,12,5,0,30,0,0,0,1,13,950,187.7,1.3,0.221,,,,,, +2012,12,5,1,30,0,0,0,1,12,950,187.8,1.2,0.221,,,,,, +2012,12,5,2,30,0,0,0,1,11,950,181.8,1.2,0.221,,,,,, +2012,12,5,3,30,0,0,0,1,9,950,175.9,1.3,0.221,,,,,, +2012,12,5,4,30,0,0,0,1,8,950,174.5,1.3,0.221,,,,,, +2012,12,5,5,30,0,0,0,0,8,950,177,1.3,0.221,,,,,, +2012,12,5,6,30,0,0,0,0,8,950,179.1,1.4,0.221,,,,,, +2012,12,5,7,30,7,47,48,-2,10,950,178.2,2.2,0.221,,,,,, +2012,12,5,8,30,606,70,251,-3,13,950,177.7,2.5,0.221,,,,,, +2012,12,5,9,30,237,181,282,-4,15,950,180.2,1.8,0.221,,,,,, +2012,12,5,10,30,720,111,476,-4,18,950,164.1,1.4,0.221,,,,,, +2012,12,5,11,30,684,135,504,-3,20,950,136.1,1.7,0.221,,,,,, +2012,12,5,12,30,494,186,443,-1,21,940,131.1,1.8,0.221,,,,,, +2012,12,5,13,30,495,151,374,0,21,940,133.8,1.6,0.221,,,,,, +2012,12,5,14,30,410,114,252,0,20,940,140.1,1.1,0.221,,,,,, +2012,12,5,15,30,406,54,128,1,17,940,152.8,0.6,0.221,,,,,, +2012,12,5,16,30,0,0,0,2,15,940,190,0.5,0.221,,,,,, +2012,12,5,17,30,0,0,0,2,14,940,222.1,0.6,0.221,,,,,, +2012,12,5,18,30,0,0,0,2,13,940,223,0.6,0.221,,,,,, +2012,12,5,19,30,0,0,0,3,12,940,166.8,0.8,0.221,,,,,, +2012,12,5,20,30,0,0,0,3,11,940,143.8,1.1,0.221,,,,,, +2012,12,5,21,30,0,0,0,4,10,940,152.6,1.2,0.221,,,,,, +2012,12,5,22,30,0,0,0,5,9,940,163.6,1.3,0.221,,,,,, +2012,12,5,23,30,0,0,0,5,9,940,168.7,1.3,0.221,,,,,, +2012,12,6,0,30,0,0,0,6,8,940,172.9,1.4,0.221,,,,,, +2012,12,6,1,30,0,0,0,6,8,940,173,1.5,0.221,,,,,, +2012,12,6,2,30,0,0,0,7,8,940,173.7,1.6,0.221,,,,,, +2012,12,6,3,30,0,0,0,7,8,940,175.3,1.7,0.221,,,,,, +2012,12,6,4,30,0,0,0,7,7,940,174.2,1.8,0.221,,,,,, +2012,12,6,5,30,0,0,0,7,7,940,172.6,1.8,0.221,,,,,, +2012,12,6,6,30,0,0,0,6,7,940,170.8,2,0.221,,,,,, +2012,12,6,7,30,384,41,93,6,9,940,167.5,2.8,0.221,,,,,, +2012,12,6,8,30,169,120,170,6,12,940,163.9,3.3,0.221,,,,,, +2012,12,6,9,30,828,70,420,5,15,940,166.4,3.2,0.221,,,,,, +2012,12,6,10,30,885,74,520,4,18,940,173.1,2.9,0.221,,,,,, +2012,12,6,11,30,905,75,562,3,19,940,174.9,2.5,0.221,,,,,, +2012,12,6,12,30,901,73,540,3,20,940,169.4,2.3,0.221,,,,,, +2012,12,6,13,30,868,67,458,3,20,940,165.9,2.2,0.221,,,,,, +2012,12,6,14,30,792,57,323,4,19,940,166.7,1.6,0.221,,,,,, +2012,12,6,15,30,618,41,154,4,17,940,167.7,1,0.221,,,,,, +2012,12,6,16,30,0,0,0,4,15,940,160.2,0.8,0.221,,,,,, +2012,12,6,17,30,0,0,0,4,14,940,137.7,0.9,0.221,,,,,, +2012,12,6,18,30,0,0,0,5,13,940,125.4,1.1,0.221,,,,,, +2012,12,6,19,30,0,0,0,5,11,940,123.9,1.2,0.221,,,,,, +2012,12,6,20,30,0,0,0,6,10,940,126.7,1.4,0.221,,,,,, +2012,12,6,21,30,0,0,0,6,9,940,132.5,1.4,0.221,,,,,, +2012,12,6,22,30,0,0,0,7,8,940,141.2,1.5,0.221,,,,,, +2012,12,6,23,30,0,0,0,7,8,940,149.9,1.5,0.221,,,,,, +2012,12,7,0,30,0,0,0,7,8,940,156.3,1.5,0.221,,,,,, +2012,12,7,1,30,0,0,0,6,8,940,157.2,1.6,0.221,,,,,, +2012,12,7,2,30,0,0,0,4,8,940,154.5,1.8,0.221,,,,,, +2012,12,7,3,30,0,0,0,2,7,940,152.1,1.9,0.221,,,,,, +2012,12,7,4,30,0,0,0,1,7,940,150.2,2,0.221,,,,,, +2012,12,7,5,30,0,0,0,0,6,940,148.8,2.1,0.221,,,,,, +2012,12,7,6,30,0,0,0,0,6,940,151.8,2.7,0.221,,,,,, +2012,12,7,7,30,530,36,106,0,9,940,160.6,3.6,0.221,,,,,, +2012,12,7,8,30,779,55,284,0,12,940,168.7,3.9,0.221,,,,,, +2012,12,7,9,30,884,65,436,0,15,940,178.1,3.9,0.221,,,,,, +2012,12,7,10,30,930,71,539,-4,17,940,184.7,3.8,0.221,,,,,, +2012,12,7,11,30,945,74,581,-6,18,940,181.3,3.6,0.221,,,,,, +2012,12,7,12,30,933,75,559,-7,19,940,175.6,3.6,0.221,,,,,, +2012,12,7,13,30,886,74,472,-8,19,940,172,3.6,0.221,,,,,, +2012,12,7,14,30,794,66,332,-8,18,940,169.1,2.9,0.221,,,,,, +2012,12,7,15,30,590,49,157,-8,15,940,169.4,1.8,0.221,,,,,, +2012,12,7,16,30,0,0,0,-7,12,940,177.4,1.5,0.221,,,,,, +2012,12,7,17,30,0,0,0,-8,11,940,184.6,1.6,0.221,,,,,, +2012,12,7,18,30,0,0,0,-8,10,940,187.3,1.6,0.221,,,,,, +2012,12,7,19,30,0,0,0,-8,10,940,184.8,1.6,0.221,,,,,, +2012,12,7,20,30,0,0,0,-8,10,940,178.6,1.6,0.221,,,,,, +2012,12,7,21,30,0,0,0,-8,9,940,170.1,1.5,0.221,,,,,, +2012,12,7,22,30,0,0,0,-9,9,940,161.2,1.5,0.221,,,,,, +2012,12,7,23,30,0,0,0,-9,8,940,155.1,1.5,0.221,,,,,, +2012,12,8,0,30,0,0,0,-9,8,940,152.4,1.5,0.221,,,,,, +2012,12,8,1,30,0,0,0,-9,7,940,152.3,1.5,0.221,,,,,, +2012,12,8,2,30,0,0,0,-9,7,940,153.9,1.5,0.221,,,,,, +2012,12,8,3,30,0,0,0,-9,6,940,156,1.5,0.221,,,,,, +2012,12,8,4,30,0,0,0,-9,6,940,157.2,1.4,0.221,,,,,, +2012,12,8,5,30,0,0,0,-8,6,940,157.7,1.4,0.221,,,,,, +2012,12,8,6,30,0,0,0,-8,6,940,157.9,1.2,0.221,,,,,, +2012,12,8,7,30,487,37,101,-8,8,940,160.5,1.8,0.221,,,,,, +2012,12,8,8,30,380,98,209,-7,11,940,165.5,2.2,0.221,,,,,, +2012,12,8,9,30,896,65,440,-8,14,940,166.7,1.8,0.221,,,,,, +2012,12,8,10,30,943,71,543,-11,17,940,154.4,1.5,0.221,,,,,, +2012,12,8,11,30,960,73,586,-15,18,940,124.7,1.4,0.221,,,,,, +2012,12,8,12,30,951,72,563,-16,19,940,107.1,1.4,0.221,,,,,, +2012,12,8,13,30,914,68,478,-16,18,940,113.4,1.4,0.221,,,,,, +2012,12,8,14,30,832,59,337,-16,17,940,132.2,1,0.221,,,,,, +2012,12,8,15,30,652,42,162,-14,14,940,154.5,0.6,0.221,,,,,, +2012,12,8,16,30,0,0,0,-13,11,940,196.5,0.5,0.221,,,,,, +2012,12,8,17,30,0,0,0,-13,11,940,215.5,0.3,0.221,,,,,, +2012,12,8,18,30,0,0,0,-13,10,940,199.3,0.3,0.221,,,,,, +2012,12,8,19,30,0,0,0,-14,10,940,144.7,0.6,0.221,,,,,, +2012,12,8,20,30,0,0,0,-14,9,940,124.2,0.9,0.221,,,,,, +2012,12,8,21,30,0,0,0,-14,9,940,117.5,1.2,0.221,,,,,, +2012,12,8,22,30,0,0,0,-14,9,940,115.4,1.3,0.221,,,,,, +2012,12,8,23,30,0,0,0,-15,8,940,117.6,1.4,0.221,,,,,, +2012,12,9,0,30,0,0,0,-15,7,940,124.7,1.5,0.221,,,,,, +2012,12,9,1,30,0,0,0,-14,6,940,127.7,1.4,0.221,,,,,, +2012,12,9,2,30,0,0,0,-13,5,940,127.1,1.4,0.221,,,,,, +2012,12,9,3,30,0,0,0,-11,5,940,133.6,1.5,0.221,,,,,, +2012,12,9,4,30,0,0,0,-9,4,940,141.8,1.6,0.221,,,,,, +2012,12,9,5,30,0,0,0,-7,4,940,144.1,1.7,0.221,,,,,, +2012,12,9,6,30,0,0,0,-6,4,940,142,2.2,0.221,,,,,, +2012,12,9,7,30,0,43,43,-6,7,950,145.5,3.5,0.221,,,,,, +2012,12,9,8,30,147,117,160,-6,10,950,153.9,4.8,0.221,,,,,, +2012,12,9,9,30,863,65,424,-6,13,950,177.3,5.5,0.221,,,,,, +2012,12,9,10,30,907,72,524,-6,15,950,192.5,6.1,0.221,,,,,, +2012,12,9,11,30,551,172,465,-6,16,950,195.8,6.4,0.221,,,,,, +2012,12,9,12,30,911,74,543,-6,16,950,194.2,6.6,0.221,,,,,, +2012,12,9,13,30,840,79,455,-6,17,950,191.9,6.9,0.221,,,,,, +2012,12,9,14,30,746,69,319,-6,16,950,193.7,6.6,0.221,,,,,, +2012,12,9,15,30,551,49,150,-6,13,950,198.6,4.8,0.221,,,,,, +2012,12,9,16,30,0,0,0,-5,10,950,204.2,2.9,0.216,,,,,, +2012,12,9,17,30,0,0,0,-5,9,950,206,2.3,0.216,,,,,, +2012,12,9,18,30,0,0,0,-5,8,950,204.7,2.1,0.216,,,,,, +2012,12,9,19,30,0,0,0,-6,7,950,199.1,2,0.216,,,,,, +2012,12,9,20,30,0,0,0,-6,6,950,189.8,2.3,0.216,,,,,, +2012,12,9,21,30,0,0,0,-6,6,950,182.8,2.7,0.216,,,,,, +2012,12,9,22,30,0,0,0,-7,5,950,179.3,3.1,0.216,,,,,, +2012,12,9,23,30,0,0,0,-7,4,950,177.5,3.5,0.216,,,,,, +2012,12,10,0,30,0,0,0,-8,4,950,176.9,3.6,0.216,,,,,, +2012,12,10,1,30,0,0,0,-8,4,950,175.2,3.5,0.216,,,,,, +2012,12,10,2,30,0,0,0,-9,4,950,175.2,3.5,0.216,,,,,, +2012,12,10,3,30,0,0,0,-9,4,950,177.1,3.5,0.216,,,,,, +2012,12,10,4,30,0,0,0,-9,4,950,179.4,3.4,0.216,,,,,, +2012,12,10,5,30,0,0,0,-9,4,950,180.6,3.3,0.216,,,,,, +2012,12,10,6,30,0,0,0,-9,4,950,180.2,3.7,0.216,,,,,, +2012,12,10,7,30,524,33,98,-9,6,950,180.7,4.5,0.216,,,,,, +2012,12,10,8,30,773,52,274,-9,9,950,187.9,5,0.216,,,,,, +2012,12,10,9,30,879,62,425,-9,11,950,195,5.4,0.216,,,,,, +2012,12,10,10,30,894,78,523,-9,13,950,190.8,5.5,0.216,,,,,, +2012,12,10,11,30,909,82,565,-8,14,950,185,5.5,0.216,,,,,, +2012,12,10,12,30,901,81,544,-7,15,950,179.8,5.3,0.216,,,,,, +2012,12,10,13,30,897,65,467,-6,15,950,178.1,5.1,0.216,,,,,, +2012,12,10,14,30,819,57,331,-5,14,950,178.1,4.4,0.216,,,,,, +2012,12,10,15,30,642,42,160,-5,12,950,177,2.7,0.216,,,,,, +2012,12,10,16,30,0,0,0,-5,10,950,175.8,1.7,0.216,,,,,, +2012,12,10,17,30,0,0,0,-5,9,950,176.9,1.8,0.216,,,,,, +2012,12,10,18,30,0,0,0,-5,8,950,176.8,1.7,0.216,,,,,, +2012,12,10,19,30,0,0,0,-5,8,950,171.7,1.7,0.216,,,,,, +2012,12,10,20,30,0,0,0,-5,8,950,166,1.7,0.216,,,,,, +2012,12,10,21,30,0,0,0,-5,8,950,164.6,1.7,0.216,,,,,, +2012,12,10,22,30,0,0,0,-4,7,950,165.6,1.7,0.216,,,,,, +2012,12,10,23,30,0,0,0,-4,7,950,170.3,1.8,0.216,,,,,, +2012,12,11,0,30,0,0,0,-4,7,950,174.9,1.9,0.216,,,,,, +2012,12,11,1,30,0,0,0,-3,6,950,177.7,1.9,0.216,,,,,, +2012,12,11,2,30,0,0,0,-3,6,950,178.4,1.9,0.216,,,,,, +2012,12,11,3,30,0,0,0,-3,5,950,178.1,1.9,0.216,,,,,, +2012,12,11,4,30,0,0,0,-3,5,950,180.3,1.8,0.216,,,,,, +2012,12,11,5,30,0,0,0,-3,5,950,184.3,1.7,0.216,,,,,, +2012,12,11,6,30,0,0,0,-3,5,950,189.3,1.8,0.216,,,,,, +2012,12,11,7,30,0,32,32,-3,6,950,195.2,2.5,0.216,,,,,, +2012,12,11,8,30,375,96,203,-3,9,950,196,3,0.216,,,,,, +2012,12,11,9,30,356,159,305,-4,12,950,210,2.6,0.216,,,,,, +2012,12,11,10,30,885,83,521,-5,15,940,226.8,1.6,0.216,,,,,, +2012,12,11,11,30,905,85,565,-5,17,940,246.2,0.6,0.216,,,,,, +2012,12,11,12,30,901,82,545,-5,18,940,291.9,0.2,0.216,,,,,, +2012,12,11,13,30,901,66,469,-6,19,940,38.6,0.3,0.216,,,,,, +2012,12,11,14,30,822,57,332,-6,18,940,24.7,0.5,0.216,,,,,, +2012,12,11,15,30,645,41,160,-5,15,940,13.1,0.5,0.216,,,,,, +2012,12,11,16,30,0,0,0,-5,11,940,351.3,0.7,0.216,,,,,, +2012,12,11,17,30,0,0,0,-5,9,940,337,0.9,0.216,,,,,, +2012,12,11,18,30,0,0,0,-4,8,940,332.4,1,0.216,,,,,, +2012,12,11,19,30,0,0,0,-2,7,940,338.9,1.1,0.216,,,,,, +2012,12,11,20,30,0,0,0,-1,7,940,352.3,1.1,0.216,,,,,, +2012,12,11,21,30,0,0,0,0,6,940,356.4,1.1,0.216,,,,,, +2012,12,11,22,30,0,0,0,0,5,940,351.5,1.2,0.216,,,,,, +2012,12,11,23,30,0,0,0,1,5,940,349.2,1.2,0.216,,,,,, +2012,12,12,0,30,0,0,0,1,5,940,347.6,1.3,0.216,,,,,, +2012,12,12,1,30,0,0,0,1,4,940,346,1.3,0.216,,,,,, +2012,12,12,2,30,0,0,0,2,4,940,342.1,1.4,0.216,,,,,, +2012,12,12,3,30,0,0,0,3,4,940,338.3,1.5,0.216,,,,,, +2012,12,12,4,30,0,0,0,3,4,940,339.2,1.4,0.216,,,,,, +2012,12,12,5,30,0,0,0,4,4,940,342.8,1.3,0.216,,,,,, +2012,12,12,6,30,0,0,0,4,4,940,343.4,1.3,0.216,,,,,, +2012,12,12,7,30,462,36,92,4,6,940,332.1,1.7,0.216,,,,,, +2012,12,12,8,30,164,114,160,4,8,940,326.4,2.2,0.216,,,,,, +2012,12,12,9,30,412,148,318,3,10,940,335.4,2.6,0.216,,,,,, +2012,12,12,10,30,877,80,514,2,13,940,351,3,0.216,,,,,, +2012,12,12,11,30,438,203,436,2,14,940,357.9,3.3,0.216,,,,,, +2012,12,12,12,30,513,176,440,2,15,940,1.9,3.3,0.216,,,,,, +2012,12,12,13,30,467,156,364,2,15,940,5,2.9,0.216,,,,,, +2012,12,12,14,30,174,139,198,2,14,940,6.7,1.7,0.216,,,,,, +2012,12,12,15,30,1,65,65,3,12,940,4,0.8,0.216,,,,,, +2012,12,12,16,30,0,0,0,3,10,940,1,0.6,0.216,,,,,, +2012,12,12,17,30,0,0,0,4,8,940,357.6,0.4,0.216,,,,,, +2012,12,12,18,30,0,0,0,5,8,940,349.7,0.5,0.216,,,,,, +2012,12,12,19,30,0,0,0,5,8,940,344.4,0.7,0.216,,,,,, +2012,12,12,20,30,0,0,0,6,8,940,344.8,1,0.216,,,,,, +2012,12,12,21,30,0,0,0,5,7,940,348.5,1.1,0.216,,,,,, +2012,12,12,22,30,0,0,0,5,7,940,359.2,1.2,0.216,,,,,, +2012,12,12,23,30,0,0,0,5,7,940,11.8,1.1,0.216,,,,,, +2012,12,13,0,30,0,0,0,5,7,940,22.1,1.1,0.216,,,,,, +2012,12,13,1,30,0,0,0,5,7,940,27.2,1.1,0.216,,,,,, +2012,12,13,2,30,0,0,0,6,7,940,27.4,1.1,0.216,,,,,, +2012,12,13,3,30,0,0,0,6,7,940,17.7,1.1,0.216,,,,,, +2012,12,13,4,30,0,0,0,6,7,940,358.7,1.2,0.216,,,,,, +2012,12,13,5,30,0,0,0,6,6,940,343.2,1.3,0.216,,,,,, +2012,12,13,6,30,0,0,0,6,6,940,334.9,1.5,0.216,,,,,, +2012,12,13,7,30,0,8,8,6,7,940,328.4,2.3,0.216,,,,,, +2012,12,13,8,30,0,39,39,6,8,940,324.4,3.5,0.216,,,,,, +2012,12,13,9,30,0,8,8,6,9,940,338.8,4.3,0.216,,,,,, +2012,12,13,10,30,49,208,232,5,9,940,342.4,4.6,0.216,,,,,, +2012,12,13,11,30,0,59,59,5,9,940,342.9,4.8,0.216,,,,,, +2012,12,13,12,30,44,215,238,5,10,930,346,4.6,0.216,,,,,, +2012,12,13,13,30,0,32,32,4,10,930,347.6,4.3,0.216,,,,,, +2012,12,13,14,30,0,58,58,4,10,930,340.6,3.7,0.216,,,,,, +2012,12,13,15,30,0,54,54,4,9,930,334.4,2.8,0.216,,,,,, +2012,12,13,16,30,0,0,0,5,7,930,339,2.4,0.216,,,,,, +2012,12,13,17,30,0,0,0,5,7,930,353.3,2.7,0.216,,,,,, +2012,12,13,18,30,0,0,0,5,6,930,13.6,2.7,0.216,,,,,, +2012,12,13,19,30,0,0,0,4,5,930,40.2,2.1,0.216,,,,,, +2012,12,13,20,30,0,0,0,4,5,930,71.7,1.7,0.216,,,,,, +2012,12,13,21,30,0,0,0,4,4,930,95.8,1.5,0.216,,,,,, +2012,12,13,22,30,0,0,0,3,4,930,104.7,1.5,0.216,,,,,, +2012,12,13,23,30,0,0,0,2,3,930,113.3,1.5,0.216,,,,,, +2012,12,14,0,30,0,0,0,2,3,930,110.6,1.6,0.216,,,,,, +2012,12,14,1,30,0,0,0,1,2,930,98.8,2.1,0.216,,,,,, +2012,12,14,2,30,0,0,0,0,2,930,92.4,2.5,0.216,,,,,, +2012,12,14,3,30,0,0,0,0,1,930,87.5,2.9,0.216,,,,,, +2012,12,14,4,30,0,0,0,0,1,930,85.3,2.9,0.216,,,,,, +2012,12,14,5,30,0,0,0,0,1,930,83.4,2.6,0.216,,,,,, +2012,12,14,6,30,0,0,0,0,1,930,81.3,2.3,0.216,,,,,, +2012,12,14,7,30,488,33,90,0,2,940,68.9,2.6,0.216,,,,,, +2012,12,14,8,30,758,55,267,0,4,940,57.2,3.3,0.216,,,,,, +2012,12,14,9,30,870,67,421,0,7,940,52.8,3.8,0.216,,,,,, +2012,12,14,10,30,906,78,523,-1,8,940,42.2,4.2,0.216,,,,,, +2012,12,14,11,30,915,82,564,-3,9,940,37.6,4.7,0.216,,,,,, +2012,12,14,12,30,271,227,366,-4,10,940,37.4,5.1,0.216,,,,,, +2012,12,14,13,30,0,123,123,-4,9,940,36.8,5.1,0.216,,,,,, +2012,12,14,14,30,417,113,253,-4,8,940,32.4,4.8,0.216,,,,,, +2012,12,14,15,30,12,67,69,-4,7,940,26.4,3.7,0.216,,,,,, +2012,12,14,16,30,0,0,0,-3,6,940,18.9,2.9,0.216,,,,,, +2012,12,14,17,30,0,0,0,-2,5,940,15.4,3.2,0.216,,,,,, +2012,12,14,18,30,0,0,0,-1,4,940,18.4,3.3,0.216,,,,,, +2012,12,14,19,30,0,0,0,-1,3,940,26.7,2.9,0.216,,,,,, +2012,12,14,20,30,0,0,0,0,2,940,36,2.3,0.216,,,,,, +2012,12,14,21,30,0,0,0,0,1,940,39.5,1.7,0.216,,,,,, +2012,12,14,22,30,0,0,0,0,1,940,35.8,1.2,0.216,,,,,, +2012,12,14,23,30,0,0,0,0,1,940,26.7,1.2,0.216,,,,,, +2012,12,15,0,30,0,0,0,0,0,940,17.9,1.2,0.216,,,,,, +2012,12,15,1,30,0,0,0,0,0,940,17.5,1.1,0.216,,,,,, +2012,12,15,2,30,0,0,0,0,0,940,21.6,1,0.216,,,,,, +2012,12,15,3,30,0,0,0,0,0,940,27.2,0.8,0.216,,,,,, +2012,12,15,4,30,0,0,0,0,0,940,34.1,0.8,0.216,,,,,, +2012,12,15,5,30,0,0,0,0,0,940,40.3,0.7,0.216,,,,,, +2012,12,15,6,30,0,0,0,0,0,940,51.8,0.8,0.216,,,,,, +2012,12,15,7,30,490,33,89,0,1,940,91.9,1.3,0.216,,,,,, +2012,12,15,8,30,753,56,264,-1,3,940,117.4,1.8,0.216,,,,,, +2012,12,15,9,30,861,69,417,-1,6,940,130.7,2.2,0.216,,,,,, +2012,12,15,10,30,877,87,517,-3,8,940,141.9,2.3,0.216,,,,,, +2012,12,15,11,30,896,90,562,-4,9,940,147.1,2.2,0.216,,,,,, +2012,12,15,12,30,887,89,543,-4,9,940,143.5,2.1,0.216,,,,,, +2012,12,15,13,30,842,85,461,-5,10,940,138.4,1.9,0.216,,,,,, +2012,12,15,14,30,232,136,214,-5,9,940,135.4,1.3,0.216,,,,,, +2012,12,15,15,30,580,49,157,-4,6,940,143.5,0.4,0.216,,,,,, +2012,12,15,16,30,0,0,0,-2,4,940,232.6,0.2,0.216,,,,,, +2012,12,15,17,30,0,0,0,-3,4,940,313.1,0.6,0.216,,,,,, +2012,12,15,18,30,0,0,0,-3,4,940,326,0.8,0.216,,,,,, +2012,12,15,19,30,0,0,0,-3,4,940,336.5,0.9,0.216,,,,,, +2012,12,15,20,30,0,0,0,-3,3,940,345.1,0.9,0.216,,,,,, +2012,12,15,21,30,0,0,0,-3,2,940,350.2,0.9,0.216,,,,,, +2012,12,15,22,30,0,0,0,-3,2,940,0.7,0.9,0.216,,,,,, +2012,12,15,23,30,0,0,0,-3,1,940,13.4,0.8,0.216,,,,,, +2012,12,16,0,30,0,0,0,-2,1,940,33.8,0.7,0.216,,,,,, +2012,12,16,1,30,0,0,0,-1,1,940,70.1,0.6,0.216,,,,,, +2012,12,16,2,30,0,0,0,0,0,940,126.2,0.5,0.216,,,,,, +2012,12,16,3,30,0,0,0,0,0,940,195.3,0.5,0.216,,,,,, +2012,12,16,4,30,0,0,0,0,0,940,228.6,0.5,0.216,,,,,, +2012,12,16,5,30,0,0,0,0,0,940,218.5,0.5,0.216,,,,,, +2012,12,16,6,30,0,0,0,0,0,940,193.7,0.5,0.216,,,,,, +2012,12,16,7,30,501,30,86,0,2,940,185.9,0.4,0.216,,,,,, +2012,12,16,8,30,758,51,259,0,4,950,181,0.4,0.216,,,,,, +2012,12,16,9,30,865,61,410,0,7,950,116.6,0.5,0.216,,,,,, +2012,12,16,10,30,894,73,510,1,9,950,82.5,0.6,0.216,,,,,, +2012,12,16,11,30,907,76,553,2,10,940,53.1,0.8,0.216,,,,,, +2012,12,16,12,30,888,78,532,2,11,940,57.7,1.1,0.216,,,,,, +2012,12,16,13,30,542,139,381,3,11,940,68.6,1.1,0.216,,,,,, +2012,12,16,14,30,729,71,316,4,10,940,73.8,0.7,0.216,,,,,, +2012,12,16,15,30,548,49,152,4,9,940,61.5,0.5,0.216,,,,,, +2012,12,16,16,30,0,0,0,4,7,940,297.7,0.8,0.216,,,,,, +2012,12,16,17,30,0,0,0,5,6,940,297,1,0.216,,,,,, +2012,12,16,18,30,0,0,0,5,6,940,300.1,1.1,0.216,,,,,, +2012,12,16,19,30,0,0,0,4,5,940,305.4,1,0.216,,,,,, +2012,12,16,20,30,0,0,0,4,4,950,314.9,1,0.216,,,,,, +2012,12,16,21,30,0,0,0,4,4,950,322.6,0.9,0.216,,,,,, +2012,12,16,22,30,0,0,0,4,4,950,328.6,0.7,0.216,,,,,, +2012,12,16,23,30,0,0,0,4,4,940,336.8,0.7,0.216,,,,,, +2012,12,17,0,30,0,0,0,4,4,940,346,0.6,0.216,,,,,, +2012,12,17,1,30,0,0,0,4,4,940,354.2,0.5,0.216,,,,,, +2012,12,17,2,30,0,0,0,4,4,940,5.2,0.4,0.216,,,,,, +2012,12,17,3,30,0,0,0,4,4,940,27,0.4,0.216,,,,,, +2012,12,17,4,30,0,0,0,4,4,940,45.6,0.4,0.216,,,,,, +2012,12,17,5,30,0,0,0,4,4,940,53.8,0.5,0.216,,,,,, +2012,12,17,6,30,0,0,0,4,4,950,55.4,0.6,0.216,,,,,, +2012,12,17,7,30,390,36,79,5,6,950,51.8,1,0.216,,,,,, +2012,12,17,8,30,664,65,247,6,7,950,52.4,1.7,0.216,,,,,, +2012,12,17,9,30,795,78,398,6,9,950,46.2,2.2,0.216,,,,,, +2012,12,17,10,30,864,81,503,6,11,940,47.6,2.4,0.216,,,,,, +2012,12,17,11,30,887,84,550,6,12,940,55.4,2.4,0.216,,,,,, +2012,12,17,12,30,890,79,535,6,12,940,65.6,2,0.216,,,,,, +2012,12,17,13,30,817,86,451,6,13,940,74.4,1.3,0.216,,,,,, +2012,12,17,14,30,754,69,323,6,12,940,82.1,0.5,0.216,,,,,, +2012,12,17,15,30,590,47,158,6,10,940,91.3,0.2,0.216,,,,,, +2012,12,17,16,30,0,0,0,6,8,940,238.2,0.4,0.215,,,,,, +2012,12,17,17,30,0,0,0,6,7,940,239.8,0.7,0.215,,,,,, +2012,12,17,18,30,0,0,0,6,7,940,241.6,0.8,0.215,,,,,, +2012,12,17,19,30,0,0,0,6,6,940,243.8,0.6,0.215,,,,,, +2012,12,17,20,30,0,0,0,6,6,940,245.2,0.2,0.215,,,,,, +2012,12,17,21,30,0,0,0,6,6,940,202.6,0.2,0.215,,,,,, +2012,12,17,22,30,0,0,0,6,6,940,84.4,0.6,0.215,,,,,, +2012,12,17,23,30,0,0,0,7,7,940,80.1,0.8,0.215,,,,,, +2012,12,18,0,30,0,0,0,7,7,940,81.8,0.9,0.215,,,,,, +2012,12,18,1,30,0,0,0,7,7,940,86.3,0.9,0.215,,,,,, +2012,12,18,2,30,0,0,0,6,6,940,93.1,0.8,0.215,,,,,, +2012,12,18,3,30,0,0,0,6,6,940,101.2,0.5,0.215,,,,,, +2012,12,18,4,30,0,0,0,6,6,940,114,0.3,0.215,,,,,, +2012,12,18,5,30,0,0,0,6,6,940,87.7,0.2,0.215,,,,,, +2012,12,18,6,30,0,0,0,6,6,940,358.1,0.5,0.215,,,,,, +2012,12,18,7,30,0,2,2,7,7,940,2.5,1.2,0.215,,,,,, +2012,12,18,8,30,0,71,71,7,8,940,359.5,2.1,0.215,,,,,, +2012,12,18,9,30,0,128,128,7,9,940,6.1,3.2,0.215,,,,,, +2012,12,18,10,30,170,223,306,6,9,940,9.9,4.1,0.215,,,,,, +2012,12,18,11,30,0,85,85,5,9,940,12.6,4.7,0.215,,,,,, +2012,12,18,12,30,0,93,93,4,9,930,19.1,5.1,0.215,,,,,, +2012,12,18,13,30,0,60,60,2,9,930,26.4,5.4,0.215,,,,,, +2012,12,18,14,30,608,83,288,0,8,930,29.9,5.1,0.215,,,,,, +2012,12,18,15,30,224,68,111,-2,7,930,27.7,4.1,0.215,,,,,, +2012,12,18,16,30,0,0,0,-3,5,930,26,3.4,0.215,,,,,, +2012,12,18,17,30,0,0,0,-2,3,930,37.5,3.7,0.215,,,,,, +2012,12,18,18,30,0,0,0,-1,2,940,60.5,4,0.215,,,,,, +2012,12,18,19,30,0,0,0,-2,2,940,87.1,4.2,0.215,,,,,, +2012,12,18,20,30,0,0,0,-3,2,940,106.7,4.3,0.215,,,,,, +2012,12,18,21,30,0,0,0,-3,1,940,109,4.3,0.215,,,,,, +2012,12,18,22,30,0,0,0,-4,1,940,108.9,4.5,0.215,,,,,, +2012,12,18,23,30,0,0,0,-5,1,940,111.1,4.8,0.215,,,,,, +2012,12,19,0,30,0,0,0,-6,0,940,113.7,4.8,0.215,,,,,, +2012,12,19,1,30,0,0,0,-8,0,940,120,4.9,0.215,,,,,, +2012,12,19,2,30,0,0,0,-9,0,940,136.7,4.6,0.215,,,,,, +2012,12,19,3,30,0,0,0,-9,-1,940,146.9,3.7,0.215,,,,,, +2012,12,19,4,30,0,0,0,-10,-1,940,147.6,2.8,0.215,,,,,, +2012,12,19,5,30,0,0,0,-10,-2,940,142.4,2.6,0.215,,,,,, +2012,12,19,6,30,0,0,0,-10,-1,950,137.7,3.1,0.215,,,,,, +2012,12,19,7,30,557,29,89,-9,0,950,136.2,4.3,0.215,,,,,, +2012,12,19,8,30,336,95,185,-10,3,950,141.3,5.4,0.215,,,,,, +2012,12,19,9,30,921,62,430,-12,5,950,170.2,6,0.215,,,,,, +2012,12,19,10,30,958,71,537,-13,6,950,186.3,5.8,0.215,,,,,, +2012,12,19,11,30,972,74,584,-14,7,950,191.2,5.5,0.215,,,,,, +2012,12,19,12,30,963,73,566,-15,8,950,192,5.4,0.215,,,,,, +2012,12,19,13,30,929,69,485,-15,7,950,192.9,5.4,0.215,,,,,, +2012,12,19,14,30,853,60,348,-16,6,950,192.2,5,0.215,,,,,, +2012,12,19,15,30,682,44,174,-14,4,950,190.9,3.4,0.215,,,,,, +2012,12,19,16,30,0,0,0,-9,1,950,186.4,2.3,0.215,,,,,, +2012,12,19,17,30,0,0,0,-10,0,950,180.2,2.4,0.215,,,,,, +2012,12,19,18,30,0,0,0,-11,0,950,176.3,2.6,0.215,,,,,, +2012,12,19,19,30,0,0,0,-11,0,950,171.4,2.9,0.215,,,,,, +2012,12,19,20,30,0,0,0,-12,0,950,169.3,3.2,0.215,,,,,, +2012,12,19,21,30,0,0,0,-12,0,950,171.4,3.3,0.215,,,,,, +2012,12,19,22,30,0,0,0,-13,0,950,176.4,3.2,0.215,,,,,, +2012,12,19,23,30,0,0,0,-13,-1,950,181.1,3.1,0.215,,,,,, +2012,12,20,0,30,0,0,0,-13,-1,950,184.5,2.9,0.215,,,,,, +2012,12,20,1,30,0,0,0,-13,-2,950,189.4,2.9,0.215,,,,,, +2012,12,20,2,30,0,0,0,-13,-2,950,197.2,2.9,0.215,,,,,, +2012,12,20,3,30,0,0,0,-13,-2,950,205,2.7,0.215,,,,,, +2012,12,20,4,30,0,0,0,-13,-3,950,209.6,2.3,0.215,,,,,, +2012,12,20,5,30,0,0,0,-12,-3,950,207.5,2,0.215,,,,,, +2012,12,20,6,30,0,0,0,-12,-2,950,199.4,2.2,0.215,,,,,, +2012,12,20,7,30,118,38,51,-11,0,950,191.5,3.1,0.215,,,,,, +2012,12,20,8,30,801,53,268,-12,2,950,184.6,3.5,0.215,,,,,, +2012,12,20,9,30,913,63,428,-13,4,950,181.6,2.9,0.215,,,,,, +2012,12,20,10,30,962,70,537,-14,6,950,189.8,2.4,0.215,,,,,, +2012,12,20,11,30,979,73,586,-14,8,950,196.3,2.2,0.215,,,,,, +2012,12,20,12,30,970,72,569,-14,9,950,198.3,2.3,0.215,,,,,, +2012,12,20,13,30,935,68,488,-13,9,950,199.9,2.3,0.215,,,,,, +2012,12,20,14,30,859,60,351,-13,8,950,201,1.8,0.215,,,,,, +2012,12,20,15,30,691,44,176,-9,5,950,193.5,1.2,0.215,,,,,, +2012,12,20,16,30,0,0,0,-8,2,950,168.9,1.2,0.215,,,,,, +2012,12,20,17,30,0,0,0,-9,1,950,158.8,1.5,0.215,,,,,, +2012,12,20,18,30,0,0,0,-10,0,950,161,1.7,0.215,,,,,, +2012,12,20,19,30,0,0,0,-10,0,950,169.1,1.9,0.215,,,,,, +2012,12,20,20,30,0,0,0,-10,0,950,175.4,2.1,0.215,,,,,, +2012,12,20,21,30,0,0,0,-11,0,950,180.5,2.2,0.215,,,,,, +2012,12,20,22,30,0,0,0,-11,0,950,184.4,2.2,0.215,,,,,, +2012,12,20,23,30,0,0,0,-11,0,950,189.1,2.2,0.215,,,,,, +2012,12,21,0,30,0,0,0,-11,0,950,194.9,2.1,0.215,,,,,, +2012,12,21,1,30,0,0,0,-12,0,950,197.2,2.1,0.215,,,,,, +2012,12,21,2,30,0,0,0,-12,-1,950,196.1,2.1,0.215,,,,,, +2012,12,21,3,30,0,0,0,-12,-1,950,192.7,2.1,0.215,,,,,, +2012,12,21,4,30,0,0,0,-12,-1,950,189,2.1,0.215,,,,,, +2012,12,21,5,30,0,0,0,-11,-1,950,186.5,2.2,0.215,,,,,, +2012,12,21,6,30,0,0,0,-11,0,950,186,2.5,0.215,,,,,, +2012,12,21,7,30,504,30,83,-10,1,950,187.8,3.2,0.215,,,,,, +2012,12,21,8,30,414,85,196,-9,3,950,190.5,3.4,0.215,,,,,, +2012,12,21,9,30,895,66,422,-10,6,950,195.4,2.6,0.215,,,,,, +2012,12,21,10,30,946,73,532,-10,9,950,227.4,2,0.215,,,,,, +2012,12,21,11,30,965,76,582,-11,12,950,261.6,1.6,0.215,,,,,, +2012,12,21,12,30,757,103,491,-11,13,940,251.3,1.2,0.215,,,,,, +2012,12,21,13,30,488,152,372,-12,14,940,230.3,1.1,0.215,,,,,, +2012,12,21,14,30,841,64,350,-10,12,940,223.8,0.7,0.215,,,,,, +2012,12,21,15,30,659,36,163,-4,9,940,198.7,0.6,0.215,,,,,, +2012,12,21,16,30,0,0,0,-7,5,940,176.4,1,0.215,,,,,, +2012,12,21,17,30,0,0,0,-8,3,940,176,1.2,0.215,,,,,, +2012,12,21,18,30,0,0,0,-8,2,940,182.4,1.4,0.215,,,,,, +2012,12,21,19,30,0,0,0,-8,2,940,184.9,1.4,0.215,,,,,, +2012,12,21,20,30,0,0,0,-8,2,940,182.4,1.4,0.215,,,,,, +2012,12,21,21,30,0,0,0,-9,2,940,184.1,1.4,0.215,,,,,, +2012,12,21,22,30,0,0,0,-9,2,940,187.8,1.4,0.215,,,,,, +2012,12,21,23,30,0,0,0,-9,2,940,192.2,1.3,0.215,,,,,, +2012,12,22,0,30,0,0,0,-8,2,940,193.2,1.3,0.215,,,,,, +2012,12,22,1,30,0,0,0,-8,2,940,197.8,1.3,0.215,,,,,, +2012,12,22,2,30,0,0,0,-8,2,940,201.8,1.3,0.215,,,,,, +2012,12,22,3,30,0,0,0,-8,2,940,206.4,1.4,0.215,,,,,, +2012,12,22,4,30,0,0,0,-7,2,940,214,1.3,0.215,,,,,, +2012,12,22,5,30,0,0,0,-6,2,940,211.3,1.3,0.215,,,,,, +2012,12,22,6,30,0,0,0,-6,1,940,200.9,1.2,0.215,,,,,, +2012,12,22,7,30,468,31,79,-5,2,940,199.1,1.2,0.215,,,,,, +2012,12,22,8,30,321,94,180,-4,5,940,198.8,1.2,0.215,,,,,, +2012,12,22,9,30,502,126,325,-4,9,940,204.6,0.6,0.215,,,,,, +2012,12,22,10,30,932,74,526,-5,12,940,11.1,0.8,0.215,,,,,, +2012,12,22,11,30,948,76,573,-6,13,940,29.8,1.7,0.215,,,,,, +2012,12,22,12,30,930,75,552,-7,14,940,34.6,2,0.215,,,,,, +2012,12,22,13,30,882,71,468,-6,14,940,35.4,2,0.215,,,,,, +2012,12,22,14,30,792,63,333,-4,13,940,31.7,1.4,0.215,,,,,, +2012,12,22,15,30,611,40,159,0,10,940,24.6,1,0.215,,,,,, +2012,12,22,16,30,0,12,12,1,7,940,18.7,1.1,0.215,,,,,, +2012,12,22,17,30,0,0,0,2,6,940,18.2,1.1,0.215,,,,,, +2012,12,22,18,30,0,0,0,4,6,950,21.2,1.1,0.215,,,,,, +2012,12,22,19,30,0,0,0,4,5,950,31.1,1.2,0.215,,,,,, +2012,12,22,20,30,0,0,0,4,5,950,43.9,1.1,0.215,,,,,, +2012,12,22,21,30,0,0,0,4,4,950,62.6,1.1,0.215,,,,,, +2012,12,22,22,30,0,0,0,4,4,950,87.5,1.2,0.215,,,,,, +2012,12,22,23,30,0,0,0,4,4,950,110.6,1.2,0.215,,,,,, +2012,12,23,0,30,0,0,0,4,4,950,128.8,1.3,0.215,,,,,, +2012,12,23,1,30,0,0,0,4,4,950,139.9,1.3,0.215,,,,,, +2012,12,23,2,30,0,0,0,4,4,950,148.3,1.4,0.215,,,,,, +2012,12,23,3,30,0,0,0,4,4,950,149.5,1.7,0.215,,,,,, +2012,12,23,4,30,0,0,0,4,4,950,152.9,1.7,0.215,,,,,, +2012,12,23,5,30,0,0,0,4,4,950,164.3,1.4,0.215,,,,,, +2012,12,23,6,30,0,0,0,4,4,950,166.8,1.3,0.215,,,,,, +2012,12,23,7,30,359,35,72,4,5,950,159.8,1.9,0.215,,,,,, +2012,12,23,8,30,669,64,242,4,7,950,156.6,2.6,0.215,,,,,, +2012,12,23,9,30,811,75,397,4,9,950,153.5,2.6,0.215,,,,,, +2012,12,23,10,30,856,86,501,3,11,950,134.4,2.4,0.215,,,,,, +2012,12,23,11,30,873,90,547,2,11,950,109.5,2.3,0.215,,,,,, +2012,12,23,12,30,857,90,529,1,10,940,90.4,2.2,0.215,,,,,, +2012,12,23,13,30,425,166,358,2,10,940,82.5,1.3,0.215,,,,,, +2012,12,23,14,30,409,122,262,2,10,940,63,0.7,0.215,,,,,, +2012,12,23,15,30,521,49,151,2,9,940,48.8,0.7,0.215,,,,,, +2012,12,23,16,30,0,13,13,2,7,940,49,0.8,0.215,,,,,, +2012,12,23,17,30,0,0,0,2,5,940,49.6,0.9,0.215,,,,,, +2012,12,23,18,30,0,0,0,3,5,940,49.7,1,0.215,,,,,, +2012,12,23,19,30,0,0,0,3,4,940,54.8,1.1,0.215,,,,,, +2012,12,23,20,30,0,0,0,4,4,940,66.7,1.2,0.215,,,,,, +2012,12,23,21,30,0,0,0,4,4,940,82.3,1.3,0.215,,,,,, +2012,12,23,22,30,0,0,0,4,4,940,95.4,1.3,0.215,,,,,, +2012,12,23,23,30,0,0,0,4,4,940,99.7,1.3,0.215,,,,,, +2012,12,24,0,30,0,0,0,4,4,940,96.6,1.3,0.215,,,,,, +2012,12,24,1,30,0,0,0,4,4,940,96,1.2,0.215,,,,,, +2012,12,24,2,30,0,0,0,4,4,940,98.5,1.1,0.215,,,,,, +2012,12,24,3,30,0,0,0,4,4,940,104.8,1.1,0.215,,,,,, +2012,12,24,4,30,0,0,0,4,4,940,111,1.1,0.215,,,,,, +2012,12,24,5,30,0,0,0,4,5,940,108.7,1,0.215,,,,,, +2012,12,24,6,30,0,0,0,6,6,940,101.8,0.9,0.215,,,,,, +2012,12,24,7,30,388,32,71,7,8,940,69.3,1.4,0.215,,,,,, +2012,12,24,8,30,700,58,243,7,9,940,51.4,2.7,0.215,,,,,, +2012,12,24,9,30,854,66,404,6,10,940,61.4,3.9,0.215,,,,,, +2012,12,24,10,30,928,69,519,4,11,940,75.1,4.6,0.215,,,,,, +2012,12,24,11,30,956,70,572,1,12,940,89.1,4.6,0.215,,,,,, +2012,12,24,12,30,953,70,559,0,13,940,99.3,4.4,0.215,,,,,, +2012,12,24,13,30,913,68,481,-2,13,940,110.8,4.3,0.215,,,,,, +2012,12,24,14,30,829,61,347,-4,12,940,125.7,3.5,0.215,,,,,, +2012,12,24,15,30,653,46,175,-3,10,940,137.5,2.4,0.215,,,,,, +2012,12,24,16,30,146,12,15,-2,7,940,142.8,2.3,0.215,,,,,, +2012,12,24,17,30,0,0,0,-2,5,940,146.7,2.5,0.215,,,,,, +2012,12,24,18,30,0,0,0,-2,4,940,148,3,0.215,,,,,, +2012,12,24,19,30,0,0,0,-3,3,950,148.2,3.5,0.215,,,,,, +2012,12,24,20,30,0,0,0,-4,2,950,153.7,3.7,0.215,,,,,, +2012,12,24,21,30,0,0,0,-5,1,950,165.6,3.4,0.215,,,,,, +2012,12,24,22,30,0,0,0,-6,1,950,175.4,2.9,0.215,,,,,, +2012,12,24,23,30,0,0,0,-7,0,950,180.2,2.6,0.215,,,,,, +2012,12,25,0,30,0,0,0,-8,0,950,180.2,2.5,0.215,,,,,, +2012,12,25,1,30,0,0,0,-8,0,950,177.7,2.5,0.215,,,,,, +2012,12,25,2,30,0,0,0,-9,0,950,178.8,2.6,0.215,,,,,, +2012,12,25,3,30,0,0,0,-9,0,950,180.1,2.6,0.215,,,,,, +2012,12,25,4,30,0,0,0,-8,0,950,180,2.5,0.215,,,,,, +2012,12,25,5,30,0,0,0,-8,0,950,178.9,2.5,0.215,,,,,, +2012,12,25,6,30,0,0,0,-8,0,950,174.1,2.9,0.215,,,,,, +2012,12,25,7,30,35,35,38,-7,1,950,172.3,3.5,0.215,,,,,, +2012,12,25,8,30,229,101,162,-8,3,950,173.4,3.8,0.215,,,,,, +2012,12,25,9,30,311,157,280,-9,5,950,202.3,3.6,0.215,,,,,, +2012,12,25,10,30,546,154,418,-10,6,950,211.5,3.1,0.215,,,,,, +2012,12,25,11,30,603,153,469,-11,7,950,202.5,2.8,0.215,,,,,, +2012,12,25,12,30,61,224,256,-11,8,950,191.5,2.9,0.215,,,,,, +2012,12,25,13,30,1,149,149,-11,8,940,186.2,2.8,0.215,,,,,, +2012,12,25,14,30,0,107,107,-11,7,940,186.3,2,0.215,,,,,, +2012,12,25,15,30,0,66,66,-6,6,940,183,1.1,0.215,,,,,, +2012,12,25,16,30,0,6,6,-5,5,940,179.1,0.9,0.215,,,,,, +2012,12,25,17,30,0,0,0,-7,4,940,179.2,0.9,0.215,,,,,, +2012,12,25,18,30,0,0,0,-7,4,940,181.1,0.8,0.215,,,,,, +2012,12,25,19,30,0,0,0,-6,3,940,189.7,0.8,0.215,,,,,, +2012,12,25,20,30,0,0,0,-7,2,940,191.4,0.9,0.215,,,,,, +2012,12,25,21,30,0,0,0,-7,1,940,192.1,0.9,0.215,,,,,, +2012,12,25,22,30,0,0,0,-8,0,940,206.3,0.8,0.215,,,,,, +2012,12,25,23,30,0,0,0,-8,0,940,223.4,0.6,0.215,,,,,, +2012,12,26,0,30,0,0,0,-7,0,940,240.2,0.6,0.215,,,,,, +2012,12,26,1,30,0,0,0,-7,0,940,268.5,0.7,0.215,,,,,, +2012,12,26,2,30,0,0,0,-6,0,940,284.7,0.6,0.215,,,,,, +2012,12,26,3,30,0,0,0,-4,1,940,300.3,0.5,0.215,,,,,, +2012,12,26,4,30,0,0,0,-2,1,940,313.1,0.5,0.215,,,,,, +2012,12,26,5,30,0,0,0,0,1,940,326.9,0.6,0.215,,,,,, +2012,12,26,6,30,0,0,0,1,2,940,338,0.7,0.215,,,,,, +2012,12,26,7,30,0,5,5,2,3,940,356.4,1.1,0.215,,,,,, +2012,12,26,8,30,0,43,43,3,5,940,24.7,2.3,0.215,,,,,, +2012,12,26,9,30,363,150,293,3,7,940,44.4,3.4,0.215,,,,,, +2012,12,26,10,30,867,86,506,1,8,940,56.8,3.8,0.215,,,,,, +2012,12,26,11,30,915,81,561,0,9,940,67.3,4.2,0.215,,,,,, +2012,12,26,12,30,917,76,549,0,10,940,77.5,4.4,0.215,,,,,, +2012,12,26,13,30,885,71,473,-1,10,940,83.6,4.3,0.215,,,,,, +2012,12,26,14,30,813,62,344,-1,9,940,88.6,3.2,0.215,,,,,, +2012,12,26,15,30,653,45,177,-1,7,940,92.4,1.7,0.215,,,,,, +2012,12,26,16,30,186,12,17,0,5,940,97.6,1.3,0.215,,,,,, +2012,12,26,17,30,0,0,0,0,4,940,103.5,1.3,0.215,,,,,, +2012,12,26,18,30,0,0,0,0,3,940,108.9,1.3,0.215,,,,,, +2012,12,26,19,30,0,0,0,1,2,940,113,1.4,0.215,,,,,, +2012,12,26,20,30,0,0,0,1,2,940,117.5,1.5,0.215,,,,,, +2012,12,26,21,30,0,0,0,1,1,940,122.8,1.5,0.215,,,,,, +2012,12,26,22,30,0,0,0,1,1,940,126.4,1.4,0.215,,,,,, +2012,12,26,23,30,0,0,0,1,1,940,126.6,1.3,0.215,,,,,, +2012,12,27,0,30,0,0,0,1,1,940,126.6,1.3,0.215,,,,,, +2012,12,27,1,30,0,0,0,1,1,940,124.3,1.2,0.215,,,,,, +2012,12,27,2,30,0,0,0,0,0,940,123.8,1.2,0.215,,,,,, +2012,12,27,3,30,0,0,0,0,0,940,125.6,1.2,0.215,,,,,, +2012,12,27,4,30,0,0,0,0,0,940,128.2,1.3,0.215,,,,,, +2012,12,27,5,30,0,0,0,0,0,940,131.9,1.3,0.215,,,,,, +2012,12,27,6,30,0,0,0,0,0,940,133.9,1.3,0.215,,,,,, +2012,12,27,7,30,434,29,71,0,2,940,132.3,2.1,0.215,,,,,, +2012,12,27,8,30,18,98,103,0,4,940,132.6,3.3,0.215,,,,,, +2012,12,27,9,30,858,63,402,0,7,940,133.9,3.5,0.215,,,,,, +2012,12,27,10,30,919,68,513,-2,8,940,135.5,3.4,0.215,,,,,, +2012,12,27,11,30,943,70,566,-3,9,940,137.9,3.2,0.215,,,,,, +2012,12,27,12,30,943,69,555,-5,10,940,141.7,3.2,0.215,,,,,, +2012,12,27,13,30,913,65,481,-5,10,940,142.8,3.3,0.215,,,,,, +2012,12,27,14,30,846,57,352,-6,9,940,142.3,2.6,0.215,,,,,, +2012,12,27,15,30,694,42,183,-6,7,940,143.2,1.6,0.215,,,,,, +2012,12,27,16,30,255,12,20,-4,4,940,144.1,1.3,0.215,,,,,, +2012,12,27,17,30,0,0,0,-4,2,940,144.7,1.4,0.215,,,,,, +2012,12,27,18,30,0,0,0,-5,2,940,143.9,1.4,0.215,,,,,, +2012,12,27,19,30,0,0,0,-5,1,940,143.4,1.4,0.215,,,,,, +2012,12,27,20,30,0,0,0,-5,1,940,145.5,1.4,0.215,,,,,, +2012,12,27,21,30,0,0,0,-5,1,940,149,1.4,0.215,,,,,, +2012,12,27,22,30,0,0,0,-6,0,940,152.7,1.4,0.215,,,,,, +2012,12,27,23,30,0,0,0,-6,0,950,157,1.4,0.215,,,,,, +2012,12,28,0,30,0,0,0,-7,0,950,162.6,1.4,0.215,,,,,, +2012,12,28,1,30,0,0,0,-7,0,950,166.3,1.4,0.215,,,,,, +2012,12,28,2,30,0,0,0,-7,0,950,170.5,1.5,0.215,,,,,, +2012,12,28,3,30,0,0,0,-8,-1,950,175.6,1.5,0.215,,,,,, +2012,12,28,4,30,0,0,0,-8,-1,950,180.1,1.5,0.215,,,,,, +2012,12,28,5,30,0,0,0,-8,-1,950,184.6,1.5,0.215,,,,,, +2012,12,28,6,30,0,0,0,-8,0,950,187.5,1.6,0.215,,,,,, +2012,12,28,7,30,508,26,75,-7,1,950,191.6,2.4,0.215,,,,,, +2012,12,28,8,30,779,48,252,-7,3,950,194.8,3.1,0.215,,,,,, +2012,12,28,9,30,886,60,410,-7,6,950,205.8,2.9,0.215,,,,,, +2012,12,28,10,30,930,69,519,-7,8,950,213.6,2.5,0.215,,,,,, +2012,12,28,11,30,946,72,571,-7,9,950,203.8,2,0.215,,,,,, +2012,12,28,12,30,933,75,557,-8,9,950,189.2,1.7,0.215,,,,,, +2012,12,28,13,30,874,78,478,-8,9,950,181.7,1.4,0.215,,,,,, +2012,12,28,14,30,788,71,347,-8,8,950,179,0.9,0.215,,,,,, +2012,12,28,15,30,623,52,179,-4,7,950,175.1,0.5,0.215,,,,,, +2012,12,28,16,30,0,20,20,-4,5,950,158,0.4,0.215,,,,,, +2012,12,28,17,30,0,0,0,-4,4,950,147.1,0.4,0.215,,,,,, +2012,12,28,18,30,0,0,0,-5,3,950,150.2,0.4,0.215,,,,,, +2012,12,28,19,30,0,0,0,-5,3,950,159.5,0.6,0.215,,,,,, +2012,12,28,20,30,0,0,0,-5,2,950,172.2,0.8,0.215,,,,,, +2012,12,28,21,30,0,0,0,-5,2,950,183.4,1,0.215,,,,,, +2012,12,28,22,30,0,0,0,-5,1,940,192.3,1.2,0.215,,,,,, +2012,12,28,23,30,0,0,0,-5,1,940,199.9,1.2,0.215,,,,,, +2012,12,29,0,30,0,0,0,-6,0,940,209.6,1.2,0.215,,,,,, +2012,12,29,1,30,0,0,0,-6,0,940,215.9,1.2,0.215,,,,,, +2012,12,29,2,30,0,0,0,-6,0,940,216.5,1.2,0.215,,,,,, +2012,12,29,3,30,0,0,0,-7,0,940,219.5,1.2,0.215,,,,,, +2012,12,29,4,30,0,0,0,-7,0,940,224.5,1.2,0.215,,,,,, +2012,12,29,5,30,0,0,0,-7,0,940,227.6,1.2,0.215,,,,,, +2012,12,29,6,30,0,0,0,-7,0,940,227.6,1.1,0.215,,,,,, +2012,12,29,7,30,444,28,71,-6,1,940,231.2,1.5,0.215,,,,,, +2012,12,29,8,30,325,92,177,-6,4,940,235,1.7,0.215,,,,,, +2012,12,29,9,30,858,65,404,-7,7,940,256.7,1.4,0.215,,,,,, +2012,12,29,10,30,716,108,455,-7,8,940,314.7,1.4,0.215,,,,,, +2012,12,29,11,30,408,210,425,-7,9,940,347.1,1.4,0.215,,,,,, +2012,12,29,12,30,0,74,74,-6,9,940,3.5,1.3,0.215,,,,,, +2012,12,29,13,30,88,203,243,-6,9,940,7.1,1.2,0.215,,,,,, +2012,12,29,14,30,158,149,205,-5,8,940,2.4,1,0.215,,,,,, +2012,12,29,15,30,400,63,146,-3,7,940,359.4,0.7,0.215,,,,,, +2012,12,29,16,30,0,16,16,-2,5,940,348.1,0.6,0.215,,,,,, +2012,12,29,17,30,0,0,0,-2,4,940,340.9,0.5,0.215,,,,,, +2012,12,29,18,30,0,0,0,-2,4,940,348.6,0.3,0.215,,,,,, +2012,12,29,19,30,0,0,0,-2,4,940,20.6,0.2,0.215,,,,,, +2012,12,29,20,30,0,0,0,-2,3,940,96.4,0.4,0.215,,,,,, +2012,12,29,21,30,0,0,0,-2,3,940,123.4,0.7,0.215,,,,,, +2012,12,29,22,30,0,0,0,-2,2,940,146,0.9,0.215,,,,,, +2012,12,29,23,30,0,0,0,-3,2,940,159.6,1.2,0.215,,,,,, +2012,12,30,0,30,0,0,0,-3,1,940,168.2,1.3,0.215,,,,,, +2012,12,30,1,30,0,0,0,-4,1,940,173,1.3,0.215,,,,,, +2012,12,30,2,30,0,0,0,-4,0,940,173.5,1.3,0.215,,,,,, +2012,12,30,3,30,0,0,0,-4,0,940,171.9,1.3,0.215,,,,,, +2012,12,30,4,30,0,0,0,-4,0,940,169.7,1.3,0.215,,,,,, +2012,12,30,5,30,0,0,0,-4,0,940,166.4,1.3,0.215,,,,,, +2012,12,30,6,30,0,0,0,-4,0,940,161.4,1.2,0.215,,,,,, +2012,12,30,7,30,403,30,68,-3,1,940,153.4,1.8,0.215,,,,,, +2012,12,30,8,30,181,103,150,-2,4,940,147.6,2.4,0.215,,,,,, +2012,12,30,9,30,829,71,398,-2,6,940,141.6,2.3,0.215,,,,,, +2012,12,30,10,30,114,220,276,-2,7,940,140.2,2.1,0.215,,,,,, +2012,12,30,11,30,143,246,322,-3,6,940,141.6,2,0.215,,,,,, +2012,12,30,12,30,164,241,327,-3,6,940,142.3,1.9,0.215,,,,,, +2012,12,30,13,30,7,159,163,-3,6,940,142.4,1.8,0.215,,,,,, +2012,12,30,14,30,236,145,229,-3,5,940,140.8,1.2,0.215,,,,,, +2012,12,30,15,30,0,31,31,-3,4,940,142.3,0.6,0.215,,,,,, +2012,12,30,16,30,0,3,3,-2,2,940,142.5,0.5,0.215,,,,,, +2012,12,30,17,30,0,0,0,-3,2,940,127.6,0.4,0.215,,,,,, +2012,12,30,18,30,0,0,0,-3,1,940,98.3,0.6,0.215,,,,,, +2012,12,30,19,30,0,0,0,-4,0,940,94.3,0.9,0.215,,,,,, +2012,12,30,20,30,0,0,0,-4,0,940,100.4,1.1,0.215,,,,,, +2012,12,30,21,30,0,0,0,-4,0,940,109.3,1.2,0.215,,,,,, +2012,12,30,22,30,0,0,0,-4,0,940,118.8,1.4,0.215,,,,,, +2012,12,30,23,30,0,0,0,-5,0,940,125,1.5,0.215,,,,,, +2012,12,31,0,30,0,0,0,-6,-1,940,127.4,1.7,0.215,,,,,, +2012,12,31,1,30,0,0,0,-6,-1,940,129.2,1.9,0.215,,,,,, +2012,12,31,2,30,0,0,0,-7,-1,940,131.8,2.2,0.215,,,,,, +2012,12,31,3,30,0,0,0,-8,-2,940,136.8,2.4,0.215,,,,,, +2012,12,31,4,30,0,0,0,-8,-2,940,145.6,2.5,0.215,,,,,, +2012,12,31,5,30,0,0,0,-8,-2,950,153.7,2.3,0.215,,,,,, +2012,12,31,6,30,0,0,0,-8,-1,950,157.3,2.4,0.215,,,,,, +2012,12,31,7,30,403,31,69,-8,0,950,158.4,3.2,0.215,,,,,, +2012,12,31,8,30,277,96,168,-8,3,950,157.8,4.2,0.215,,,,,, +2012,12,31,9,30,861,69,408,-8,4,950,172.5,4.7,0.215,,,,,, +2012,12,31,10,30,919,77,523,-8,6,950,170.2,4.6,0.215,,,,,, +2012,12,31,11,30,949,79,580,-8,7,950,166.2,4.4,0.215,,,,,, +2012,12,31,12,30,665,133,479,-8,7,950,164.1,4,0.215,,,,,, +2012,12,31,13,30,913,76,498,-9,7,950,163.2,3.4,0.215,,,,,, +2012,12,31,14,30,836,68,366,-9,6,950,164.5,2.2,0.215,,,,,, +2012,12,31,15,30,675,51,194,-8,6,950,166.9,1.5,0.215,,,,,, +2008,12,31,16,30,272,15,24,-10,5,950,179,5.4,0.216,,,,,, +2008,12,31,17,30,0,0,0,-10,3,950,172.8,5.6,0.216,,,,,, +2008,12,31,18,30,0,0,0,-10,2,950,171.5,5.5,0.216,,,,,, +2008,12,31,19,30,0,0,0,-10,1,950,174.2,5.2,0.216,,,,,, +2008,12,31,20,30,0,0,0,-10,0,950,179.7,4.7,0.216,,,,,, +2008,12,31,21,30,0,0,0,-10,0,950,184.2,4.2,0.216,,,,,, +2008,12,31,22,30,0,0,0,-10,0,950,185.8,3.9,0.216,,,,,, +2008,12,31,23,30,0,0,0,-10,0,950,185.1,3.7,0.216,,,,,, diff --git a/samples/mspt-import-maps/dispatch_factors_ts.csv b/samples/mspt-import-maps/dispatch_factors_ts.csv new file mode 100644 index 000000000..87379a6eb --- /dev/null +++ b/samples/mspt-import-maps/dispatch_factors_ts.csv @@ -0,0 +1,8760 @@ +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1.2 +1.2 +1.2 +1.2 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +2.0640000000000001 +1.2 +1.2 +1.2 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.69999999999999996 +0.80000000000000004 +0.80000000000000004 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +1.1000000000000001 +0.80000000000000004 +0.80000000000000004 +0.80000000000000004 diff --git a/samples/mspt-import-maps/eta_map_for_import.csv b/samples/mspt-import-maps/eta_map_for_import.csv new file mode 100644 index 000000000..bce047731 --- /dev/null +++ b/samples/mspt-import-maps/eta_map_for_import.csv @@ -0,0 +1,44 @@ +70.4233,76.8524,0.388878 +85.3805,52.8533,0.530717 +105.552,28.4322,0.565087 +179.992,11.4127,0.579405 +254.448,28.4321,0.56448 +274.619,52.8532,0.529601 +289.576,76.8524,0.387442 +76.17,80.5606,0.321223 +92.6394,56.1575,0.525304 +116.514,32.3729,0.563311 +179.991,18.2267,0.576743 +243.415,32.3991,0.562656 +267.263,56.2014,0.524022 +283.711,80.6337,0.318242 +98.8783,59.5768,0.517711 +124.597,36.7032,0.560732 +179.993,24.5298,0.57329 +235.332,36.7426,0.560038 +261.008,59.6392,0.516286 +104.969,63.4691,0.504463 +131.423,41.7311,0.556891 +179.99,31.1528,0.569044 +228.507,41.7754,0.556163 +254.911,63.5389,0.502906 +110.308,67.3316,0.486296 +136.673,46.7403,0.551951 +179.993,37.3556,0.564445 +223.268,46.7894,0.551178 +249.579,67.4082,0.48458 +115.853,71.7779,0.457128 +141.528,52.4917,0.543972 +179.995,44.1885,0.558179 +218.427,52.5415,0.543161 +244.048,71.8552,0.455242 +121.033,76.2935,0.412517 +145.612,58.3078,0.532075 +179.997,50.8989,0.549416 +214.358,58.3508,0.531221 +238.892,76.3605,0.410382 +126.541,81.4049,0.3121 +149.563,64.8574,0.508226 +180.003,58.2866,0.534754 +210.439,64.8586,0.507462 +233.46,81.4068,0.310901 diff --git a/samples/mspt-import-maps/flux_maps_for_import.csv b/samples/mspt-import-maps/flux_maps_for_import.csv new file mode 100644 index 000000000..19f0a9e57 --- /dev/null +++ b/samples/mspt-import-maps/flux_maps_for_import.csv @@ -0,0 +1,44 @@ +0.0552161,0.0483328,0.0422087,0.0334919,0.0265132,0.0234446,0.023889,0.0278439,0.0357915,0.0461921,0.0542086,0.0621214,0.0679919,0.0652944,0.0670584,0.0696036,0.0684252,0.0636188,0.0587497,0.0600041 +0.0473288,0.0419266,0.035836,0.0309945,0.0282173,0.0281782,0.0311702,0.0365286,0.0435544,0.051053,0.0578051,0.0629242,0.0656778,0.0666849,0.0669259,0.0663039,0.0645349,0.0620164,0.0588351,0.053504 +0.0421057,0.0402353,0.0384577,0.0378755,0.0385766,0.0404518,0.043498,0.0472684,0.0512573,0.0549144,0.0578188,0.0598049,0.0608412,0.0609907,0.0603139,0.0588572,0.0566942,0.0538319,0.0503446,0.0458618 +0.041732,0.0435291,0.0451289,0.0471005,0.049276,0.0514376,0.0533768,0.0549383,0.0560293,0.0566015,0.0566193,0.0560865,0.0550375,0.0535184,0.0516263,0.0495124,0.0473614,0.0453607,0.0437013,0.0420262 +0.0455676,0.0501664,0.0535927,0.0564257,0.0586161,0.0601265,0.0608579,0.0607573,0.0597672,0.0578211,0.0549502,0.0513276,0.047373,0.0436364,0.0406291,0.0387958,0.038118,0.0386753,0.040401,0.0423952 +0.0532438,0.0586574,0.061774,0.0642607,0.0660604,0.0667424,0.0665631,0.0656108,0.0629059,0.0578248,0.0511001,0.043627,0.036625,0.0312907,0.0283316,0.0284109,0.0312171,0.0360472,0.0421061,0.0476009 +0.0597013,0.0585526,0.0633238,0.0680978,0.0693095,0.0668311,0.065147,0.0679279,0.0621193,0.0542496,0.0462598,0.0358768,0.0279491,0.0240247,0.0236305,0.0267617,0.0337902,0.0425047,0.0485834,0.0553592 +0.0533117,0.0486392,0.0422413,0.0329855,0.0269238,0.0250771,0.0268234,0.0324239,0.0424573,0.0530297,0.0587067,0.0660639,0.0669341,0.061709,0.0631798,0.064821,0.0620924,0.0562917,0.0555798,0.0607086 +0.0449133,0.0387918,0.0325998,0.0281816,0.026304,0.0275646,0.0318486,0.0384098,0.0463646,0.0542265,0.060855,0.0650049,0.0668623,0.0676126,0.0678675,0.066644,0.0642911,0.0616656,0.0580379,0.0519548 +0.0393879,0.0373297,0.0358303,0.0359183,0.0374023,0.0404281,0.044539,0.049157,0.0536622,0.0574345,0.0601588,0.0618178,0.0624734,0.0622303,0.0611326,0.0592321,0.0565329,0.0530089,0.0487438,0.0435802 +0.0391852,0.041184,0.0433441,0.0460775,0.0490924,0.0520457,0.054622,0.056676,0.058103,0.0588351,0.0588533,0.0581615,0.0567771,0.0547655,0.0522352,0.0493279,0.0463358,0.0435725,0.0413538,0.0394525 +0.0432951,0.048554,0.0527651,0.0562646,0.0589945,0.0609512,0.0621061,0.0624013,0.0617952,0.0601798,0.0574915,0.0537542,0.0492802,0.044689,0.0406073,0.0376118,0.0361413,0.0360225,0.037469,0.0396262 +0.0517048,0.0578492,0.0614199,0.0640146,0.0664059,0.0677015,0.0675114,0.0668154,0.0650193,0.0609235,0.054328,0.0464865,0.0385427,0.0319855,0.0277107,0.026465,0.0283501,0.0327462,0.0389124,0.0451073 +0.0607036,0.0553964,0.0557214,0.0614506,0.0642508,0.0627286,0.0613928,0.0667487,0.0660985,0.0588426,0.0532874,0.0427552,0.032693,0.0270831,0.0253635,0.0272527,0.033348,0.0426103,0.0489604,0.0533123 +0.0425636,0.0357848,0.0296615,0.0258255,0.0250044,0.0273849,0.0327919,0.040485,0.0492015,0.0573069,0.0632364,0.0662219,0.0676085,0.0688205,0.0689984,0.0667776,0.0637733,0.061065,0.0571162,0.050372 +0.0367683,0.0345711,0.0334529,0.0341161,0.0365341,0.040614,0.0456807,0.0510357,0.0559057,0.0596836,0.0621968,0.063561,0.0639087,0.0633508,0.0619249,0.059636,0.0564109,0.0521906,0.0471254,0.0413327 +0.0366975,0.0389087,0.04167,0.0451788,0.0490275,0.0527175,0.055879,0.0583228,0.0599734,0.0608083,0.0608269,0.060033,0.0584254,0.0560237,0.0529074,0.0492617,0.0454336,0.0418941,0.0390757,0.0369352 +0.0410686,0.0469316,0.0519469,0.0561449,0.0594014,0.0617468,0.0632302,0.0638407,0.0635435,0.0622243,0.0597485,0.0560068,0.0511679,0.0458371,0.040795,0.0367401,0.0343288,0.0336323,0.0346986,0.036966 +0.0501475,0.0569209,0.0608095,0.0634836,0.0665279,0.0688327,0.0687208,0.0675582,0.0662357,0.0633169,0.0574333,0.0493502,0.0406398,0.0329434,0.0275364,0.025162,0.0259826,0.0297948,0.0358929,0.042711 +0.0399719,0.0326876,0.0268844,0.0239272,0.024193,0.0276956,0.0342874,0.0431272,0.052303,0.0599425,0.0649333,0.0669719,0.0686533,0.0703826,0.0698625,0.0665953,0.0631147,0.0603761,0.0557826,0.0483079 +0.0339129,0.0316459,0.0308817,0.032328,0.0358393,0.0410012,0.0470672,0.0531159,0.0582509,0.0619563,0.0642254,0.0653027,0.0653713,0.0645378,0.0628138,0.0601172,0.0562827,0.0512347,0.0452847,0.0388305 +0.0340065,0.0364832,0.0399224,0.044282,0.049041,0.0535346,0.0572636,0.0600409,0.06186,0.062763,0.0627822,0.0619214,0.0601462,0.0574114,0.0537271,0.049276,0.0445354,0.0401438,0.0366488,0.0342103 +0.0385936,0.0450857,0.0509889,0.0560162,0.059883,0.0626364,0.0644183,0.0653049,0.0652878,0.0642569,0.0620271,0.05836,0.0532576,0.0472326,0.0411878,0.0360458,0.0325352,0.0310499,0.031765,0.0340673 +0.0481013,0.0555711,0.06012,0.0628225,0.0663407,0.0696928,0.070298,0.0686199,0.0669801,0.065008,0.0600714,0.0524664,0.0433053,0.0344571,0.0278582,0.0243532,0.0240791,0.027003,0.0327808,0.0400711 +0.0373883,0.0299643,0.0247079,0.0226588,0.0238942,0.0284504,0.0361685,0.0457927,0.0545767,0.0619562,0.0662122,0.0674718,0.0699554,0.0717994,0.0702959,0.0661429,0.0625949,0.0599429,0.0540544,0.0459722 +0.0312218,0.0289493,0.0285416,0.0308795,0.0354059,0.0415869,0.0485532,0.0550255,0.060308,0.0640292,0.0660386,0.0668238,0.0667256,0.0656759,0.0636537,0.0606028,0.055983,0.0501557,0.0434403,0.0363997 +0.0314367,0.0341862,0.0382833,0.043484,0.0491251,0.0543733,0.0586072,0.0616448,0.0635704,0.0645057,0.0645253,0.0636329,0.0617517,0.0587566,0.0545666,0.0493589,0.0437341,0.0385006,0.0343502,0.0316066 +0.0361934,0.0432405,0.0499048,0.0557092,0.0603712,0.0634795,0.06556,0.0666624,0.0668115,0.0660749,0.0641059,0.060424,0.0551688,0.0487183,0.0417778,0.0356119,0.0310818,0.0287028,0.0290624,0.0313387 +0.0457963,0.0538294,0.0596869,0.0623037,0.0658782,0.0701184,0.0717115,0.0699309,0.0674832,0.0662815,0.0620808,0.0547268,0.0459765,0.0363545,0.0286251,0.02406,0.02281,0.0248249,0.0300553,0.0374662 +0.0344904,0.0271961,0.0227569,0.0217083,0.023991,0.0297101,0.0386331,0.0482182,0.0563071,0.0645666,0.0673004,0.0683724,0.0716577,0.072682,0.0702728,0.065314,0.0625845,0.0597177,0.0513891,0.0431316 +0.0283786,0.0261048,0.0263701,0.0296304,0.0352737,0.0425828,0.0503234,0.0573272,0.0627381,0.0658671,0.0674113,0.0682384,0.0680525,0.0666105,0.0642591,0.061002,0.0557738,0.0489314,0.0413541,0.0337706 +0.0285101,0.0316363,0.0364603,0.0426303,0.0492804,0.0553601,0.0601342,0.0634229,0.0654272,0.066374,0.066394,0.0654911,0.0635319,0.0602857,0.0555546,0.0495133,0.0428769,0.0366732,0.0317992,0.0286443 +0.0335945,0.0411534,0.048688,0.0555095,0.0607686,0.0640813,0.0664909,0.0679874,0.0682264,0.0674432,0.0659394,0.0628551,0.0574809,0.0504995,0.042777,0.0354792,0.0298283,0.026526,0.0262147,0.0284569 +0.0429728,0.0511545,0.0594629,0.0622965,0.0650211,0.070075,0.0725687,0.0716273,0.0683807,0.0673631,0.0647149,0.0564596,0.0484041,0.0388385,0.0299008,0.0241672,0.0218676,0.0228828,0.027298,0.0345436 +0.0325037,0.0252464,0.0216743,0.0215955,0.0249252,0.0320646,0.0422959,0.0512641,0.0593499,0.0674462,0.0665725,0.0681452,0.0716407,0.0718566,0.0680488,0.0627349,0.0625541,0.0589264,0.0494356,0.0417196 +0.0257683,0.0237383,0.0246985,0.0287919,0.0355078,0.0439063,0.0524462,0.0597659,0.0644629,0.0668435,0.068448,0.0697744,0.069211,0.06698,0.0644871,0.061132,0.0555829,0.0478385,0.0393485,0.031268 +0.0258111,0.0293097,0.0348462,0.0419616,0.0494604,0.056278,0.0616733,0.0649502,0.0668506,0.0681058,0.0681264,0.0669163,0.065062,0.0618282,0.0564752,0.0496939,0.0422064,0.0350562,0.0294719,0.0259166 +0.0311213,0.0391525,0.0475982,0.0553179,0.0608905,0.0642974,0.0668509,0.0691441,0.069765,0.0684812,0.0669122,0.0645789,0.0599247,0.0526308,0.0441066,0.0357159,0.0289899,0.0248538,0.0238509,0.0258173 +0.0416012,0.0492384,0.0586432,0.0622406,0.0623892,0.0677746,0.0716841,0.0715567,0.0681282,0.0666014,0.0675772,0.0595471,0.0514992,0.0425555,0.0323032,0.0251373,0.021782,0.0218251,0.0253695,0.0325462 +0.0335513,0.0265225,0.0240765,0.0252362,0.0300585,0.0394609,0.0507807,0.0572352,0.0637377,0.0676809,0.0620383,0.0623459,0.0647398,0.0635203,0.059245,0.0574435,0.0617106,0.0565341,0.0502921,0.0437902 +0.023403,0.0218699,0.0234868,0.02841,0.036339,0.0458944,0.0546926,0.0617121,0.065522,0.0673699,0.0699048,0.0716049,0.0702421,0.0668912,0.0641664,0.0610611,0.0548003,0.0465975,0.0372785,0.0287534 +0.0233155,0.0270234,0.0333096,0.0414589,0.0500197,0.0576551,0.062823,0.0656625,0.0680207,0.0699716,0.0699928,0.0680886,0.0657782,0.0629822,0.0578554,0.0502537,0.0417009,0.033516,0.0271765,0.0233958 +0.0286469,0.0371013,0.0463666,0.0545398,0.0608208,0.0639739,0.0667552,0.0701627,0.0715814,0.0699303,0.0674367,0.065631,0.0618575,0.0548652,0.0460893,0.0365469,0.0286111,0.0236494,0.0219925,0.0234414 +0.0436212,0.0500929,0.0562212,0.0613258,0.0570637,0.0589426,0.063322,0.0646409,0.062339,0.0621178,0.0678409,0.0639516,0.0574885,0.0510569,0.0397357,0.0303201,0.0254743,0.0242726,0.0266639,0.0335083 diff --git a/samples/mspt-import-maps/grid_curtailment.csv b/samples/mspt-import-maps/grid_curtailment.csv new file mode 100644 index 000000000..830bf9cbf --- /dev/null +++ b/samples/mspt-import-maps/grid_curtailment.csv @@ -0,0 +1,8760 @@ +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 +9.9999999999999998e+37 diff --git a/samples/mspt-import-maps/helio_positions.csv b/samples/mspt-import-maps/helio_positions.csv new file mode 100644 index 000000000..150f86ca8 --- /dev/null +++ b/samples/mspt-import-maps/helio_positions.csv @@ -0,0 +1,9339 @@ +-1606,-157.83799999999999 +988.81600000000003,-1021.51 +-1168.05,-889.55899999999997 +-903.55700000000002,-1097.6500000000001 +-1615.95,586.57899999999995 +1009.15,-1001.42 +-558.48000000000002,-1259.75 +-1059.05,-592.56600000000003 +682.08799999999997,-1197.3499999999999 +608.67100000000005,-1236.28 +1568.72,703.20399999999995 +1445.75,1030.1199999999999 +-881.30700000000002,-1115.5899999999999 +-1508.04,-415.51499999999999 +-1357.3699999999999,-672.279 +1417.98,-532.66099999999994 +-925.44100000000003,-1079.26 +-1273.1099999999999,1316.3599999999999 +1251.4300000000001,-767.84400000000005 +-1516.0799999999999,-385.113 +-1185.7,-865.89700000000005 +706.02099999999996,-1183.3900000000001 +1235.74,-792.84799999999996 +-583.69399999999996,-1248.27 +-1311.1099999999999,1278.52 +-1607.1900000000001,610.17499999999995 +1029.0799999999999,-980.93399999999997 +1234.02,1353.0699999999999 +839.06600000000003,270.03300000000002 +1613.49,-28.308399999999999 +-1292.25,1297.5799999999999 +-1608.8499999999999,-125.518 +858.57299999999998,199.50700000000001 +-777.16499999999996,-415.88999999999999 +-1651.0599999999999,225.76499999999999 +1193.8800000000001,1388.6300000000001 +729.66999999999996,-1168.96 +-1253.7,1334.8599999999999 +1558.25,726.09699999999998 +-946.952,-1060.4400000000001 +-1503.5699999999999,943.73000000000002 +-1475.29,987.34900000000005 +-1370.6099999999999,-644.85400000000004 +1266.6099999999999,-742.52999999999997 +1214.0799999999999,1371 +-1383.3,-617.16899999999998 +-1598.0799999999999,633.64099999999996 +1613.73,4.1351899999999997 +-633.40300000000002,-1223.8 +193.93600000000001,-1320.1199999999999 +167.357,-1323.76 +220.43700000000001,-1315.96 +753.02300000000002,-1154.05 +-1202.8699999999999,-841.88400000000001 +140.71000000000001,-1326.8499999999999 +60.4709,-1332.9200000000001 +33.6614,-1333.8699999999999 +87.256100000000004,-1331.4400000000001 +114.006,-1329.4100000000001 +-1523.52,-354.55599999999998 +-657.87800000000004,-1210.8199999999999 +-33.6614,-1333.8699999999999 +776.072,-1138.6800000000001 +-1578.8399999999999,680.16099999999994 +-60.4709,-1332.9200000000001 +1536.3199999999999,771.40999999999997 +504.13999999999999,-723.04399999999998 +1542.1199999999999,-262.07299999999998 +-968.08000000000004,-1041.1800000000001 +-87.256100000000004,-1331.4400000000001 +325.44200000000001,-1294 +-858.57299999999998,199.50700000000001 +-114.006,-1329.4100000000001 +1414.97,1072.01 +1547.45,748.83399999999995 +-140.71000000000001,-1326.8499999999999 +-1489.5899999999999,965.64300000000003 +-167.357,-1323.76 +-608.67100000000005,-1236.28 +351.39100000000002,-1287.1900000000001 +-1611.05,-93.148300000000006 +1067.6800000000001,-938.77200000000005 +-193.93600000000001,-1320.1199999999999 +1635.02,322.02100000000002 +-1460.6800000000001,1008.84 +-682.08799999999997,-1197.3499999999999 +1048.5899999999999,-960.04700000000003 +-220.43700000000001,-1315.96 +1430.51,1051.1800000000001 +-1588.6300000000001,656.971 +798.80799999999999,-1122.8499999999999 +377.19799999999998,-1279.8699999999999 +1281.29,-716.91600000000005 +402.85300000000001,-1272.02 +550.64300000000003,1572.8199999999999 +1282.8,1063.6800000000001 +-1219.55,-817.53099999999995 +-1395.4200000000001,-589.23400000000004 +1428.4100000000001,-504.04599999999999 +-988.81600000000003,-1021.51 +-6.8382100000000001,-1334.28 +428.34399999999999,-1263.6700000000001 +1613.3199999999999,36.577199999999998 +1173.4200000000001,1405.96 +-706.02099999999996,-1183.3900000000001 +246.84800000000001,-1311.26 +273.16000000000003,-1306.03 +-1234.02,1353.0699999999999 +-1530.3399999999999,-323.85500000000002 +-1009.15,-1001.42 +821.22000000000003,-1106.5599999999999 +299.36200000000002,-1300.28 +1399.1199999999999,1092.6099999999999 +-839.06600000000003,270.03300000000002 +453.66300000000001,-1254.8 +-1406.99,-561.06100000000004 +-325.44200000000001,-1294 +1086.3399999999999,-917.11699999999996 +1152.71,1422.99 +-1193.8800000000001,1388.6300000000001 +-1445.75,1030.1199999999999 +1547.0799999999999,-231.017 +1104.5599999999999,-895.09199999999998 +-351.39100000000002,-1287.1900000000001 +-729.66999999999996,-1168.96 +-1612.5899999999999,-60.740600000000001 +-1536.54,-293.02300000000002 +658.85199999999998,585.54600000000005 +-1568.72,703.20399999999995 +843.30100000000004,-1089.8299999999999 +-1214.0799999999999,1371 +1586.1800000000001,510.89400000000001 +557.81500000000005,-1077.75 +-377.19799999999998,-1279.8699999999999 +1524.8699999999999,793.82100000000003 +-246.84800000000001,-1311.26 +-1029.0799999999999,-980.93399999999997 +1131.75,1439.71 +-273.16000000000003,-1306.03 +1438.25,-475.22699999999998 +-658.85199999999998,585.54600000000005 +-402.85300000000001,-1272.02 +1447.51,-446.21600000000001 +528.47699999999998,-1225.1700000000001 +-550.64300000000003,1572.8199999999999 +-1251.4300000000001,-767.84400000000005 +1089.1099999999999,1472.23 +-1235.74,-792.84799999999996 +1295.4400000000001,-691.01099999999997 +-299.36200000000002,-1300.28 +-753.02300000000002,-1154.05 +1612.26,69.004300000000001 +-428.34399999999999,-1263.6700000000001 +-1417.98,-532.66099999999994 +1122.3299999999999,-872.70500000000004 +865.04100000000005,-1072.6500000000001 +1161.45,351.77499999999998 +553.00199999999995,-1214.3 +-776.072,-1138.6800000000001 +-504.13999999999999,-723.04399999999998 +1513.0799999999999,816.06200000000001 +1110.55,1456.1300000000001 +1456.1900000000001,-417.02499999999998 +1551.4100000000001,-199.86699999999999 +-1558.25,726.09699999999998 +886.42999999999995,-1055.05 +433.34800000000001,-466.964 +478.798,-1245.4300000000001 +-453.66300000000001,-1254.8 +-1613.49,-28.308399999999999 +577.303,-1202.9400000000001 +388.72399999999999,791.10299999999995 +503.74000000000001,-1235.55 +-1414.97,1072.01 +-1173.4200000000001,1405.96 +-1266.6099999999999,-742.52999999999997 +-798.80799999999999,-1122.8499999999999 +1139.6500000000001,-849.96500000000003 +1322.1700000000001,-638.37599999999998 +1309.0699999999999,-664.82799999999997 +1382.98,1112.98 +1593.49,487.61500000000001 +1349.8,1153 +-1152.71,1422.99 +-1536.3199999999999,771.40999999999997 +907.46199999999999,-1037.01 +-1430.51,1051.1800000000001 +-1282.8,1063.6800000000001 +1500.97,838.12800000000004 +601.37,-1191.0899999999999 +-1613.73,4.1351899999999997 +1610.55,101.404 +-1547.45,748.83399999999995 +-1067.6800000000001,-938.77200000000005 +1366.53,1133.1099999999999 +-1048.5899999999999,-960.04700000000003 +1613.3699999999999,417.173 +1464.28,-387.66500000000002 +-821.22000000000003,-1106.5599999999999 +1067.4400000000001,1488.02 +-528.47699999999998,-1225.1700000000001 +1555.1199999999999,-168.637 +-1131.75,1439.71 +-1399.1199999999999,1092.6099999999999 +1023.41,1518.6300000000001 +-1089.1099999999999,1472.23 +-1542.1199999999999,-262.07299999999998 +928.12699999999995,-1018.5599999999999 +-557.81500000000005,-1077.75 +-1281.29,-716.91600000000005 +-1161.45,351.77499999999998 +1156.51,-826.88099999999997 +-1635.02,322.02100000000002 +1334.74,-611.66600000000005 +1001.0700000000001,1533.46 +648.76599999999996,-1165.95 +-433.34800000000001,-466.964 +-553.00199999999995,-1214.3 +-478.798,-1245.4300000000001 +1488.54,860.01499999999999 +1045.53,1503.49 +-843.30100000000004,-1089.8299999999999 +-1086.3399999999999,-917.11699999999996 +-1110.55,1456.1300000000001 +1558.1900000000001,-137.339 +-1428.4100000000001,-504.04599999999999 +-1104.5599999999999,-895.09199999999998 +-388.72399999999999,791.10299999999995 +672.07500000000005,-1152.6700000000001 +-503.74000000000001,-1235.55 +948.41700000000003,-999.69200000000001 +-1613.3199999999999,36.577199999999998 +1608.1800000000001,133.762 +1315.46,1192.02 +-577.303,-1202.9400000000001 +1471.78,-358.14800000000002 +-1524.8699999999999,793.82100000000003 +625.19399999999996,-1178.76 +-865.04100000000005,-1072.6500000000001 +1475.79,881.71699999999998 +1332.77,1172.6400000000001 +695.11300000000006,-1138.9300000000001 +-1547.0799999999999,-231.017 +1346.77,-584.70799999999997 +277.464,-1263.1099999999999 +226.46199999999999,-1273.24 +252.01400000000001,-1268.4300000000001 +200.81899999999999,-1277.53 +-1586.1800000000001,510.89400000000001 +45.619700000000002,-1292.4200000000001 +71.593500000000006,-1291.24 +302.80099999999999,-1257.27 +97.538399999999996,-1289.54 +175.095,-1281.3099999999999 +-601.37,-1191.0899999999999 +968.32299999999998,-980.423 +-886.42999999999995,-1055.05 +123.444,-1287.3199999999999 +149.29900000000001,-1284.5799999999999 +-19.627500000000001,-1293.0699999999999 +1605.1700000000001,166.066 +328.017,-1250.9300000000001 +1188.8099999999999,-779.721 +-45.619700000000002,-1292.4200000000001 +-1067.4400000000001,1488.02 +-71.593500000000006,-1291.24 +-1023.41,1518.6300000000001 +-1122.3299999999999,-872.70500000000004 +-1513.0799999999999,816.06200000000001 +1601.51,198.303 +987.83799999999997,-960.75800000000004 +-1295.4400000000001,-691.01099999999997 +-97.538399999999996,-1289.54 +-1438.25,-475.22699999999998 +1560.6400000000001,-105.985 +717.87,-1124.72 +-1349.8,1153 +978.50599999999997,1547.95 +-1001.0700000000001,1533.46 +-1382.98,1112.98 +-1447.51,-446.21600000000001 +-123.444,-1287.3199999999999 +402.82600000000002,-1228.8800000000001 +-149.29900000000001,-1284.5799999999999 +-200.81899999999999,-1277.53 +-175.095,-1281.3099999999999 +-1612.26,69.004300000000001 +-226.46199999999999,-1273.24 +1462.72,903.23000000000002 +-252.01400000000001,-1268.4300000000001 +1172.9000000000001,-803.46299999999997 +-1366.53,1133.1099999999999 +1478.6800000000001,-328.48700000000002 +-1045.53,1503.49 +-907.46199999999999,-1037.01 +-277.464,-1263.1099999999999 +909.59000000000003,1589.4200000000001 +427.45100000000002,-1220.54 +1449.3399999999999,924.54899999999998 +-648.76599999999996,-1165.95 +955.73699999999997,1562.1099999999999 +-302.80099999999999,-1257.27 +1279.99,1230.03 +1006.95,-940.70399999999995 +-1456.1900000000001,-417.02499999999998 +451.90199999999999,-1211.7 +1204.25,-755.66300000000001 +-1551.4100000000001,-199.86699999999999 +-1500.97,838.12800000000004 +-328.017,-1250.9300000000001 +-1593.49,487.61500000000001 +-1139.6500000000001,-849.96500000000003 +762.50400000000002,-1094.95 +886.221,1602.5699999999999 +19.627500000000001,-1293.0699999999999 +1297.8599999999999,1211.1600000000001 +-1309.0699999999999,-664.82799999999997 +476.17099999999999,-1202.3699999999999 +-1322.1700000000001,-638.37599999999998 +1358.25,-557.51400000000001 +353.09899999999999,-1244.0799999999999 +932.76300000000003,1575.9300000000001 +-672.07500000000005,-1152.6700000000001 +-928.12699999999995,-1018.5599999999999 +378.03899999999999,-1236.73 +500.24799999999999,-1192.55 +1597.2,230.46000000000001 +1562.45,-74.587800000000001 +-625.19399999999996,-1178.76 +1261.8399999999999,1248.6400000000001 +1025.6600000000001,-920.26999999999998 +-1613.3699999999999,417.173 +784.36300000000006,-1079.4000000000001 +-1610.55,101.404 +-1315.46,1192.02 +-402.82600000000002,-1228.8800000000001 +740.33600000000001,-1110.0599999999999 +935.11400000000003,-773.48199999999997 +-978.50599999999997,1547.95 +-1488.54,860.01499999999999 +1219.2,-731.29999999999995 +-1156.51,-826.88099999999997 +-695.11300000000006,-1138.9300000000001 +-1464.28,-387.66500000000002 +-427.45100000000002,-1220.54 +-948.41700000000003,-999.69200000000001 +-1332.77,1172.6400000000001 +-909.59000000000003,1589.4200000000001 +-1555.1199999999999,-168.637 +514.03800000000001,-1099.3099999999999 +-1334.74,-611.66600000000005 +805.90499999999997,-1063.4200000000001 +1043.96,-899.46299999999997 +-955.73699999999997,1562.1099999999999 +1369.1800000000001,-530.09500000000003 +1435.6400000000001,945.66999999999996 +742.66499999999996,-959.77200000000005 +1379.5599999999999,-502.46199999999999 +-451.90199999999999,-1211.7 +-353.09899999999999,-1244.0799999999999 +-717.87,-1124.72 +790.89700000000005,1651.7 +862.66200000000003,1615.3699999999999 +-886.221,1602.5699999999999 +-378.03899999999999,-1236.73 +-1475.79,881.71699999999998 +1224.74,1285.05 +1592.24,262.524 +1563.6400000000001,-43.160899999999998 +571.22500000000002,-1160.23 +-1558.1900000000001,-137.339 +1421.6400000000001,966.58799999999997 +-476.17099999999999,-1202.3699999999999 +-932.76300000000003,1575.9300000000001 +-968.32299999999998,-980.423 +1061.8299999999999,-878.29399999999998 +1484.99,-298.69299999999998 +524.12199999999996,-1182.25 +827.12099999999998,-1047 +766.62900000000002,1663.0999999999999 +-1608.1800000000001,133.762 +1243.4300000000001,1266.98 +838.91899999999998,1627.8299999999999 +-500.24799999999999,-1192.55 +1163.24,-345.81799999999998 +-987.83799999999997,-960.75800000000004 +-1279.99,1230.03 +594.43499999999995,-1148.51 +-1471.78,-358.14800000000002 +848.00300000000004,-1030.1600000000001 +-514.03800000000001,-1099.3099999999999 +1079.27,-856.76900000000001 +-1346.77,-584.70799999999997 +547.78399999999999,-1171.48 +-1188.8099999999999,-779.721 +1392.73,1007.8 +814.995,1639.9400000000001 +617.40499999999997,-1136.3299999999999 +-762.50400000000002,-1094.95 +1389.3800000000001,-474.625 +-1462.72,903.23000000000002 +-1297.8599999999999,1211.1600000000001 +-1605.1700000000001,166.066 +-1449.3399999999999,924.54899999999998 +1490.6900000000001,-268.77800000000002 +1407.3399999999999,987.29899999999998 +-1601.51,198.303 +-790.89700000000005,1651.7 +1233.6500000000001,-706.64099999999996 +-1006.95,-940.70399999999995 +-1261.8399999999999,1248.6400000000001 +868.54200000000003,-1012.9 +-1172.9000000000001,-803.46299999999997 +-862.66200000000003,1615.3699999999999 +1564.1900000000001,-11.7164 +1586.6400000000001,294.48200000000003 +-1560.6400000000001,-105.985 +640.125,-1123.6800000000001 +1186.5899999999999,1320.3599999999999 +-742.66499999999996,-959.77200000000005 +1096.28,-834.89800000000002 +-740.33600000000001,-1110.0599999999999 +-935.11400000000003,-773.48199999999997 +-784.36300000000006,-1079.4000000000001 +-766.62900000000002,1663.0999999999999 +1082.01,-549.51300000000003 +1562.22,580.05200000000002 +260.37099999999998,-1185.29 +-1204.25,-755.66300000000001 +1261.0599999999999,-656.47699999999998 +-838.91899999999998,1627.8299999999999 +-1478.6800000000001,-328.48700000000002 +1205.8,1302.8399999999999 +256.34399999999999,-1225.6300000000001 +-571.22500000000002,-1160.23 +-260.37099999999998,-1185.29 +888.73000000000002,-995.23699999999997 +1564.1099999999999,19.732700000000001 +-524.12199999999996,-1182.25 +291.291,1640.77 +1398.6500000000001,-446.59699999999998 +-1025.6600000000001,-920.26999999999998 +1580.4000000000001,326.31999999999999 +642.92999999999995,1714.72 +1167.1400000000001,1337.5899999999999 +742.197,1674.1500000000001 +-814.995,1639.9400000000001 +-805.90499999999997,-1063.4200000000001 +-1224.74,1285.05 +1495.79,-238.755 +1247.6099999999999,-681.697 +-1358.25,-557.51400000000001 +617.755,1723.95 +-1597.2,230.46000000000001 +684.77999999999997,-1097.04 +-594.43499999999995,-1148.51 +717.60599999999999,1684.8299999999999 +-547.78399999999999,-1171.48 +1407.3399999999999,-418.38799999999998 +-1562.45,-74.587800000000001 +908.55899999999997,-977.16800000000001 +-1435.6400000000001,945.66999999999996 +-1243.4300000000001,1266.98 +-1219.2,-731.29999999999995 +-256.34399999999999,-1225.6300000000001 +353.97699999999998,-1201.0799999999999 +692.86099999999999,1695.1600000000001 +1147.4300000000001,1354.53 +1377.8299999999999,1028.0799999999999 +1274.01,-630.99099999999999 +-1043.96,-899.46299999999997 +329.75900000000001,-1207.95 +-617.40499999999997,-1136.3299999999999 +-827.12099999999998,-1047 +81.884399999999999,-1249.47 +1494.6700000000001,736.84400000000005 +-1163.24,-345.81799999999998 +231.65199999999999,-1230.54 +-1421.6400000000001,966.58799999999997 +206.86600000000001,-1234.95 +662.58600000000001,-1110.5899999999999 +280.93299999999999,-1220.23 +181.99700000000001,-1238.8499999999999 +780.64800000000002,-929.13999999999999 +-291.291,1640.77 +56.748199999999997,-1250.8599999999999 +-642.92999999999995,1714.72 +305.40800000000002,-1214.3399999999999 +706.69600000000003,-1083.05 +667.96699999999998,1705.1199999999999 +157.054,-1242.26 +-81.884399999999999,-1249.47 +1128.95,-790.15200000000004 +928.02099999999996,-958.70500000000004 +1563.4000000000001,51.173900000000003 +132.047,-1245.1700000000001 +-56.748199999999997,-1250.8599999999999 +-848.00300000000004,-1030.1600000000001 +-742.197,1674.1500000000001 +1112.8399999999999,-812.68899999999996 +-617.755,1723.95 +-1369.1800000000001,-530.09500000000003 +-1061.8299999999999,-878.29399999999998 +1500.29,-208.63499999999999 +378.053,-1193.72 +-640.125,-1123.6800000000001 +-1379.5599999999999,-502.46199999999999 +728.32799999999997,-1068.6300000000001 +106.988,-1247.5699999999999 +-1392.73,1007.8 +947.10699999999997,-939.85400000000004 +-1186.5899999999999,1320.3599999999999 +31.589099999999998,-1251.75 +401.97500000000002,-1185.8800000000001 +1362.6300000000001,1048.1500000000001 +-181.99700000000001,-1238.8499999999999 +-157.054,-1242.26 +-206.86600000000001,-1234.95 +-1592.24,262.524 +-717.60599999999999,1684.8299999999999 +1525.5899999999999,670.5 +-132.047,-1245.1700000000001 +-231.65199999999999,-1230.54 +-31.589099999999998,-1251.75 +1107.27,1387.55 +1415.47,-390.00999999999999 +1286.4300000000001,-605.25099999999998 +-1407.3399999999999,987.29899999999998 +-692.86099999999999,1695.1600000000001 +449.322,-1168.76 +-1079.27,-856.76900000000001 +-1563.6400000000001,-43.160899999999998 +425.73500000000001,-1177.55 +-106.988,-1247.5699999999999 +-353.97699999999998,-1201.0799999999999 +-280.93299999999999,-1220.23 +-329.75900000000001,-1207.95 +1347.1400000000001,1067.98 +-1205.8,1302.8399999999999 +518.95799999999997,-1139.55 +-868.54200000000003,-1012.9 +-1484.99,-298.69299999999998 +-305.40800000000002,-1214.3399999999999 +749.66399999999999,-1053.77 +592.44799999999998,1732.8099999999999 +1144.6099999999999,-767.29600000000005 +-667.96699999999998,1705.1199999999999 +-1167.1400000000001,1337.5899999999999 +965.81100000000004,-920.62300000000005 +438.13,1778.1099999999999 +-1082.01,-549.51300000000003 +1086.8399999999999,1403.6099999999999 +1331.3599999999999,1087.5899999999999 +472.72899999999998,-1159.49 +1573.52,358.02699999999999 +788.37800000000004,-394.22199999999998 +567.01400000000001,1741.3 +1127.47,1371.1900000000001 +1562.05,82.594399999999993 +412.05000000000001,1784.3299999999999 +-1389.3800000000001,-474.625 +495.94400000000002,-1149.75 +1504.1800000000001,-178.43000000000001 +-1490.6900000000001,-268.77800000000002 +-1233.6500000000001,-706.64099999999996 +-1096.28,-834.89800000000002 +-684.77999999999997,-1097.04 +-378.053,-1193.72 +770.69799999999998,-1038.48 +541.45899999999995,1749.4100000000001 +1159.8099999999999,-744.12900000000002 +-888.73000000000002,-995.23699999999997 +-1147.4300000000001,1354.53 +984.12400000000002,-901.01999999999998 +-1586.6400000000001,294.48200000000003 +-1562.22,580.05200000000002 +515.78700000000003,1757.1500000000001 +-401.97500000000002,-1185.8800000000001 +-438.13,1778.1099999999999 +140.102,-1205.4400000000001 +-1564.1900000000001,-11.7164 +-592.44799999999998,1732.8099999999999 +-662.58600000000001,-1110.5899999999999 +541.55700000000002,-335.50299999999999 +-412.05000000000001,1784.3299999999999 +-240.81,-847.91499999999996 +490.005,1764.52 +-1261.0599999999999,-656.47699999999998 +-425.73500000000001,-1177.55 +1507.47,-148.154 +-449.322,-1168.76 +-567.01400000000001,1741.3 +-706.69600000000003,-1083.05 +791.41999999999996,-1022.78 +-1377.8299999999999,1028.0799999999999 +-908.55899999999997,-977.16800000000001 +464.11700000000002,1771.5 +541.76300000000003,-1128.8800000000001 +-6.4172399999999996,-1252.1400000000001 +-1580.4000000000001,326.31999999999999 +1002.04,-881.053 +-541.45899999999995,1749.4100000000001 +-1107.27,1387.55 +1483.72,758.649 +-780.64800000000002,-929.13999999999999 +-1564.1099999999999,19.732700000000001 +1566,389.589 +-515.78700000000003,1757.1500000000001 +-1247.6099999999999,-681.697 +-1398.6500000000001,-446.59699999999998 +1560.0699999999999,113.98099999999999 +-518.95799999999997,-1139.55 +240.81,-847.91499999999996 +-1494.6700000000001,736.84400000000005 +1315.29,1106.97 +-728.32799999999997,-1068.6300000000001 +-1495.79,-238.755 +1298.3399999999999,-579.26599999999996 +-140.102,-1205.4400000000001 +-490.005,1764.52 +-472.72899999999998,-1159.49 +1423.02,-361.47399999999999 +564.34900000000005,-1117.76 +1066.1700000000001,1419.3699999999999 +811.822,-1006.66 +-928.02099999999996,-958.70500000000004 +608.827,-1094.1700000000001 +1024.1600000000001,1449.98 +-464.11700000000002,1771.5 +385.88099999999997,1790.1700000000001 +1095.6199999999999,1255.6300000000001 +586.70600000000002,-1106.1900000000001 +1176.2,-298.77600000000001 +-1086.8399999999999,1403.6099999999999 +-495.94400000000002,-1149.75 +-1362.6300000000001,1048.1500000000001 +-788.37800000000004,-394.22199999999998 +-1274.01,-630.99099999999999 +-1407.3399999999999,-418.38799999999998 +1019.55,-860.73000000000002 +359.62900000000002,1795.6300000000001 +831.89599999999996,-990.13800000000003 +1320.5799999999999,-526.60400000000004 +-947.10699999999997,-939.85400000000004 +-1127.47,1371.1900000000001 +-749.66399999999999,-1053.77 +-1128.95,-790.15200000000004 +1510.1400000000001,-117.81699999999999 +333.30000000000001,1800.7 +-1347.1400000000001,1067.98 +-541.55700000000002,-335.50299999999999 +-1112.8399999999999,-812.68899999999996 +1282.3099999999999,1145.01 +1036.6500000000001,-840.05899999999997 +1298.9400000000001,1126.1099999999999 +1045.28,1434.8299999999999 +1512.2,-87.433300000000003 +1055.99,597.98599999999999 +306.899,1805.3900000000001 +1002.8200000000001,1464.8199999999999 +-385.88099999999997,1790.1700000000001 +1188.78,-696.90300000000002 +1174.53,-720.66200000000003 +-1525.5899999999999,670.5 +280.43299999999999,1809.6900000000001 +1309.73,-553.04700000000003 +-1563.4000000000001,51.173900000000003 +-1331.3599999999999,1087.5899999999999 +-359.62900000000002,1795.6300000000001 +1557.8499999999999,420.99299999999999 +1557.47,145.32300000000001 +981.26300000000003,1479.3499999999999 +-333.30000000000001,1800.7 +851.63400000000001,-973.21299999999997 +253.90700000000001,1813.5999999999999 +-1500.29,-208.63499999999999 +881.42700000000002,6.0629400000000002 +1430,-332.79199999999997 +-306.899,1805.3900000000001 +227.32599999999999,1817.1199999999999 +-770.69799999999998,-1038.48 +-965.81100000000004,-920.62300000000005 +-541.76300000000003,-1128.8800000000001 +-280.43299999999999,1809.6900000000001 +200.697,1820.26 +630.70100000000002,-1081.71 +-1286.4300000000001,-605.25099999999998 +174.024,1823 +616.73500000000001,-629.75199999999995 +-253.90700000000001,1813.5999999999999 +1053.3299999999999,-819.048 +673.67700000000002,-1055.48 +-1144.6099999999999,-767.29600000000005 +147.315,1825.3499999999999 +-1415.47,-390.00999999999999 +1330.9000000000001,-499.94799999999998 +-227.32599999999999,1817.1199999999999 +120.57299999999999,1827.3099999999999 +-200.697,1820.26 +93.805899999999994,1828.8800000000001 +-174.024,1823 +871.02800000000002,-955.89499999999998 +67.018600000000006,1830.0599999999999 +-147.315,1825.3499999999999 +1554.23,176.60499999999999 +40.216900000000003,1830.8499999999999 +-120.57299999999999,1827.3099999999999 +13.406599999999999,1831.24 +1265.4100000000001,1163.6600000000001 +-93.805899999999994,1828.8800000000001 +-67.018600000000006,1830.0599999999999 +-13.406599999999999,1831.24 +-40.216900000000003,1830.8499999999999 +-1066.1700000000001,1419.3699999999999 +-564.34900000000005,-1117.76 +652.32100000000003,-1068.8099999999999 +1513.6600000000001,-57.014000000000003 +1202.55,-672.86300000000006 +-1024.1600000000001,1449.98 +1539.6700000000001,483.279 +-1573.52,358.02699999999999 +-791.41999999999996,-1022.78 +-984.12400000000002,-901.01999999999998 +-1055.99,597.98599999999999 +-1159.8099999999999,-744.12900000000002 +1069.5799999999999,-797.70600000000002 +-608.827,-1094.1700000000001 +-586.70600000000002,-1106.1900000000001 +-1562.05,82.594399999999993 +-1315.29,1106.97 +243.15199999999999,-383.851 +1549.0799999999999,452.22800000000001 +-1504.1800000000001,-178.43000000000001 +890.06899999999996,-938.19000000000005 +1436.4000000000001,-303.976 +-1045.28,1434.8299999999999 +-1002.8200000000001,1464.8199999999999 +-1095.6199999999999,1255.6300000000001 +1215.8399999999999,-648.55100000000004 +915.35599999999999,1521.01 +-616.73500000000001,-629.75199999999995 +1340.6800000000001,-473.08999999999997 +959.49800000000005,1493.5599999999999 +-811.822,-1006.66 +-243.15199999999999,-383.851 +694.75999999999999,-1041.73 +-981.26300000000003,1479.3499999999999 +-1002.04,-881.053 +-301.56099999999998,-339.89100000000002 +301.56099999999998,-339.89100000000002 +-1483.72,758.649 +908.75099999999998,-920.10599999999999 +1248.24,1182.0599999999999 +1213.0899999999999,1218.0999999999999 +-1282.3099999999999,1145.01 +401.11799999999999,-1145.3499999999999 +1442.23,-275.03699999999998 +1550.3699999999999,207.816 +-1298.9400000000001,1126.1099999999999 +892.98800000000006,1534.25 +-1507.47,-148.154 +-831.89599999999996,-990.13800000000003 +1447.46,-245.98599999999999 +1514.5,-26.5716 +937.52800000000002,1507.4400000000001 +927.06500000000005,-901.65099999999995 +-1566,389.589 +-1176.2,-298.77600000000001 +1085.4000000000001,-776.04200000000003 +1529.6400000000001,514.13599999999997 +1357.1600000000001,967 +24.398700000000002,1666.25 +715.56299999999999,-1027.55 +-630.70100000000002,-1081.71 +-881.42700000000002,6.0629400000000002 +-1560.0699999999999,113.98099999999999 +-1298.3399999999999,-579.26599999999996 +-1019.55,-860.73000000000002 +1230.8,1200.21 +1228.6300000000001,-623.976 +-673.67700000000002,-1055.48 +756.29200000000003,-997.95100000000002 +1448.98,823.07000000000005 +1349.9200000000001,-446.041 +-1423.02,-361.47399999999999 +-851.63400000000001,-973.21299999999997 +-1036.6500000000001,-840.05899999999997 +945.005,-882.83100000000002 +1514.72,3.8814899999999999 +-24.398700000000002,1666.25 +736.07600000000002,-1012.95 +-652.32100000000003,-1068.8099999999999 +-1320.5799999999999,-526.60400000000004 +-915.35599999999999,1521.01 +-1265.4100000000001,1163.6600000000001 +1100.78,-754.06399999999996 +-1174.53,-720.66200000000003 +-1188.78,-696.90300000000002 +-959.49800000000005,1493.5599999999999 +-1510.1400000000001,-117.81699999999999 +1545.8800000000001,238.94300000000001 +1452.1199999999999,-216.83600000000001 +-1512.2,-87.433300000000003 +-1557.8499999999999,420.99299999999999 +962.56200000000001,-863.654 +-871.02800000000002,-955.89499999999998 +1540.76,269.97300000000001 +1519,544.78399999999999 +801.65200000000004,1583.8900000000001 +-1309.73,-553.04700000000003 +-892.98800000000006,1534.25 +-1053.3299999999999,-819.048 +-1557.47,145.32300000000001 +-401.11799999999999,-1145.3499999999999 +870.42899999999997,1547.1600000000001 +1195.1300000000001,1235.73 +-937.52800000000002,1507.4400000000001 +-694.75999999999999,-1041.73 +-1430,-332.79199999999997 +778.37599999999998,1595.46 +1514.3399999999999,34.332999999999998 +776.202,-982.54499999999996 +979.73099999999999,-844.12699999999995 +847.68399999999997,1559.74 +-890.06899999999996,-938.19000000000005 +-1330.9000000000001,-499.94799999999998 +-1213.0899999999999,1218.0999999999999 +-1248.24,1182.0599999999999 +-1202.55,-672.86300000000006 +-1539.6700000000001,483.279 +-1554.23,176.60499999999999 +-1069.5799999999999,-797.70600000000002 +1176.9100000000001,1253.0999999999999 +67.183000000000007,-1211.6900000000001 +824.75599999999997,1571.98 +795.79899999999998,-966.74099999999999 +164.30799999999999,-1202.3800000000001 +1456.1800000000001,-187.59899999999999 +1158.4300000000001,1270.2 +236.489,-1190.29 +1252.72,-574.08000000000004 +1240.9300000000001,-599.149 +-1513.6600000000001,-57.014000000000003 +-1357.1600000000001,967 +-67.183000000000007,-1211.6900000000001 +-715.56299999999999,-1027.55 +-1549.0799999999999,452.22800000000001 +1130.21,-709.202 +996.50300000000004,-824.25999999999999 +1535.02,300.89400000000001 +1115.72,-731.78099999999995 +-1230.8,1200.21 +-908.75099999999998,-920.10599999999999 +1139.71,1287.02 +-801.65200000000004,1583.8900000000001 +815.07299999999998,-950.54700000000003 +1358.6199999999999,-418.81200000000001 +-164.30799999999999,-1202.3800000000001 +-1215.8399999999999,-648.55100000000004 +834.01900000000001,-933.96799999999996 +-756.29200000000003,-997.95100000000002 +-927.06500000000005,-901.65099999999995 +-1436.4000000000001,-303.976 +-870.42899999999997,1547.1600000000001 +1012.87,-804.05999999999995 +-778.37599999999998,1595.46 +-736.07600000000002,-1012.95 +-1340.6800000000001,-473.08999999999997 +1513.3399999999999,64.770700000000005 +1210.76,-82.224299999999999 +965.452,-735.26499999999999 +-236.489,-1190.29 +-1085.4000000000001,-776.04200000000003 +1528.6600000000001,331.69400000000002 +1213.0899999999999,-33.4848 +424.06299999999999,-1137.05 +-1529.6400000000001,514.13599999999997 +331.34699999999998,-1167.4400000000001 +-1448.98,823.07000000000005 +1366.76,-391.41399999999999 +-1550.3699999999999,207.816 +-847.68399999999997,1559.74 +18.418299999999999,-1213.4100000000001 +1264.01,-548.779 +1459.6600000000001,-158.286 +-1442.23,-275.03699999999998 +1144.24,-686.33699999999999 +754.93299999999999,1606.6800000000001 +659.59299999999996,1648.1199999999999 +-945.005,-882.83100000000002 +-824.75599999999997,1571.98 +1028.8299999999999,-783.53399999999999 +-1447.46,-245.98599999999999 +-1514.5,-26.5716 +1507.74,575.21199999999999 +-1195.1300000000001,1235.73 +1398.3199999999999,906.47299999999996 +-1228.6300000000001,-623.976 +635.39200000000005,1657.5999999999999 +888.80200000000002,-881.995 +852.62699999999995,-917.01199999999994 +731.32799999999997,1617.5599999999999 +1274.79,-523.25599999999997 +355.48500000000001,-806.58600000000001 +1120.75,1303.5699999999999 +1462.55,-128.90799999999999 +-1349.9200000000001,-446.041 +-1100.78,-754.06399999999996 +1082.0999999999999,1335.8199999999999 +-962.56200000000001,-863.654 +-1176.9100000000001,1253.0999999999999 +-1514.72,3.8814899999999999 +1511.74,95.182199999999995 +-776.202,-982.54499999999996 +1184.53,1172.1300000000001 +707.56600000000003,1628.0999999999999 +-1158.4300000000001,1270.2 +-331.34699999999998,-1167.4400000000001 +870.88999999999999,-899.68499999999995 +1374.3499999999999,-363.85700000000003 +-1519,544.78399999999999 +1381.3900000000001,-336.15300000000002 +1521.6800000000001,362.35899999999998 +1157.8,-663.19399999999996 +1044.3800000000001,-762.69200000000001 +-659.59299999999996,1648.1199999999999 +-1545.8800000000001,238.94300000000001 +-1139.71,1287.02 +-424.06299999999999,-1137.05 +683.65300000000002,1638.28 +1101.54,1319.8399999999999 +-754.93299999999999,1606.6800000000001 +-1540.76,269.97300000000001 +-795.79899999999998,-966.74099999999999 +-1452.1199999999999,-216.83600000000001 +-635.39200000000005,1657.5999999999999 +1495.8699999999999,605.40800000000002 +491.83300000000003,-1109.4200000000001 +-979.73099999999999,-844.12699999999995 +-243.125,1648.5999999999999 +906.35400000000004,-863.94799999999998 +1285.05,-497.52199999999999 +243.125,1648.5999999999999 +-731.32799999999997,1617.5599999999999 +1062.4300000000001,1351.53 +-815.07299999999998,-950.54700000000003 +1464.8399999999999,-99.478999999999999 +-834.01900000000001,-933.96799999999996 +-707.56600000000003,1628.0999999999999 +-1514.3399999999999,34.332999999999998 +1059.5,-741.54100000000005 +-1210.76,-82.224299999999999 +-996.50300000000004,-824.25999999999999 +611.05399999999997,1666.72 +1342.8599999999999,986.76800000000003 +1170.9000000000001,-639.78399999999999 +1387.8699999999999,-308.31299999999999 +1483.4000000000001,635.35900000000004 +1514.0899999999999,392.87799999999999 +1470.3199999999999,665.053 +462.46300000000002,1713.9100000000001 +-683.65300000000002,1638.28 +-1130.21,-709.202 +-1115.72,-731.78099999999995 +-1240.9300000000001,-599.149 +-1252.72,-574.08000000000004 +-355.48500000000001,-806.58600000000001 +586.58600000000001,1675.49 +-1456.1800000000001,-187.59899999999999 +-1535.02,300.89400000000001 +642.59400000000005,-1029.46 +923.53999999999996,-845.55200000000002 +-965.452,-735.26499999999999 +437.31900000000002,1720.49 +-1012.87,-804.05999999999995 +-1120.75,1303.5699999999999 +-1082.0999999999999,1335.8199999999999 +-1184.53,1172.1300000000001 +-1213.0899999999999,-33.4848 +561.99199999999996,1683.9000000000001 +1042.52,1366.9400000000001 +1183.53,-616.11400000000003 +-1358.6199999999999,-418.81200000000001 +579.37,-1066.3199999999999 +-36.587200000000003,880.68799999999999 +1002.05,1396.8699999999999 +-1398.3199999999999,906.47299999999996 +1074.1900000000001,-720.09100000000001 +537.27700000000004,1691.95 +-462.46300000000002,1713.9100000000001 +1466.55,-70.009399999999999 +-611.05399999999997,1666.72 +1509.52,125.55500000000001 +-491.83300000000003,-1109.4200000000001 +940.35199999999998,-826.81399999999996 +-852.62699999999995,-917.01199999999994 +608.01400000000001,638.17700000000002 +956.78399999999999,-807.74199999999996 +-1528.6600000000001,331.69400000000002 +512.447,1699.6300000000001 +-1101.54,1319.8399999999999 +663.16099999999994,-1016.33 +-1513.3399999999999,64.770700000000005 +-888.80200000000002,-881.995 +-1028.8299999999999,-783.53399999999999 +-437.31900000000002,1720.49 +1393.79,-280.34899999999999 +981.22699999999998,1346.9100000000001 +-1507.74,575.21199999999999 +-586.58600000000001,1675.49 +611.08000000000004,-180.07499999999999 +1022.4,1382.05 +-1144.24,-686.33699999999999 +-1264.01,-548.779 +487.50700000000001,1706.95 +-1366.76,-391.41399999999999 +1456.6600000000001,694.47799999999995 +-561.99199999999996,1683.9000000000001 +-1062.4300000000001,1351.53 +1088.45,-698.35000000000002 +-1459.6600000000001,-158.286 +1294.79,-471.58699999999999 +-870.88999999999999,-899.68499999999995 +36.587200000000003,880.68799999999999 +1506.6900000000001,155.87700000000001 +113.554,-1169.46 +-537.27700000000004,1691.95 +145.87700000000001,-1165.8599999999999 +1195.6800000000001,-592.19600000000003 +-512.447,1699.6300000000001 +178.08799999999999,-1161.3800000000001 +-1274.79,-523.25599999999997 +1399.1400000000001,-252.27099999999999 +210.16300000000001,-1156.01 +412.08199999999999,1726.71 +-1044.3800000000001,-762.69200000000001 +-487.50700000000001,1706.95 +1467.6600000000001,-40.511499999999998 +-113.554,-1169.46 +242.077,-1149.75 +-1462.55,-128.90799999999999 +972.83000000000004,-788.34299999999996 +880.08100000000002,1415.0799999999999 +-906.35400000000004,-863.94799999999998 +-1521.6800000000001,362.35899999999998 +981.49300000000005,1411.4000000000001 +-1511.74,95.182199999999995 +386.75700000000001,1732.5599999999999 +-145.87700000000001,-1165.8599999999999 +-1157.8,-663.19399999999996 +77.951700000000002,1773.49 +-1495.8699999999999,605.40800000000002 +273.80500000000001,-1142.6099999999999 +51.9771,1774.4400000000001 +504.35500000000002,1588.27 +-981.22699999999998,1346.9100000000001 +25.991299999999999,1775.01 +-1042.52,1366.9400000000001 +-1374.3499999999999,-363.85700000000003 +1505.8900000000001,423.238 +3.2334599999999998e-14,1775.2 +-77.951700000000002,1773.49 +361.34800000000001,1738.04 +-51.9771,1774.4400000000001 +-25.991299999999999,1775.01 +-178.08799999999999,-1161.3800000000001 +1102.27,-676.32600000000002 +-1002.05,1396.8699999999999 +-1381.3900000000001,-336.15300000000002 +880.92200000000003,30.455400000000001 +335.86200000000002,1743.1400000000001 +-1342.8599999999999,986.76800000000003 +-611.08000000000004,-180.07499999999999 +-579.37,-1066.3199999999999 +1304.01,-445.46100000000001 +305.32299999999998,-1134.5899999999999 +-642.59400000000005,-1029.46 +918.57000000000005,1453.1300000000001 +-412.08199999999999,1726.71 +-210.16300000000001,-1156.01 +310.30500000000001,1747.8699999999999 +-504.35500000000002,1588.27 +48.672499999999999,-1173.95 +81.144499999999994,-1172.1500000000001 +-386.75700000000001,1732.5599999999999 +752.53099999999995,1486.8399999999999 +1207.3399999999999,-568.03800000000001 +960.72400000000005,1425.6099999999999 +336.608,-1125.71 +284.68000000000001,1752.23 +-16.1632,-1174.8399999999999 +1503.25,186.137 +-1285.05,-497.52199999999999 +-1022.4,1382.05 +-242.077,-1149.75 +988.48299999999995,-768.62599999999998 +-48.672499999999999,-1173.95 +-361.34800000000001,1738.04 +1403.9300000000001,-224.09200000000001 +-1059.5,-741.54100000000005 +-1483.4000000000001,635.35900000000004 +258.995,1756.21 +-335.86200000000002,1743.1400000000001 +-81.144499999999994,-1172.1500000000001 +-1470.3199999999999,665.053 +-608.01400000000001,638.17700000000002 +-663.16099999999994,-1016.33 +449.47300000000001,-66.626800000000003 +233.25399999999999,1759.8099999999999 +939.74800000000005,1439.53 +-310.30500000000001,1747.8699999999999 +-923.53999999999996,-845.55200000000002 +1468.1800000000001,-10.997299999999999 +1320.8599999999999,-392.67899999999997 +-273.80500000000001,-1142.6099999999999 +207.46299999999999,1763.04 +-1464.8399999999999,-99.478999999999999 +-1170.9000000000001,-639.78399999999999 +-284.68000000000001,1752.23 +-1514.0899999999999,392.87799999999999 +181.62700000000001,1765.8900000000001 +-258.995,1756.21 +398.37900000000002,-1105.3599999999999 +155.75299999999999,1768.3599999999999 +899.09900000000005,815.06700000000001 +1003.74,-748.59799999999996 +-233.25399999999999,1759.8099999999999 +129.845,1770.45 +-449.47300000000001,-66.626800000000003 +-1387.8699999999999,-308.31299999999999 +1442.4000000000001,723.62300000000005 +-305.32299999999998,-1134.5899999999999 +-207.46299999999999,1763.04 +-752.53099999999995,1486.8399999999999 +1497.0699999999999,453.42700000000002 +103.91,1772.1600000000001 +1312.7,-419.15499999999997 +-181.62700000000001,1765.8900000000001 +-155.75299999999999,1768.3599999999999 +-129.845,1770.45 +-103.91,1772.1600000000001 +-940.35199999999998,-826.81399999999996 +428.81900000000002,-1093.9100000000001 +1218.52,-543.65099999999995 +-981.49300000000005,1411.4000000000001 +-880.08100000000002,1415.0799999999999 +-1074.1900000000001,-720.09100000000001 +1468.0999999999999,18.5215 +-956.78399999999999,-807.74199999999996 +-336.608,-1125.71 +723.21900000000005,-974.50800000000004 +-1183.53,-616.11400000000003 +454.33999999999997,6.3143700000000003 +1018.58,-728.26700000000005 +-880.92200000000003,30.455400000000001 +1499.2,216.321 +1408.1500000000001,-195.821 +458.93000000000001,-1081.6199999999999 +-918.57000000000005,1453.1300000000001 +897.19600000000003,1466.4300000000001 +367.63400000000001,-1115.96 +1298.23,1044.78 +-1456.6600000000001,694.47799999999995 +1328.49,-366.04500000000002 +-899.09900000000005,815.06700000000001 +1412.1400000000001,781.02300000000002 +-1509.52,125.55500000000001 +-1466.55,-70.009399999999999 +1487.6600000000001,483.43299999999999 +-960.72400000000005,1425.6099999999999 +1115.6500000000001,-654.029 +1128.5699999999999,-631.46799999999996 +488.69,-1068.51 +-1088.45,-698.35000000000002 +1477.6400000000001,513.24400000000003 +875.63,1479.4100000000001 +-939.74800000000005,1439.53 +-1393.79,-280.34899999999999 +1427.5599999999999,752.47500000000002 +-398.37900000000002,-1105.3599999999999 +1229.2,-519.04300000000001 +-454.33999999999997,6.3143700000000003 +-1294.79,-471.58699999999999 +178.44999999999999,-417.87599999999998 +518.07500000000005,-1054.5699999999999 +1467.4300000000001,48.032699999999998 +1190.8900000000001,233.43799999999999 +-1506.6900000000001,155.87700000000001 +-972.83000000000004,-788.34299999999996 +-1195.6800000000001,-592.19600000000003 +853.875,1492.0699999999999 +1411.8099999999999,-167.47200000000001 +-428.81900000000002,-1093.9100000000001 +1494.55,246.417 +1141.04,-608.65099999999995 +-1399.1400000000001,-252.27099999999999 +842.702,-258.46499999999997 +1335.5799999999999,-339.262 +-367.63400000000001,-1115.96 +1033.02,-707.64200000000005 +1396.1600000000001,809.255 +-1467.6600000000001,-40.511499999999998 +-1102.27,-676.32600000000002 +547.06299999999999,-1039.8299999999999 +831.93799999999999,1504.4100000000001 +-1505.8900000000001,423.238 +-897.19600000000003,1466.4300000000001 +-458.93000000000001,-1081.6199999999999 +765.07500000000005,1539.49 +1467.02,542.84699999999998 +809.822,1516.4300000000001 +-988.48299999999995,-768.62599999999998 +869.95399999999995,-846.10500000000002 +-178.44999999999999,-417.87599999999998 +1414.8900000000001,-139.05500000000001 +799.16899999999998,-913.25800000000004 +-1304.01,-445.46100000000001 +1060.6300000000001,-665.54300000000001 +1047.04,-686.73099999999999 +-875.63,1479.4100000000001 +575.63199999999995,-1024.29 +62.910400000000003,-1136.9400000000001 +31.419899999999998,-1138.24 +94.352699999999999,-1134.76 +125.723,-1131.72 +-1207.3399999999999,-568.03800000000001 +-488.69,-1068.51 +-31.419899999999998,-1138.24 +156.99600000000001,-1127.8 +1466.1700000000001,77.524500000000003 +787.53300000000002,1528.1199999999999 +-1503.25,186.137 +-723.21900000000005,-974.50800000000004 +-62.910400000000003,-1136.9400000000001 +1153.04,-585.58799999999997 +188.15000000000001,-1123.03 +-1442.4000000000001,723.62300000000005 +-1403.9300000000001,-224.09200000000001 +-94.352699999999999,-1134.76 +1249.0699999999999,-469.20999999999998 +1489.3,276.41399999999999 +-1003.74,-748.59799999999996 +1239.3900000000001,-494.226 +1342.1300000000001,-312.34300000000002 +-853.875,1492.0699999999999 +219.15899999999999,-1117.3900000000001 +-125.723,-1131.72 +-1298.23,1044.78 +697.71799999999996,-538.64700000000005 +1379.6099999999999,837.15999999999997 +603.76099999999997,-1007.97 +-1320.8599999999999,-392.67899999999997 +-1468.1800000000001,-10.997299999999999 +1234.8499999999999,1118.98 +-1497.0699999999999,453.42700000000002 +-156.99600000000001,-1127.8 +-518.07500000000005,-1054.5699999999999 +852.76700000000005,-863.423 +280.64999999999998,-1103.55 +-831.93799999999999,1504.4100000000001 +-765.07500000000005,1539.49 +1455.8099999999999,572.23000000000002 +-188.15000000000001,-1123.03 +673.65099999999995,1581.6300000000001 +-1018.58,-728.26700000000005 +1417.4000000000001,-110.58199999999999 +-1312.7,-419.15499999999997 +-1412.1400000000001,781.02300000000002 +742.45299999999997,1550.53 +-809.822,1516.4300000000001 +311.08499999999998,-1095.3599999999999 +-1218.52,-543.65099999999995 +1483.4400000000001,306.29899999999998 +-219.15899999999999,-1117.3900000000001 +631.42600000000004,-990.86900000000003 +1164.5799999999999,-562.28899999999999 +341.28199999999998,-1086.3299999999999 +1464.3099999999999,106.985 +-547.06299999999999,-1039.8299999999999 +-1468.0999999999999,18.5215 +-1499.2,216.321 +1476.98,336.06099999999998 +1258.25,-444.00299999999999 +-1487.6600000000001,483.43299999999999 +-787.53300000000002,1528.1199999999999 +-1427.5599999999999,752.47500000000002 +-1408.1500000000001,-195.821 +719.67100000000005,1561.23 +-1477.6400000000001,513.24400000000003 +1348.1400000000001,-285.29700000000003 +-1115.6500000000001,-654.029 +-842.702,-258.46499999999997 +-1128.5699999999999,-631.46799999999996 +371.21699999999998,-1076.47 +1073.8,-644.08500000000004 +-1190.8900000000001,233.43799999999999 +-1328.49,-366.04500000000002 +658.60799999999995,-973.01400000000001 +250.001,-1110.8900000000001 +-280.64999999999998,-1103.55 +-575.63199999999995,-1024.29 +696.73599999999999,1571.5999999999999 +1167.24,1189.3399999999999 +400.86700000000002,-1065.78 +-673.65099999999995,1581.6300000000001 +1103.23,-505.572 +-311.08499999999998,-1095.3599999999999 +-1396.1600000000001,809.255 +-1229.2,-519.04300000000001 +1353.5999999999999,-258.13600000000002 +-742.45299999999997,1550.53 +1266.9200000000001,-418.61700000000002 +-1033.02,-707.64200000000005 +-799.16899999999998,-913.25800000000004 +430.21100000000001,-1054.28 +685.28499999999997,-954.41300000000001 +-1141.04,-608.65099999999995 +-1467.4300000000001,48.032699999999998 +-869.95399999999995,-846.10500000000002 +1461.8699999999999,136.40199999999999 +-341.28199999999998,-1086.3299999999999 +-603.76099999999997,-1007.97 +-1494.55,246.417 +650.42100000000005,1591.3299999999999 +1469.9200000000001,365.68599999999998 +-250.001,-1110.8900000000001 +-1411.8099999999999,-167.47200000000001 +-719.67100000000005,1561.23 +-1467.02,542.84699999999998 +1362.5,864.72699999999998 +1444.01,601.38199999999995 +459.22500000000002,-1041.97 +1344.8399999999999,891.94399999999996 +-1335.5799999999999,-339.262 +-1234.8499999999999,1118.98 +-697.71799999999996,-538.64700000000005 +627.05200000000002,1600.6800000000001 +-371.21699999999998,-1076.47 +1086.53,-622.36699999999996 +-696.73599999999999,1571.5999999999999 +-1047.04,-686.73099999999999 +-1060.6300000000001,-665.54300000000001 +711.43799999999999,-935.08100000000002 +1419.3299999999999,-82.063699999999997 +-1379.6099999999999,837.15999999999997 +487.887,-1028.8599999999999 +-631.42600000000004,-990.86900000000003 +603.54899999999998,1609.6900000000001 +1458.8299999999999,165.76400000000001 +399.56999999999999,-785.68100000000004 +1186.25,-515.01800000000003 +1175.6500000000001,-538.76199999999994 +-1414.8900000000001,-139.05500000000001 +-400.86700000000002,-1065.78 +1275.0799999999999,-393.06200000000001 +1326.6300000000001,918.79999999999995 +-852.76700000000005,-863.423 +-1153.04,-585.58799999999997 +-650.42100000000005,1591.3299999999999 +-1466.1700000000001,77.524500000000003 +579.91700000000003,1618.3499999999999 +-1489.3,276.41399999999999 +516.17499999999995,-1014.96 +1462.28,395.16399999999999 +-399.56999999999999,-785.68100000000004 +-1239.3900000000001,-494.226 +-1249.0699999999999,-469.20999999999998 +-1455.8099999999999,572.23000000000002 +-430.21100000000001,-1054.28 +-658.60799999999995,-973.01400000000001 +1098.8199999999999,-600.39800000000002 +-627.05200000000002,1600.6800000000001 +1431.6300000000001,630.29200000000003 +-1342.1300000000001,-312.34300000000002 +737.04499999999996,-915.03300000000002 +556.15999999999997,1626.6700000000001 +-1167.24,1189.3399999999999 +1196.3599999999999,-491.065 +1282.73,-367.34800000000001 +544.06799999999998,-1000.29 +-603.54899999999998,1609.6900000000001 +45.666899999999998,-1101.45 +76.133700000000005,-1099.77 +532.28300000000002,1634.6400000000001 +-459.22500000000002,-1041.97 +106.542,-1097.24 +1420.7,-53.512500000000003 +-15.165100000000001,-1102.3 +136.869,-1093.8699999999999 +484.19400000000002,1649.52 +1358.52,-230.87100000000001 +-45.666899999999998,-1101.45 +1455.2,195.059 +-1483.4400000000001,306.29899999999998 +167.09100000000001,-1089.6600000000001 +1110.6800000000001,488.98899999999998 +-1417.4000000000001,-110.58199999999999 +-76.133700000000005,-1099.77 +-1164.5799999999999,-562.28899999999999 +-579.91700000000003,1618.3499999999999 +508.29300000000001,1642.26 +762.08699999999999,-894.28399999999999 +197.185,-1084.6199999999999 +-1476.98,336.06099999999998 +-685.28499999999997,-954.41300000000001 +641.76199999999994,1537.9000000000001 +-106.542,-1097.24 +571.54399999999998,-984.84799999999996 +-1464.3099999999999,106.985 +227.12799999999999,-1078.75 +-1258.25,-444.00299999999999 +919.375,-792.125 +-1073.8,-644.08500000000004 +-136.869,-1093.8699999999999 +-556.15999999999997,1626.6700000000001 +-487.887,-1028.8599999999999 +1110.6700000000001,-578.18499999999995 +435.68900000000002,1662.99 +1113.8900000000001,1239.45 +-1103.23,-505.572 +256.89699999999999,-1072.05 +1454.04,424.48200000000003 +344.04899999999998,296.80900000000003 +459.99099999999999,1656.4300000000001 +1205.99,-466.91399999999999 +-1348.1400000000001,-285.29700000000003 +-167.09100000000001,-1089.6600000000001 +1418.6700000000001,658.94600000000003 +-532.28300000000002,1634.6400000000001 +-484.19400000000002,1649.52 +1034.3699999999999,-634.66099999999994 +1289.8499999999999,-341.48599999999999 +1405.1300000000001,687.33399999999995 +286.46899999999999,-1064.53 +-197.185,-1084.6199999999999 +-1344.8399999999999,891.94399999999996 +-1362.5,864.72699999999998 +598.58199999999999,-968.65200000000004 +-344.04899999999998,296.80900000000003 +-508.29300000000001,1642.26 +786.54600000000005,-872.85000000000002 +759.26999999999998,447.72699999999998 +1421.48,-24.939800000000002 +-516.17499999999995,-1014.96 +411.29399999999998,1669.1900000000001 +-711.43799999999999,-935.08100000000002 +315.822,-1056.1900000000001 +1362.8900000000001,-203.512 +-227.12799999999999,-1078.75 +1288.6199999999999,971.38900000000001 +1307.8900000000001,945.28499999999997 +-435.68900000000002,1662.99 +1450.99,224.27600000000001 +386.81099999999998,1675.04 +-1444.01,601.38199999999995 +-459.99099999999999,1656.4300000000001 +138.291,1713.55 +-1353.5999999999999,-258.13600000000002 +344.93200000000002,-1047.05 +1445.21,453.62900000000002 +-1469.9200000000001,365.68599999999998 +-1266.9200000000001,-418.61700000000002 +-256.89699999999999,-1072.05 +362.245,1680.52 +-641.76199999999994,1537.9000000000001 +1199.3099999999999,185.375 +-1461.8699999999999,136.40199999999999 +-138.291,1713.55 +-1326.6300000000001,918.79999999999995 +-544.06799999999998,-1000.29 +1122.0699999999999,-555.73900000000003 +625.16099999999994,-951.71400000000006 +373.779,-1037.0999999999999 +337.601,1685.6400000000001 +1215.1300000000001,-442.57400000000001 +-1086.53,-622.36699999999996 +-411.29399999999998,1669.1900000000001 +-286.46899999999999,-1064.53 +1421.7,3.6431100000000001 +312.88400000000001,1690.4100000000001 +810.40200000000004,-850.74599999999998 +-386.81099999999998,1675.04 +-737.04499999999996,-915.03300000000002 +-759.26999999999998,447.72699999999998 +1391.03,715.44399999999996 +288.101,1694.8099999999999 +402.339,-1026.3599999999999 +-362.245,1680.52 +263.25599999999997,1698.8399999999999 +37.753500000000003,1718.7 +-315.822,-1056.1900000000001 +1268.8299999999999,997.09900000000005 +-37.753500000000003,1718.7 +-1175.6500000000001,-538.76199999999994 +651.26199999999994,-934.048 +-337.601,1685.6400000000001 +-1186.25,-515.01800000000003 +1366.7,-176.072 +-1458.8299999999999,165.76400000000001 +-1419.3299999999999,-82.063699999999997 +-571.54399999999998,-984.84799999999996 +238.35499999999999,1702.51 +-312.88400000000001,1690.4100000000001 +430.58999999999997,-1014.83 +163.36500000000001,1711.3399999999999 +-288.101,1694.8099999999999 +213.40199999999999,1705.8199999999999 +1446.1800000000001,253.40100000000001 +62.913600000000002,1717.97 +-1431.6300000000001,630.29200000000003 +-1113.8900000000001,1239.45 +-1275.0799999999999,-393.06200000000001 +980.03899999999999,-715.70600000000002 +-263.25599999999997,1698.8399999999999 +188.404,1708.76 +-344.93200000000002,-1047.05 +-62.913600000000002,1717.97 +-1462.28,395.16399999999999 +-762.08699999999999,-894.28399999999999 +833.63699999999994,-827.99099999999999 +-238.35499999999999,1702.51 +-163.36500000000001,1711.3399999999999 +-1098.8199999999999,-600.39800000000002 +1133.01,-533.06899999999996 +1039.4300000000001,1302.53 +113.188,1715.3900000000001 +1223.79,-418.05500000000001 +-213.40199999999999,1705.8199999999999 +458.512,-1002.52 +-1110.6800000000001,488.98899999999998 +-453.00200000000001,756.13499999999999 +453.00200000000001,756.13499999999999 +-188.404,1708.76 +-113.188,1715.3900000000001 +1296.46,-315.48500000000001 +88.060100000000006,1716.8599999999999 +-1196.3599999999999,-491.065 +1421.3399999999999,32.224499999999999 +676.86400000000003,-915.66499999999996 +-373.779,-1037.0999999999999 +-598.58199999999999,-968.65200000000004 +636.95100000000002,-11.783799999999999 +-88.060100000000006,1716.8599999999999 +12.5854,1719.0699999999999 +-12.5854,1719.0699999999999 +698.404,992.44299999999998 +-1282.73,-367.34800000000001 +1248.53,1022.41 +-919.375,-792.125 +486.08300000000003,-989.44899999999996 +528.31399999999996,-355.99099999999999 +1143.5,-510.18299999999999 +1369.97,-148.559 +-1420.7,-53.512500000000003 +-1455.2,195.059 +-402.339,-1026.3599999999999 +-1358.52,-230.87100000000001 +-698.404,992.44299999999998 +-786.54600000000005,-872.85000000000002 +-1288.6199999999999,971.38900000000001 +-1199.3099999999999,185.375 +856.23400000000004,-804.60199999999998 +-1418.6700000000001,658.94600000000003 +1435.8,482.59199999999998 +117.952,-1061.77 +88.521000000000001,-1064.6300000000001 +-1405.1300000000001,687.33399999999995 +-1307.8900000000001,945.28499999999997 +59.022100000000002,-1066.6700000000001 +-1110.6700000000001,-578.18499999999995 +29.478000000000002,-1067.8900000000001 +701.947,-896.58100000000002 +147.29300000000001,-1058.0999999999999 +1153.53,-487.08999999999997 +-625.16099999999994,-951.71400000000006 +-1034.3699999999999,-634.66099999999994 +-1454.04,424.48200000000003 +513.28099999999995,-975.61699999999996 +176.52099999999999,-1053.6099999999999 +-29.478000000000002,-1067.8900000000001 +1376.3699999999999,743.26499999999999 +1231.9400000000001,-393.36799999999999 +-430.58999999999997,-1014.83 +-59.022100000000002,-1066.6700000000001 +1227.73,1047.3 +-88.521000000000001,-1064.6300000000001 +1302.54,-289.35700000000003 +-1205.99,-466.91399999999999 +-117.952,-1061.77 +1420.4000000000001,60.792900000000003 +234.54900000000001,-1042.23 +1372.6800000000001,-120.98699999999999 +-147.29300000000001,-1058.0999999999999 +-636.95100000000002,-11.783799999999999 +-1289.8499999999999,-341.48599999999999 +263.30399999999997,-1035.3399999999999 +540.08600000000001,-961.03700000000003 +726.49199999999996,-876.80999999999995 +-651.26199999999994,-934.048 +-458.512,-1002.52 +-1039.4300000000001,1302.53 +-176.52099999999999,-1053.6099999999999 +-810.40200000000004,-850.74599999999998 +291.858,-1027.6600000000001 +-1445.21,453.62900000000002 +-1421.48,-24.939800000000002 +-1268.8299999999999,997.09900000000005 +878.17399999999998,-780.596 +-1450.99,224.27600000000001 +-1362.8900000000001,-203.512 +1440.8,282.42399999999998 +320.18799999999999,-1019.1900000000001 +1021.4,-655.32799999999997 +-1391.03,715.44399999999996 +-528.31399999999996,-355.99099999999999 +-2.9952800000000002e-14,454.38400000000001 +-1122.0699999999999,-555.73900000000003 +1425.8,511.36000000000001 +566.47699999999998,-945.72199999999998 +961.40099999999995,1361.1300000000001 +1206.4200000000001,1071.77 +-234.54900000000001,-1042.23 +1415.23,539.92100000000005 +1361.1400000000001,770.78599999999994 +-486.08300000000003,-989.44899999999996 +1345.3699999999999,797.995 +348.27300000000002,-1009.9400000000001 +1308.0899999999999,-263.11200000000002 +205.613,-1048.3299999999999 +-1215.1300000000001,-442.57400000000001 +750.48099999999999,-856.36800000000005 +-676.86400000000003,-915.66499999999996 +-263.30399999999997,-1035.3399999999999 +1418.8900000000001,89.336699999999993 +-1421.7,3.6431100000000001 +-833.63699999999994,-827.99099999999999 +1374.8299999999999,-93.366299999999995 +900.70500000000004,1402.04 +415.15100000000001,-483.21300000000002 +1416.8099999999999,117.84399999999999 +376.09100000000001,-999.90899999999999 +1163.0899999999999,-463.80099999999999 +899.44200000000001,-755.99300000000005 +1434.8299999999999,311.33300000000003 +-980.03899999999999,-715.70600000000002 +-291.858,-1027.6600000000001 +-1248.53,1022.41 +592.43499999999995,-929.68100000000004 +-513.28099999999995,-975.61699999999996 +1239.5999999999999,-368.52100000000002 +603.73699999999997,-203.339 +-1446.1800000000001,253.40100000000001 +-1366.7,-176.072 +976.35799999999995,720.72000000000003 +726.45299999999997,-499.21600000000001 +403.62099999999998,-989.11699999999996 +-205.613,-1048.3299999999999 +1184.6300000000001,1095.8099999999999 +-320.18799999999999,-1019.1900000000001 +1313.1199999999999,-236.761 +-1133.01,-533.06899999999996 +1317.6099999999999,-210.31399999999999 +773.89400000000001,-835.26900000000001 +-701.947,-896.58100000000002 +193.52500000000001,-859.94100000000003 +1329.0599999999999,824.88099999999997 +920.02099999999996,-730.80999999999995 +617.93799999999999,-912.92899999999997 +-415.15100000000001,-483.21300000000002 +-540.08600000000001,-961.03700000000003 +-1227.73,1047.3 +1404.0899999999999,568.26400000000001 +-1223.79,-418.05500000000001 +1414.1600000000001,146.304 +430.84100000000001,-977.56799999999998 +-856.23400000000004,-804.60199999999998 +-348.27300000000002,-1009.9400000000001 +1172.1800000000001,-440.32400000000001 +-1296.46,-315.48500000000001 +-1421.3399999999999,32.224499999999999 +1376.4300000000001,-65.707499999999996 +-961.40099999999995,1361.1300000000001 +-1376.3699999999999,743.26499999999999 +-1143.5,-510.18299999999999 +1428.28,340.11700000000002 +457.73200000000003,-965.26900000000001 +-12.6275,-454.20800000000003 +-1435.8,482.59199999999998 +1246.76,-343.52499999999998 +-726.49199999999996,-876.80999999999995 +-376.09100000000001,-999.90899999999999 +642.96799999999996,-895.47699999999998 +1162.3599999999999,1119.4000000000001 +-566.47699999999998,-945.72199999999998 +1253.4200000000001,-318.39100000000002 +-900.70500000000004,1402.04 +42.8416,-1033.3099999999999 +796.71500000000003,-813.53099999999995 +-1369.97,-148.559 +71.423599999999993,-1031.73 +1392.3900000000001,596.37800000000004 +99.950800000000001,-1029.3599999999999 +-1153.53,-487.08999999999997 +128.40100000000001,-1026.2 +817.09400000000005,1452.3599999999999 +-1206.4200000000001,1071.77 +-42.8416,-1033.3099999999999 +1321.5799999999999,-183.78200000000001 +156.75399999999999,-1022.25 +-71.423599999999993,-1031.73 +-14.226900000000001,-1034.0999999999999 +484.27199999999999,-952.23099999999999 +386.83199999999999,1620.9100000000001 +-1231.9400000000001,-393.36799999999999 +184.98599999999999,-1017.52 +939.89400000000001,-705.06700000000001 +-403.62099999999998,-989.11699999999996 +-99.950800000000001,-1029.3599999999999 +-878.17399999999998,-780.596 +1410.9300000000001,174.70500000000001 +213.07599999999999,-1012.01 +1180.79,-416.67000000000002 +1139.6199999999999,1142.55 +352.16399999999999,-287.13299999999998 +-1302.54,-289.35700000000003 +-603.73699999999997,-203.339 +241.00299999999999,-1005.73 +-128.40100000000001,-1026.2 +-592.43499999999995,-929.68100000000004 +667.505,-877.33900000000006 +-1420.4000000000001,60.792900000000003 +-193.52500000000001,-859.94100000000003 +1377.47,-38.022199999999998 +-750.48099999999999,-856.36800000000005 +-1372.6800000000001,-120.98699999999999 +-1361.1400000000001,770.78599999999994 +-1345.3699999999999,797.995 +268.74599999999998,-998.66999999999996 +818.92600000000004,-791.16899999999998 +510.44,-938.46299999999997 +-156.75399999999999,-1022.25 +-430.84100000000001,-977.56799999999998 +-726.45299999999997,-499.21600000000001 +-1021.4,-655.32799999999997 +1421.1500000000001,368.762 +-1425.8,511.36000000000001 +-1440.8,282.42399999999998 +296.28300000000002,-990.84900000000005 +1259.5599999999999,-293.12700000000001 +-1184.6300000000001,1095.8099999999999 +-352.16399999999999,-287.13299999999998 +-1415.23,539.92100000000005 +-386.83199999999999,1620.9100000000001 +-184.98599999999999,-1017.52 +1413.45,397.25900000000001 +1380.1099999999999,624.25 +-213.07599999999999,-1012.01 +1325,-157.17500000000001 +-899.44200000000001,-755.99300000000005 +323.59199999999998,-982.26999999999998 +-617.93799999999999,-912.92899999999997 +1188.9300000000001,-392.84699999999998 +-976.35799999999995,720.72000000000003 +1312.21,851.43399999999997 +691.53099999999995,-858.52800000000002 +536.21799999999996,-923.97699999999998 +-457.73200000000003,-965.26900000000001 +-241.00299999999999,-1005.73 +-817.09400000000005,1452.3599999999999 +1148.4000000000001,-392.30099999999999 +959.048,-678.78399999999999 +771.37,426.54199999999997 +1407.1300000000001,203.036 +-773.89400000000001,-835.26900000000001 +350.654,-972.93799999999999 +-1308.0899999999999,-263.11200000000002 +-268.74599999999998,-998.66999999999996 +840.50900000000001,-768.20100000000002 +-1418.8900000000001,89.336699999999993 +-1163.0899999999999,-463.80099999999999 +-1329.0599999999999,824.88099999999997 +-1434.8299999999999,311.33300000000003 +1377.96,-10.3215 +-1374.8299999999999,-93.366299999999995 +-1416.8099999999999,117.84399999999999 +1070.74,-571.15499999999997 +-1162.3599999999999,1119.4000000000001 +-484.27199999999999,-952.23099999999999 +561.58500000000004,-908.78200000000004 +377.447,-962.86000000000001 +1276.9200000000001,903.49699999999996 +-642.96799999999996,-895.47699999999998 +715.02700000000004,-839.05999999999995 +1294.8199999999999,877.64300000000003 +1327.9000000000001,-130.505 +-296.28300000000002,-990.84900000000005 +-1239.5999999999999,-368.52100000000002 +1265.2,-267.74599999999998 +-272.33199999999999,-575.91700000000003 +-920.02099999999996,-730.80999999999995 +-1404.0899999999999,568.26400000000001 +272.33199999999999,-575.91700000000003 +1405.1800000000001,425.59500000000003 +1092.77,1187.4400000000001 +109.128,-441.08499999999998 +-1313.1199999999999,-236.761 +403.95100000000002,-952.04499999999996 +1116.4200000000001,1165.23 +636.03300000000002,-36.162100000000002 +55.366900000000001,-1000.61 +-1317.6099999999999,-210.31399999999999 +977.46699999999998,-651.98099999999999 +-323.59199999999998,-982.26999999999998 +83.038899999999998,-998.69299999999998 +-1139.6199999999999,1142.55 +861.44799999999998,-744.64400000000001 +110.64700000000001,-996.01199999999994 +-796.71500000000003,-813.53099999999995 +-27.6524,-1001.76 +1377.8900000000001,17.383400000000002 +-510.44,-938.46299999999997 +1196.5899999999999,-368.86500000000001 +-1414.1600000000001,146.304 +138.17099999999999,-992.56799999999998 +586.52200000000005,-892.89099999999996 +-55.366900000000001,-1000.61 +1187.26,-251.251 +730.68100000000004,1497.6900000000001 +432.971,-137.84299999999999 +-1172.1800000000001,-440.32400000000001 +1402.76,231.28399999999999 +165.589,-988.36400000000003 +664.21000000000004,1528.3299999999999 +-83.038899999999998,-998.69299999999998 +-667.505,-877.33900000000006 +-1392.3900000000001,596.37800000000004 +737.97500000000002,-818.94899999999996 +-350.654,-972.93799999999999 +-1428.28,340.11700000000002 +1270.3299999999999,-242.256 +430.14600000000002,-940.5 +-1376.4300000000001,-65.707499999999996 +-110.64700000000001,-996.01199999999994 +192.88,-983.40300000000002 +27.6524,-1001.76 +1258.5,928.98500000000001 +1068.6800000000001,1209.1600000000001 +1396.3399999999999,453.75900000000001 +-939.89400000000001,-705.06700000000001 +220.023,-977.68799999999999 +1203.76,-344.73399999999998 +1330.25,-103.783 +-138.17099999999999,-992.56799999999998 +-1246.76,-343.52499999999998 +-536.21799999999996,-923.97699999999998 +870.30100000000004,-139.73599999999999 +611.00900000000001,-876.31600000000003 +1210.45,-320.46300000000002 +-1253.4200000000001,-318.39100000000002 +246.99799999999999,-971.22400000000005 +-818.92600000000004,-791.16899999999998 +1367.29,651.87 +881.72699999999998,-720.51700000000005 +-377.447,-962.86000000000001 +-165.589,-988.36400000000003 +291.99900000000002,348.13999999999999 +1353.9000000000001,679.226 +456.01100000000002,-928.23500000000001 +-1321.5799999999999,-183.78200000000001 +273.78300000000002,-964.01599999999996 +995.13699999999994,-624.678 +-432.971,-137.84299999999999 +-691.53099999999995,-858.52800000000002 +1377.26,45.081200000000003 +-463.68900000000002,1121.47 +-192.88,-983.40300000000002 +-1180.79,-416.67000000000002 +-1410.9300000000001,174.70500000000001 +463.68900000000002,1121.47 +760.35799999999995,-798.21100000000001 +300.35899999999998,-956.06899999999996 +-1312.21,851.43399999999997 +-730.68100000000004,1497.6900000000001 +-664.21000000000004,1528.3299999999999 +-771.37,426.54199999999997 +121.889,1661.96 +145.64599999999999,-869.33199999999999 +-145.64599999999999,-869.33199999999999 +-403.95100000000002,-952.04499999999996 +1397.8299999999999,259.43900000000002 +1044.1500000000001,1230.4000000000001 +-561.58500000000004,-908.78200000000004 +-220.023,-977.68799999999999 +481.52600000000001,-915.25900000000001 +-1380.1099999999999,624.25 +635.029,-859.07000000000005 +1274.9400000000001,-216.66800000000001 +-1377.47,-38.022199999999998 +-1421.1500000000001,368.762 +326.70400000000001,-947.38999999999999 +-121.889,1661.96 +-1092.77,1187.4400000000001 +-1413.45,397.25900000000001 +901.33000000000004,-695.83799999999997 +-246.99799999999999,-971.22400000000005 +1332.0699999999999,-77.018199999999993 +1386.9300000000001,481.73899999999998 +-840.50900000000001,-768.20100000000002 +-1116.4200000000001,1165.23 +-959.048,-678.78399999999999 +-715.02700000000004,-839.05999999999995 +-1259.5599999999999,-293.12700000000001 +-1276.9200000000001,903.49699999999996 +1019.2,1251.1500000000001 +-430.14600000000002,-940.5 +-1294.8199999999999,877.64300000000003 +352.79899999999998,-937.98500000000001 +506.673,-901.58100000000002 +-273.78300000000002,-964.01599999999996 +782.15899999999999,-776.86199999999997 +1339.98,706.30799999999999 +-1188.9300000000001,-392.84699999999998 +-291.99900000000002,348.13999999999999 +-1148.4000000000001,-392.30099999999999 +-1325,-157.17500000000001 +-586.52200000000005,-892.89099999999996 +1392.3299999999999,287.488 +658.56100000000004,-841.16600000000005 +1012.04,-596.89700000000005 +1376.0799999999999,72.760800000000003 +-1407.1300000000001,203.036 +40.185600000000001,-969.24800000000005 +66.995500000000007,-967.76400000000001 +-300.35899999999998,-956.06899999999996 +-1068.6800000000001,1209.1600000000001 +794.47900000000004,917.34199999999998 +93.754099999999994,-965.53999999999996 +481.04700000000003,1595.49 +378.62400000000002,-927.86199999999997 +-1070.74,-571.15499999999997 +573.61199999999997,1564.5899999999999 +120.441,-962.57500000000005 +1220.1300000000001,978.82600000000002 +-40.185600000000001,-969.24800000000005 +1279.04,-190.99199999999999 +1239.5599999999999,954.09900000000005 +-456.01100000000002,-928.23500000000001 +-870.30100000000004,-139.73599999999999 +531.43100000000004,-887.21299999999997 +147.035,-958.87300000000005 +-1377.96,-10.3215 +-861.44799999999998,-744.64400000000001 +-66.995500000000007,-967.76400000000001 +993.84400000000005,1271.3900000000001 +173.517,-954.43600000000004 +199.86600000000001,-949.26800000000003 +-737.97500000000002,-818.94899999999996 +-1405.1800000000001,425.59500000000003 +-93.754099999999994,-965.53999999999996 +-326.70400000000001,-947.38999999999999 +1325.5,733.10400000000004 +-611.00900000000001,-876.31600000000003 +61.723599999999998,-634.06299999999999 +1333.3499999999999,-50.2224 +681.58900000000006,-822.61699999999996 +-977.46699999999998,-651.98099999999999 +404.15899999999999,-917.02700000000004 +-1258.5,928.98500000000001 +580.94500000000005,-662.91200000000003 +920.24400000000003,-670.62599999999998 +226.06200000000001,-943.37300000000005 +-1327.9000000000001,-130.505 +803.36000000000001,-754.91700000000003 +-120.441,-962.57500000000005 +-1265.2,-267.74599999999998 +-1187.26,-251.251 +-110.06,-627.48099999999999 +1216.6500000000001,-296.06400000000002 +252.08500000000001,-936.755 +-481.04700000000003,1595.49 +-1044.1500000000001,1230.4000000000001 +-481.52600000000001,-915.25900000000001 +-147.035,-958.87300000000005 +1200.21,1003.16 +555.78200000000004,-872.16499999999996 +146.209,1660 +-352.79899999999998,-937.98500000000001 +-1196.5899999999999,-368.86500000000001 +277.91399999999999,-929.41899999999998 +1386.27,315.42200000000003 +-573.61199999999997,1564.5899999999999 +-1377.8900000000001,17.383400000000002 +-173.517,-954.43600000000004 +429.38400000000001,-905.49000000000001 +1374.3299999999999,100.411 +-13.344900000000001,-969.98900000000003 +1028.1800000000001,-568.65899999999999 +-146.209,1660 +-1402.76,231.28399999999999 +968.08299999999997,1291.1099999999999 +-199.86600000000001,-949.26800000000003 +-881.72699999999998,-720.51700000000005 +-1396.3399999999999,453.75900000000001 +303.53100000000001,-921.37199999999996 +-635.029,-859.07000000000005 +1113.1700000000001,-483.29000000000002 +-1367.29,651.87 +410.52300000000002,1615.0699999999999 +-1353.9000000000001,679.226 +-1019.2,1251.1500000000001 +110.06,-627.48099999999999 +1282.6199999999999,-165.239 +704.09500000000003,-803.43799999999999 +-760.35799999999995,-798.21100000000001 +-1270.3299999999999,-242.256 +-226.06200000000001,-943.37300000000005 +617.322,157.34899999999999 +-506.673,-901.58100000000002 +-378.62400000000002,-927.86199999999997 +579.70799999999997,-856.44899999999996 +-61.723599999999998,-634.06299999999999 +-1203.76,-344.73399999999998 +328.91500000000002,-912.61800000000005 +823.94500000000005,-732.39300000000003 +1334.0899999999999,-23.406400000000001 +454.28100000000001,-893.25900000000001 +938.452,-644.89999999999998 +1376.97,509.52499999999998 +-1330.25,-103.783 +-995.13699999999994,-624.678 +-252.08500000000001,-936.755 +-1210.45,-320.46300000000002 +-410.52300000000002,1615.0699999999999 +1222.3499999999999,-271.54399999999998 +354.04700000000003,-903.16499999999996 +-404.15899999999999,-917.02700000000004 +-794.47900000000004,917.34199999999998 +218.96100000000001,1651.98 +-993.84400000000005,1271.3900000000001 +-277.91399999999999,-929.41899999999998 +-658.56100000000004,-841.16600000000005 +1043.52,-539.98500000000001 +-901.33000000000004,-695.83799999999997 +-531.43100000000004,-887.21299999999997 +-1377.26,45.081200000000003 +1379.6500000000001,343.22800000000001 +51.930300000000003,-938.50199999999995 +1372.04,128.02099999999999 +726.06200000000001,-783.64300000000003 +77.884799999999998,-936.70500000000004 +478.82900000000001,-880.34400000000005 +603.18899999999996,-840.07600000000002 +-782.15899999999999,-776.86199999999997 +-1397.8299999999999,259.43900000000002 +103.78,-934.19100000000003 +-25.936,-939.58000000000004 +378.90699999999998,-893.02099999999996 +843.89999999999998,-709.30899999999997 +-218.96100000000001,1651.98 +-1386.9300000000001,481.73899999999998 +129.595,-930.96100000000001 +-303.53100000000001,-921.37199999999996 +-1339.98,706.30799999999999 +-51.930300000000003,-938.50199999999995 +1334.29,3.4191199999999999 +-1220.1300000000001,978.82600000000002 +1285.6800000000001,-139.41999999999999 +155.31100000000001,-927.01700000000005 +-1274.9400000000001,-216.66800000000001 +-77.884799999999998,-936.70500000000004 +-1239.5599999999999,954.09900000000005 +206.36600000000001,-917.00300000000004 +915.39800000000002,1328.98 +180.90799999999999,-922.36400000000003 +-617.322,157.34899999999999 +231.667,-910.94100000000003 +1129.4400000000001,443.94400000000002 +955.94100000000003,-618.67999999999995 +1355.3699999999999,564.46799999999996 +1310.5,759.60400000000004 +315.28199999999998,1636.3299999999999 +-429.38400000000001,-905.49000000000001 +24.322099999999999,-881.11199999999997 +1227.5699999999999,-246.91499999999999 +-103.78,-934.19100000000003 +256.79000000000002,-904.17999999999995 +-968.08299999999997,1291.1099999999999 +-1332.0699999999999,-77.018199999999993 +403.47800000000001,-882.19200000000001 +-328.91500000000002,-912.61800000000005 +1366.45,537.10500000000002 +941.93100000000004,1310.3099999999999 +-555.78200000000004,-872.16499999999996 +-681.58900000000006,-822.61699999999996 +1179.8,1027.0799999999999 +503.00999999999999,-866.755 +-580.94500000000005,-662.91200000000003 +-1012.04,-596.89700000000005 +-129.595,-930.96100000000001 +-636.03300000000002,-36.162100000000002 +626.20899999999995,-823.05999999999995 +888.495,1347.1199999999999 +281.71600000000001,-896.72699999999998 +1369.1900000000001,155.57900000000001 +863.20799999999997,-685.68100000000004 +-1392.3299999999999,287.488 +-1200.21,1003.16 +747.47199999999998,-763.24800000000005 +-155.31100000000001,-927.01700000000005 +-315.28199999999998,1636.3299999999999 +-1325.5,733.10400000000004 +1232.28,-222.185 +-24.322099999999999,-881.11199999999997 +-803.36000000000001,-754.91700000000003 +306.42599999999999,-888.58600000000001 +-1376.0799999999999,72.760800000000003 +-354.04700000000003,-903.16499999999996 +-180.90799999999999,-922.36400000000003 +1288.23,-113.544 +-454.28100000000001,-893.25900000000001 +427.73899999999998,-870.68700000000001 +1058.0699999999999,-510.89699999999999 +-206.36600000000001,-917.00300000000004 +-920.24400000000003,-670.62599999999998 +25.936,-939.58000000000004 +543.375,-694.04100000000005 +-231.667,-910.94100000000003 +1333.95,30.243200000000002 +526.80600000000004,-852.50099999999998 +-579.70799999999997,-856.44899999999996 +1140.28,-415.31 +-206.38499999999999,1195.8800000000001 +330.90100000000001,-879.76499999999999 +-1279.04,-190.99199999999999 +1137.55,1073.6800000000001 +1294.96,785.79700000000003 +1278.9000000000001,811.673 +648.74800000000005,-805.41399999999999 +-256.79000000000002,-904.17999999999995 +229.12299999999999,851.14800000000002 +-704.09500000000003,-803.43799999999999 +-378.90699999999998,-893.02099999999996 +972.697,-591.98599999999999 +1343.75,591.60299999999995 +1158.9100000000001,1050.5999999999999 +48.792200000000001,1665.71 +-48.792200000000001,1665.71 +-1333.3499999999999,-50.2224 +451.673,-858.51499999999999 +-478.82900000000001,-880.34400000000005 +768.30999999999995,-742.26900000000001 +355.12299999999999,-870.26999999999998 +206.38499999999999,1195.8800000000001 +-281.71600000000001,-896.72699999999998 +-1216.6500000000001,-296.06400000000002 +-915.39800000000002,1328.98 +573.89700000000005,1069.28 +-1028.1800000000001,-568.65899999999999 +1365.78,183.07400000000001 +-1386.27,315.42200000000003 +-823.94500000000005,-732.39300000000003 +550.19899999999996,-837.59500000000003 +833.62199999999996,1381.75 +-109.128,-441.08499999999998 +137.898,-899.28300000000002 +37.688200000000002,-909.01300000000003 +881.85400000000004,-661.52800000000002 +227.46600000000001,-595.06700000000001 +-403.47800000000001,-882.19200000000001 +-603.18899999999996,-840.07600000000002 +1372.47,370.89600000000002 +379.07299999999998,-860.10799999999995 +-1129.4400000000001,443.94400000000002 +1290.25,-87.622299999999996 +-1374.3299999999999,100.411 +-941.93100000000004,1310.3099999999999 +-306.42599999999999,-888.58600000000001 +670.79100000000005,-787.14999999999998 +-1113.1700000000001,-483.29000000000002 +-37.688200000000002,-909.01300000000003 +-888.495,1347.1199999999999 +-938.452,-644.89999999999998 +475.25999999999999,-845.68600000000004 +-1376.97,509.52499999999998 +212.01300000000001,-884.74599999999998 +236.41900000000001,-878.53999999999996 +1071.8,-481.41800000000001 +1333.0699999999999,57.055100000000003 +308.47399999999999,-855.90300000000002 +-726.06200000000001,-783.64300000000003 +-503.00999999999999,-866.755 +861.23199999999997,1364.71 +-1282.6199999999999,-165.239 +1262.3299999999999,837.22000000000003 +-137.898,-899.28300000000002 +-330.90100000000001,-879.76499999999999 +402.733,-849.28700000000003 +1206.48,-130.83099999999999 +805.67399999999998,1398.23 +573.16899999999998,-822.04600000000005 +1331.5899999999999,618.49800000000005 +788.55899999999997,-720.72000000000003 +-229.12299999999999,851.14800000000002 +-427.73899999999998,-870.68700000000001 +988.70899999999995,-564.83799999999997 +-1334.0899999999999,-23.406400000000001 +-1179.8,1027.0799999999999 +-543.375,-694.04100000000005 +-843.89999999999998,-709.30899999999997 +187.44499999999999,-890.27499999999998 +62.832000000000001,-907.62199999999996 +1236.5,-197.36699999999999 +162.73400000000001,-895.12199999999996 +-626.20899999999995,-823.05999999999995 +-1043.52,-539.98500000000001 +112.956,-902.755 +498.48399999999998,-832.20799999999997 +-1222.3499999999999,-271.54399999999998 +1093.47,1118.54 +-1310.5,759.60400000000004 +87.927700000000002,-905.53599999999994 +692.31899999999996,-768.28399999999999 +1361.8299999999999,210.495 +-1379.6500000000001,343.22800000000001 +-355.12299999999999,-870.26999999999998 +260.64299999999997,-871.65999999999997 +777.40099999999995,1414.1400000000001 +-62.832000000000001,-907.62199999999996 +622.89400000000001,133.59800000000001 +899.82500000000005,-636.86800000000005 +426.084,-837.81600000000003 +-212.01300000000001,-884.74599999999998 +-526.80600000000004,-852.50099999999998 +-236.41900000000001,-878.53999999999996 +-1355.3699999999999,564.46799999999996 +1291.75,-61.665100000000002 +-1372.04,128.02099999999999 +1364.74,398.41300000000001 +284.66699999999997,-864.11199999999997 +-955.94100000000003,-618.67999999999995 +1115.74,1096.3399999999999 +-87.927700000000002,-905.53599999999994 +595.70100000000002,-805.86800000000005 +-451.673,-858.51499999999999 +-747.47199999999998,-763.24800000000005 +-833.62199999999996,1381.75 +-573.89700000000005,1069.28 +-112.956,-902.755 +-1366.45,537.10500000000002 +808.20399999999995,-698.62 +-1137.55,1073.6800000000001 +-863.20799999999997,-685.68100000000004 +1331.6600000000001,83.843999999999994 +60.972299999999997,1212.02 +-1334.29,3.4191199999999999 +-162.73400000000001,-895.12199999999996 +-187.44499999999999,-890.27499999999998 +-379.07299999999998,-860.10799999999995 +-308.47399999999999,-855.90300000000002 +-1285.6800000000001,-139.41999999999999 +-227.46600000000001,-595.06700000000001 +-1158.9100000000001,1050.5999999999999 +521.32600000000002,-818.09299999999996 +1084.71,-451.56999999999999 +325.35899999999998,1169.1300000000001 +449.108,-825.702 +-648.74800000000005,-805.41399999999999 +-1227.5699999999999,-246.91499999999999 +332.04399999999998,-847.03700000000003 +1318.8800000000001,645.14400000000001 +1070.76,1140.3 +-861.23199999999997,1364.71 +1003.96,-537.25800000000004 +713.31700000000001,-748.82899999999995 +-805.67399999999998,1398.23 +-1278.9000000000001,811.673 +-550.19899999999996,-837.59500000000003 +1240.22,-172.46799999999999 +-1294.96,785.79700000000003 +-1369.1900000000001,155.57900000000001 +-260.64299999999997,-871.65999999999997 +-475.25999999999999,-845.68600000000004 +827.23000000000002,-675.98400000000004 +617.77700000000004,-789.07299999999998 +917.10699999999997,-611.72000000000003 +-402.733,-849.28700000000003 +1356.45,425.76999999999998 +-1232.28,-222.185 +1357.3199999999999,237.83000000000001 +-768.30999999999995,-742.26900000000001 +355.36000000000001,-837.52300000000002 +-1058.0699999999999,-510.89699999999999 +401.15699999999998,-816.57799999999997 +1347.6199999999999,452.95400000000001 +543.76800000000003,-803.351 +-60.972299999999997,1212.02 +1352.26,265.06999999999999 +1227.6500000000001,887.28899999999999 +471.78899999999999,-812.95600000000002 +1245.24,862.42899999999997 +-284.66699999999997,-864.11199999999997 +1292.73,-35.683 +-1343.75,591.60299999999995 +-777.40099999999995,1414.1400000000001 +-1288.23,-113.544 +-972.697,-591.98599999999999 +690.74300000000005,1458.4300000000001 +-670.79100000000005,-787.14999999999998 +-1140.28,-415.31 +378.40300000000002,-827.36699999999996 +1329.7,110.599 +-1333.95,30.243200000000002 +1047.6199999999999,1161.5999999999999 +-573.16899999999998,-822.04600000000005 +748.81399999999996,1429.48 +-426.084,-837.81600000000003 +733.76900000000001,-728.79999999999995 +639.37900000000002,-771.67200000000003 +-881.85400000000004,-661.52800000000002 +1169.96,-322.36200000000002 +-498.48399999999998,-832.20799999999997 +-325.35899999999998,1169.1300000000001 +1096.8,-421.37599999999998 +-1093.47,1118.54 +-12.515499999999999,-909.70799999999997 +494.108,-799.58699999999999 +-1262.3299999999999,837.22000000000003 +1018.45,-509.26600000000002 +661.28300000000002,1472.02 +565.79300000000001,-787.99400000000003 +-332.04399999999998,-847.03700000000003 +1243.4300000000001,-147.499 +-622.89400000000001,133.59800000000001 +-1365.78,183.07400000000001 +1346.6600000000001,292.20299999999997 +845.62199999999996,-652.83000000000004 +-1372.47,370.89600000000002 +-788.55899999999997,-720.72000000000003 +933.68499999999995,-586.10299999999995 +719.92399999999998,1444.25 +1209.5599999999999,911.79100000000005 +-1115.74,1096.3399999999999 +1338.24,479.95499999999998 +-449.108,-825.702 +-692.31899999999996,-768.28399999999999 +-1331.5899999999999,618.49800000000005 +1024.0599999999999,1182.4300000000001 +1293.1900000000001,-9.6865100000000002 +-595.70100000000002,-805.86800000000005 +423.60300000000001,-805.16200000000003 +1327.21,137.309 +-1290.25,-87.622299999999996 +-1071.8,-481.41800000000001 +516.048,-785.60599999999999 +660.49099999999999,-753.68100000000004 +-355.36000000000001,-837.52300000000002 +-521.32600000000002,-818.09299999999996 +-690.74300000000005,1458.4300000000001 +310.31,-825.01999999999998 +-1070.76,1140.3 +-988.70899999999995,-564.83799999999997 +753.65800000000002,-708.21199999999999 +-1333.0699999999999,57.055100000000003 +-401.15699999999998,-816.57799999999997 +1291.8800000000001,697.64099999999996 +-1206.48,-130.83099999999999 +587.38499999999999,-772.03300000000002 +-899.82500000000005,-636.86800000000005 +467.505,-780.49000000000001 +-748.81399999999996,1429.48 +1305.6500000000001,671.52800000000002 +445.72500000000002,-793.13 +1246.1500000000001,-122.471 +-808.20399999999995,-698.62 +-378.40300000000002,-827.36699999999996 +-661.28300000000002,1472.02 +-471.78899999999999,-812.95600000000002 +-1236.5,-197.36699999999999 +537.59299999999996,-771.02300000000002 +1108.04,-390.85899999999998 +-1361.8299999999999,210.495 +1032.1500000000001,-480.88400000000001 +1000.08,1202.77 +1190.99,935.92399999999998 +1340.51,319.21699999999998 +-617.77700000000004,-789.07299999999998 +863.36599999999999,-629.17600000000004 +-1364.74,398.41300000000001 +1293.1199999999999,16.3139 +-543.76800000000003,-803.351 +-713.31700000000001,-748.82899999999995 +772.971,-687.08199999999999 +949.54899999999998,-560.03800000000001 +681.09699999999998,-735.11199999999997 +-719.92399999999998,1444.25 +-1047.6199999999999,1161.5999999999999 +-1318.8800000000001,645.14400000000001 +1328.3199999999999,506.762 +-1291.75,-61.665100000000002 +1324.1800000000001,163.964 +608.52800000000002,-755.48000000000002 +-1227.6500000000001,887.28899999999999 +-310.31,-825.01999999999998 +540.88999999999999,1520.3900000000001 +488.92700000000002,-767.25199999999995 +-1245.24,862.42899999999997 +-494.108,-799.58699999999999 +631.55499999999995,1485.02 +-1331.6600000000001,83.843999999999994 +975.697,1222.6400000000001 +-1084.71,-451.56999999999999 +558.72699999999998,-755.84900000000005 +1277.5899999999999,723.47299999999996 +-1003.96,-537.25800000000004 +863.76599999999996,175.66800000000001 +-917.10699999999997,-611.72000000000003 +-827.23000000000002,-675.98400000000004 +1213.46,15.3089 +510.214,1530.96 +-639.37900000000002,-771.67200000000003 +-423.60300000000001,-805.16200000000003 +1248.3599999999999,-97.393699999999995 +-1356.45,425.76999999999998 +601.57299999999998,1497.4200000000001 +-565.79300000000001,-787.99400000000003 +-1347.6199999999999,452.95400000000001 +-733.76900000000001,-728.79999999999995 +701.18100000000004,-715.98000000000002 +-1240.22,-172.46799999999999 +-1024.0599999999999,1182.4300000000001 +791.69000000000005,-665.42600000000004 +-1357.3199999999999,237.83000000000001 +629.20299999999997,-738.34900000000005 +880.44799999999998,-605.03999999999996 +1333.8299999999999,346.10300000000001 +479.33199999999999,1540.9000000000001 +1292.53,42.3078 +-1352.26,265.06999999999999 +-516.048,-785.60599999999999 +-540.88999999999999,1520.3900000000001 +48.698799999999999,-880.10199999999998 +1045.0699999999999,-452.13400000000001 +447.447,79.091999999999999 +964.68499999999995,-533.54300000000001 +1118.4300000000001,-360.04300000000001 +571.34699999999998,1509.21 +-1209.5599999999999,911.79100000000005 +579.43200000000002,-740.096 +-445.72500000000002,-793.13 +509.97500000000002,-753.42600000000004 +1317.8599999999999,533.36500000000001 +-467.505,-780.49000000000001 +-1292.73,-35.683 +-48.698799999999999,-880.10199999999998 +1320.6199999999999,190.55199999999999 +-631.55499999999995,1485.02 +-510.214,1530.96 +-845.62199999999996,-652.83000000000004 +121.53100000000001,-873.02999999999997 +-1329.7,110.599 +1250.0599999999999,-72.276799999999994 +-660.49099999999999,-753.68100000000004 +809.80399999999997,-643.25999999999999 +-1096.8,-421.37599999999998 +1262.79,749.01099999999997 +-1000.08,1202.77 +1152.4000000000001,983.04499999999996 +442.43099999999998,-762.36800000000005 +-1018.45,-509.26600000000002 +-587.38499999999999,-772.03300000000002 +-1169.96,-322.36200000000002 +720.72900000000004,-696.29999999999995 +-601.57299999999998,1497.4200000000001 +-933.68499999999995,-586.10299999999995 +550.88199999999995,-724.05399999999997 +287.358,-833.29200000000003 +-479.33199999999999,1540.9000000000001 +649.39700000000005,-720.65200000000004 +-537.59299999999996,-771.02300000000002 +-753.65800000000002,-708.21199999999999 +1171.9300000000001,959.67899999999997 +-1346.6600000000001,292.20299999999997 +1251.26,-47.130699999999997 +925.75800000000004,1260.8699999999999 +-1338.24,479.95499999999998 +530.63199999999995,-739.024 +-1243.4300000000001,-147.499 +1192.0699999999999,-227.33099999999999 +-1291.8800000000001,697.64099999999996 +599.69299999999998,-723.77499999999998 +896.85599999999999,-580.44100000000003 +-121.53100000000001,-873.02999999999997 +-571.34699999999998,1509.21 +-488.92700000000002,-767.25199999999995 +-975.697,1222.6400000000001 +-1190.99,935.92399999999998 +1326.5999999999999,372.84800000000001 +1291.4200000000001,68.284499999999994 +950.91899999999998,1242.01 +979.08199999999999,-506.63999999999999 +217.251,-854.255 +1057.1800000000001,-423.03699999999998 +-1305.6500000000001,671.52800000000002 +1127.97,-328.94999999999999 +-1327.21,137.309 +553.596,315.24200000000002 +-72.866399999999999,448.50299999999999 +-1293.1900000000001,-9.6865100000000002 +-681.09699999999998,-735.11199999999997 +322.26900000000001,1581.23 +1316.52,217.06399999999999 +-608.52800000000002,-755.48000000000002 +1132.4000000000001,1006.01 +-772.971,-687.08199999999999 +739.72400000000005,-676.08600000000001 +-558.72699999999998,-755.84900000000005 +669.09400000000005,-702.40300000000002 +900.22199999999998,1279.23 +290.41500000000002,1587.3900000000001 +448.25700000000001,1550.23 +-863.36599999999999,-629.17600000000004 +-447.447,79.091999999999999 +565.25900000000001,293.815 +827.29700000000003,-620.601 +258.44299999999998,1592.9100000000001 +619.495,-706.90099999999995 +-1246.1500000000001,-122.471 +-1032.1500000000001,-480.88400000000001 +-863.76599999999996,175.66800000000001 +72.866399999999999,448.50299999999999 +-949.54899999999998,-560.03800000000001 +-322.26900000000001,1581.23 +417,1558.9300000000001 +-1108.04,-390.85899999999998 +-1340.51,319.21699999999998 +226.36699999999999,1597.78 +-287.358,-833.29200000000003 +-1328.3199999999999,506.762 +-290.41500000000002,1587.3900000000001 +-1277.5899999999999,723.47299999999996 +-217.251,-854.255 +1306.8699999999999,559.75199999999995 +912.577,-555.39700000000005 +194.19900000000001,1602.01 +-553.596,315.24200000000002 +-258.44299999999998,1592.9100000000001 +-1293.1199999999999,16.3139 +-509.97500000000002,-753.42600000000004 +385.57499999999999,1567 +1318.8299999999999,399.44299999999998 +1289.78,94.233699999999999 +758.15200000000004,-655.35400000000004 +-701.18100000000004,-715.98000000000002 +-448.25700000000001,1550.23 +-629.20299999999997,-738.34900000000005 +-226.36699999999999,1597.78 +570.71000000000004,-708.53099999999995 +-1213.46,15.3089 +688.27700000000004,-683.61599999999999 +-579.43200000000002,-740.096 +-1324.1800000000001,163.964 +992.72900000000004,-479.34800000000001 +-925.75800000000004,1260.8699999999999 +-194.19900000000001,1602.01 +-791.69000000000005,-665.42600000000004 +353.99400000000003,1574.4300000000001 +1068.48,-393.61599999999999 +-417,1558.9300000000001 +-442.43099999999998,-762.36800000000005 +1231.6600000000001,799.17100000000005 +-1152.4000000000001,983.04499999999996 +1247.48,774.24800000000005 +848.06799999999998,1314.3800000000001 +638.822,-689.48500000000001 +1136.6400000000001,-297.60599999999999 +33.770600000000002,-852.43299999999999 +66.382800000000003,-850.51499999999999 +-880.44799999999998,-605.03999999999996 +-950.91899999999998,1242.01 +-1.10884,-853.101 +-550.88199999999995,-724.05399999999997 +-385.57499999999999,1567 +775.99900000000002,-634.12 +98.8977,-847.34900000000005 +844.15599999999995,-597.46699999999998 +-33.770600000000002,-852.43299999999999 +-530.63199999999995,-739.024 +-1248.3599999999999,-97.393699999999995 +131.268,-842.94200000000001 +1251.96,-21.965499999999999 +-1171.9300000000001,959.67899999999997 +-66.382800000000003,-850.51499999999999 +-1333.8299999999999,346.10300000000001 +-353.99400000000003,1574.4300000000001 +-1262.79,749.01099999999997 +-964.68499999999995,-533.54300000000001 +-900.22199999999998,1279.23 +-1317.8599999999999,533.36500000000001 +-720.72900000000004,-696.29999999999995 +590.101,-692.46400000000006 +-649.39700000000005,-720.65200000000004 +-1045.0699999999999,-452.13400000000001 +163.44499999999999,-837.298 +-809.80399999999997,-643.25999999999999 +1295.3599999999999,585.91200000000003 +874.322,1297.0699999999999 +706.93399999999997,-664.30499999999995 +-98.8977,-847.34900000000005 +-599.69299999999998,-723.77499999999998 +1283.3199999999999,611.83600000000001 +831.27099999999996,293.15199999999999 +-1292.53,42.3078 +821.47199999999998,1331.1700000000001 +-1118.4300000000001,-360.04300000000001 +927.59900000000005,-529.92700000000002 +1287.6300000000001,120.145 +1111.95,1028.5799999999999 +1215.3399999999999,823.77099999999996 +387.19299999999998,237.79499999999999 +376.95999999999998,-513.56299999999999 +195.38300000000001,-830.42600000000004 +-1320.6199999999999,190.55199999999999 +-1132.4000000000001,1006.01 +-131.268,-842.94200000000001 +1311.8900000000001,243.48699999999999 +657.65999999999997,-671.53999999999996 +1005.62,-451.68900000000002 +161.952,1605.5899999999999 +-1250.0599999999999,-72.276799999999994 +129.64099999999999,1608.52 +227.03399999999999,-822.33600000000001 +377.67200000000003,-796.43799999999999 +-565.25900000000001,293.815 +-896.85599999999999,-580.44100000000003 +1205.79,137.012 +725.048,-644.48500000000001 +-163.44499999999999,-837.298 +-387.19299999999998,237.79499999999999 +1252.1500000000001,3.2086299999999999 +97.276300000000006,1610.8 +1078.97,-363.89299999999997 +860.36800000000005,-573.875 +609.03999999999996,-675.86699999999996 +794.54399999999998,1347.4100000000001 +-161.952,1605.5899999999999 +1069.7,1072.45 +64.872699999999995,1612.4300000000001 +-129.64099999999999,1608.52 +793.25199999999995,-612.39999999999998 +32.442900000000002,1613.4100000000001 +-97.276300000000006,1610.8 +-669.09400000000005,-702.40300000000002 +0,1613.74 +-64.872699999999995,1612.4300000000001 +-32.442900000000002,1613.4100000000001 +-848.06799999999998,1314.3800000000001 +-739.72400000000005,-676.08600000000001 +627.51199999999994,-658.75199999999995 +-1326.5999999999999,372.84800000000001 +1144.4400000000001,-266.03399999999999 +-1251.26,-47.130699999999997 +-619.495,-706.90099999999995 +827.10900000000004,-304.697 +258.35199999999998,-813.04100000000005 +-1192.0699999999999,-227.33099999999999 +1310.54,425.87700000000001 +-979.08199999999999,-506.63999999999999 +1284.95,146.00700000000001 +-195.38300000000001,-830.42600000000004 +1091.05,1050.72 +-1057.1800000000001,-423.03699999999998 +1270.76,637.51199999999994 +-1291.4200000000001,68.284499999999994 +1251.8299999999999,28.381399999999999 +1198.54,848.03800000000001 +-827.29700000000003,-620.601 +1306.73,269.81299999999999 +742.60799999999995,-624.17200000000003 +941.90999999999997,-504.05099999999999 +-570.71000000000004,-708.53099999999995 +675.99400000000003,-653.08100000000002 +-1127.97,-328.94999999999999 +-1316.52,217.06399999999999 +876.70000000000005,-91.366399999999999 +289.291,-802.55399999999997 +-821.47199999999998,1331.1700000000001 +-227.03399999999999,-822.33600000000001 +-874.322,1297.0699999999999 +-831.27099999999996,293.15199999999999 +1047.9300000000001,1093.74 +-758.15200000000004,-655.35400000000004 +-688.27700000000004,-683.61599999999999 +-1306.8699999999999,559.75199999999995 +1017.73,-423.68400000000003 +48.520600000000002,-825.01400000000001 +16.8978,-826.26599999999996 +1203.6099999999999,-155.06 +80.072199999999995,-822.55100000000004 +-912.577,-555.39700000000005 +809.89700000000005,-590.21100000000001 +-16.8978,-826.26599999999996 +875.92100000000005,-549.84299999999996 +-638.822,-689.48500000000001 +-1231.6600000000001,799.17100000000005 +-1247.48,774.24800000000005 +111.506,-818.88199999999995 +319.80700000000002,-790.88900000000001 +-48.520600000000002,-825.01400000000001 +693.80999999999995,-634.12199999999996 +-258.35199999999998,-813.04100000000005 +405.26299999999998,-205.49199999999999 +-1318.8299999999999,399.44299999999998 +-794.54399999999998,1347.4100000000001 +1088.6199999999999,-333.892 +-377.67200000000003,-796.43799999999999 +142.77699999999999,-814.01300000000003 +1301.71,452.13799999999998 +1281.76,171.81100000000001 +1004.54,680.88699999999994 +-590.101,-692.46400000000006 +-80.072199999999995,-822.55100000000004 +-376.95999999999998,-513.56299999999999 +711.87699999999995,1392.8599999999999 +-1111.95,1028.5799999999999 +1292.3599999999999,478.21600000000001 +1251.01,53.542700000000004 +-992.72900000000004,-479.34800000000001 +-775.99900000000002,-634.12 +-1289.78,94.233699999999999 +1257.6800000000001,662.93100000000004 +173.83799999999999,-807.94899999999996 +-844.15599999999995,-597.46699999999998 +759.59799999999996,-603.38 +645.50400000000002,-641.13199999999995 +1151.3699999999999,-234.25800000000001 +1301.04,296.029 +767.29499999999996,1363.1199999999999 +955.5,-477.79000000000002 +-111.506,-818.88199999999995 +467.24599999999998,433.04399999999998 +-1068.48,-393.61599999999999 +349.85300000000001,-778.06500000000005 +-706.93399999999997,-664.30499999999995 +-289.291,-802.55399999999997 +-1215.3399999999999,823.77099999999996 +683.73099999999999,1406.8900000000001 +204.64500000000001,-800.70100000000002 +-1069.7,1072.45 +463.36099999999999,-749.83100000000002 +-142.77699999999999,-814.01300000000003 +-1136.6400000000001,-297.60599999999999 +-1295.3599999999999,585.91200000000003 +-1283.3199999999999,611.83600000000001 +-657.65999999999997,-671.53999999999996 +711.09400000000005,-614.67700000000002 +1029.0699999999999,-395.35500000000002 +679.99000000000001,-604.43299999999999 +825.92200000000003,-567.57000000000005 +739.73599999999999,1378.27 +-609.03999999999996,-675.86699999999996 +235.15100000000001,-792.279 +-927.59900000000005,-529.92700000000002 +-1091.05,1050.72 +890.80399999999997,-525.38999999999999 +-173.83799999999999,-807.94899999999996 +-1251.96,-21.965499999999999 +663.00099999999998,-623.02099999999996 +379.38600000000002,-764.09900000000005 +1163.48,895.53399999999999 +-725.048,-644.48500000000001 +-319.80700000000002,-790.88900000000001 +1003.11,1134.98 +1278.05,197.54499999999999 +1181.25,871.96199999999999 +-627.51199999999994,-658.75199999999995 +1097.45,-303.63499999999999 +-711.87699999999995,1392.8599999999999 +1282.48,504.101 +-405.26299999999998,-205.49199999999999 +-827.10900000000004,-304.697 +-1287.6300000000001,120.145 +1025.72,1114.5799999999999 +265.31299999999999,-782.69500000000005 +-1047.9300000000001,1093.74 +-793.25199999999995,-612.39999999999998 +776.00699999999995,-582.12599999999998 +-204.64500000000001,-800.70100000000002 +-860.36800000000005,-573.875 +-1311.8900000000001,243.48699999999999 +1294.8299999999999,322.125 +-1005.62,-451.68900000000002 +727.83399999999995,-594.76099999999997 +-1004.54,680.88699999999994 +-1198.54,848.03800000000001 +1288.0899999999999,348.09199999999998 +968.35699999999997,-451.16199999999998 +-1205.79,137.012 +-767.29499999999996,1363.1199999999999 +1157.4100000000001,-202.303 +-683.73099999999999,1406.8900000000001 +-675.99400000000003,-653.08100000000002 +-1078.97,-363.89299999999997 +-1270.76,637.51199999999994 +408.363,-749.01400000000001 +-1310.54,425.87700000000001 +295.08499999999998,-771.96299999999997 +-349.85300000000001,-778.06500000000005 +-1252.1500000000001,3.2086299999999999 +31.659700000000001,-799.14999999999998 +-235.15100000000001,-792.279 +597.67999999999995,1445.55 +-876.70000000000005,-91.366399999999999 +62.233499999999999,-797.35199999999998 +1249.6800000000001,78.682400000000001 +980.08900000000006,1154.9200000000001 +-1.0395300000000001,-799.77700000000004 +841.31399999999996,-544.49400000000003 +92.715999999999994,-794.38499999999999 +-31.659700000000001,-799.14999999999998 +1145.24,918.74300000000005 +-742.60799999999995,-624.17200000000003 +-739.73599999999999,1378.27 +-1144.4400000000001,-266.03399999999999 +1272.0899999999999,529.78300000000002 +123.063,-790.25300000000004 +1244.0999999999999,688.08199999999999 +-1284.95,146.00700000000001 +-941.90999999999997,-504.05099999999999 +1039.6199999999999,-366.72300000000001 +905.00300000000004,-500.53500000000003 +-62.233499999999999,-797.35199999999998 +1230.02,712.95399999999995 +1273.8199999999999,223.19900000000001 +-1306.73,269.81299999999999 +153.22900000000001,-784.96199999999999 +568.49800000000005,1457.27 +324.42500000000001,-760.09900000000005 +655.30799999999999,1420.3499999999999 +-467.24599999999998,433.04399999999998 +-1251.8299999999999,28.381399999999999 +-92.715999999999994,-794.38499999999999 +-693.80999999999995,-634.12199999999996 +-463.36099999999999,-749.83100000000002 +-265.31299999999999,-782.69500000000005 +696.45799999999997,-585.38199999999995 +-809.89700000000005,-590.21100000000001 +791.82000000000005,-560.42600000000004 +183.16999999999999,-778.51900000000001 +436.74099999999999,-732.83000000000004 +523.95899999999995,-708.81399999999996 +-379.38600000000002,-764.09900000000005 +1105.4300000000001,-273.14499999999998 +-875.92100000000005,-549.84299999999996 +-645.50400000000002,-641.13199999999995 +744.01599999999996,-574.38900000000001 +-123.063,-790.25300000000004 +1280.8299999999999,373.91699999999997 +539.08600000000001,1468.4000000000001 +1247.8399999999999,103.79000000000001 +-1017.73,-423.68400000000003 +1126.54,941.58199999999999 +980.47299999999996,-424.18799999999999 +212.84299999999999,-770.93600000000004 +-597.67999999999995,1445.55 +626.62099999999998,1433.24 +-1257.6800000000001,662.93100000000004 +-1301.71,452.13799999999998 +808.98299999999995,-349.99599999999998 +-1203.6099999999999,-155.06 +-1003.11,1134.98 +353.28899999999999,-747.12 +-759.59799999999996,-603.38 +-1292.3599999999999,478.21600000000001 +-153.22900000000001,-784.96199999999999 +-295.08499999999998,-771.96299999999997 +1162.5599999999999,-170.19200000000001 +856.06100000000004,-521.00099999999998 +509.45600000000002,1478.95 +-1025.72,1114.5799999999999 +-1088.6199999999999,-333.892 +1261.1800000000001,555.25 +242.20400000000001,-762.221 +-1281.76,171.81100000000001 +-568.49800000000005,1457.27 +-955.5,-477.79000000000002 +932.86900000000003,1193.3800000000001 +918.50999999999999,-475.29599999999999 +1212.1700000000001,-57.866300000000003 +1215.4300000000001,737.53899999999999 +-679.99000000000001,-604.43299999999999 +-183.16999999999999,-778.51900000000001 +-711.09400000000005,-614.67700000000002 +-1163.48,895.53399999999999 +464.47800000000001,-715.57100000000003 +1269.0699999999999,248.76300000000001 +-1251.01,53.542700000000004 +-1301.04,296.029 +-655.30799999999999,1420.3499999999999 +-408.363,-749.01400000000001 +-663.00099999999998,-623.02099999999996 +-1181.25,871.96199999999999 +956.67200000000003,1174.3900000000001 +-1151.3699999999999,-234.25800000000001 +807.02800000000002,-538.29600000000005 +1273.05,399.59199999999998 +-539.08600000000001,1468.4000000000001 +-825.92200000000003,-567.57000000000005 +381.63499999999999,-733.04600000000005 +271.209,-752.38900000000001 +-980.08900000000006,1154.9200000000001 +-324.42500000000001,-760.09900000000005 +712.39200000000005,-565.88199999999995 +759.62800000000004,-553.57799999999997 +1107.3800000000001,964.03999999999996 +-212.84299999999999,-770.93600000000004 +-890.80399999999997,-525.38999999999999 +-626.62099999999998,1433.24 +1245.5,128.85599999999999 +-1029.0699999999999,-395.35500000000002 +-509.45600000000002,1478.95 +991.83699999999999,-396.88999999999999 +45.482599999999998,-773.35799999999995 +908.68799999999999,1211.9000000000001 +-1282.48,504.101 +75.058800000000005,-771.04999999999995 +-727.83399999999995,-594.76099999999997 +-15.8398,-774.53300000000002 +15.8398,-774.53300000000002 +36.982300000000002,-452.87599999999998 +-776.00699999999995,-582.12599999999998 +299.81700000000001,-741.45399999999995 +104.52500000000001,-767.61099999999999 +491.53500000000003,-697.26300000000003 +-45.482599999999998,-773.35799999999995 +-242.20400000000001,-762.221 +1249.76,580.49300000000005 +-1145.24,918.74300000000005 +870.15300000000002,-497.10899999999998 +1263.8099999999999,274.226 +-436.74099999999999,-732.83000000000004 +742.61199999999997,-525.59799999999996 +-1278.05,197.54499999999999 +133.83799999999999,-763.04600000000005 +409.42099999999999,-717.89700000000005 +-1097.45,-303.63499999999999 +1200.3599999999999,761.82500000000005 +-353.28899999999999,-747.12 +-75.058800000000005,-771.04999999999995 +388.99700000000001,1515.0899999999999 +-1294.8299999999999,322.125 +931.31200000000001,-449.69200000000001 +1166.8299999999999,-137.95099999999999 +-968.35699999999997,-451.16199999999998 +162.95400000000001,-757.36199999999997 +1049.3699999999999,-337.80900000000003 +-1288.0899999999999,348.09199999999998 +-205.47900000000001,857.16300000000001 +1087.77,986.10799999999995 +-104.52500000000001,-767.61099999999999 +-523.95899999999995,-708.81399999999996 +727.78099999999995,-545.94899999999996 +358.459,1522.6099999999999 +1264.76,425.10500000000002 +1058.3099999999999,-308.637 +884.14099999999996,1229.9200000000001 +-1244.0999999999999,688.08199999999999 +327.98500000000001,-729.43100000000004 +-1230.02,712.95399999999995 +-1157.4100000000001,-202.303 +-841.31399999999996,-544.49400000000003 +-271.209,-752.38900000000001 +666.84500000000003,-576.42700000000002 +191.83199999999999,-750.56799999999998 +774.65800000000002,-532.34199999999998 +821.61699999999996,-515.75400000000002 +479.62,1488.8900000000001 +1242.6600000000001,153.87 +-932.86900000000003,1193.3800000000001 +-1272.0899999999999,529.78300000000002 +-1126.54,941.58199999999999 +-133.83799999999999,-763.04600000000005 +205.47900000000001,857.16300000000001 +327.77499999999998,1529.51 +-36.982300000000002,-452.87599999999998 +-696.45799999999997,-585.38199999999995 +-388.99700000000001,1515.0899999999999 +1112.5699999999999,-242.446 +-905.00300000000004,-500.53500000000003 +517.87,-677.93200000000002 +-1249.6800000000001,78.682400000000001 +436.60700000000003,-701.69500000000005 +449.58999999999997,1498.23 +296.95999999999998,1535.79 +499.52600000000001,-395.37299999999999 +450.32299999999998,450.61599999999999 +220.428,-742.673 +-464.47800000000001,-715.57100000000003 +-956.67200000000003,1174.3900000000001 +-381.63499999999999,-733.04600000000005 +29.6739,-749.024 +58.329999999999998,-747.33900000000006 +1002.4400000000001,-369.28699999999998 +-162.95400000000001,-757.36199999999997 +-1039.6199999999999,-366.72300000000001 +-358.459,1522.6099999999999 +599.07000000000005,-646.58000000000004 +-791.82000000000005,-560.42600000000004 +-744.01599999999996,-574.38900000000001 +1118.8499999999999,-211.56100000000001 +-85.302400000000006,-446.30500000000001 +86.900499999999994,-744.55799999999999 +355.67200000000003,-716.33900000000006 +-29.6739,-749.024 +1258.04,299.57900000000001 +-1273.8199999999999,223.19900000000001 +-299.81700000000001,-741.45399999999995 +1184.8,785.803 +883.57799999999997,-472.83600000000001 +419.37799999999999,1506.97 +-327.77499999999998,1529.51 +1067.73,1007.78 +115.34399999999999,-740.68499999999995 +-808.98299999999995,-349.99599999999998 +-58.329999999999998,-747.33900000000006 +-450.32299999999998,450.61599999999999 +-908.68799999999999,1211.9000000000001 +248.70099999999999,-733.68899999999996 +-479.62,1488.8900000000001 +-296.95999999999998,1535.79 +-0.97432799999999997,-749.61099999999999 +-191.83199999999999,-750.56799999999998 +-1280.8299999999999,373.91699999999997 +943.40099999999995,-423.745 +143.61799999999999,-735.726 +-86.900499999999994,-744.55799999999999 +-1105.4300000000001,-273.14499999999998 +-1107.3800000000001,964.03999999999996 +-1215.4300000000001,737.53899999999999 +833.98299999999995,1264.47 +-980.47299999999996,-424.18799999999999 +1255.96,450.44600000000003 +-449.58999999999997,1498.23 +1170.2,-105.605 +85.302400000000006,-446.30500000000001 +789.09400000000005,-510.69799999999998 +463.15199999999999,-684.46500000000003 +1239.3199999999999,178.822 +-856.06100000000004,-521.00099999999998 +-1247.8399999999999,103.79000000000001 +-1261.1800000000001,555.25 +-409.42099999999999,-717.89700000000005 +-115.34399999999999,-740.68499999999995 +171.68100000000001,-729.68700000000001 +382.83800000000002,-702.19600000000003 +276.60899999999998,-723.62900000000002 +-327.98500000000001,-729.43100000000004 +1066.45,-279.22899999999998 +835.57600000000002,-492.81700000000001 +543.447,-657.60699999999997 +-419.37799999999999,1506.97 +-220.428,-742.673 +-491.53500000000003,-697.26300000000003 +393.65199999999999,-226.94200000000001 +-884.14099999999996,1229.9200000000001 +-918.50999999999999,-475.29599999999999 +-1162.5599999999999,-170.19200000000001 +-712.39200000000005,-565.88199999999995 +1168.76,809.46400000000006 +1237.8399999999999,605.50099999999998 +1225.4200000000001,630.26499999999999 +1047.25,1029.04 +859.23500000000001,1247.45 +-759.62800000000004,-553.57799999999997 +-143.61799999999999,-735.726 +-807.02800000000002,-538.29600000000005 +199.49199999999999,-722.57899999999995 +808.39300000000003,1280.98 +-1269.0699999999999,248.76300000000001 +1251.77,324.81 +-1212.1700000000001,-57.866300000000003 +-1273.05,399.59199999999998 +42.624299999999998,-724.75699999999995 +1012.28,-341.40199999999999 +70.341700000000003,-722.59400000000005 +896.32600000000002,-448.19999999999999 +304.11200000000002,-712.50800000000004 +-14.8443,-725.85799999999995 +-1087.77,986.10799999999995 +-248.70099999999999,-733.68899999999996 +266.024,1541.45 +1124.28,-180.51400000000001 +97.956000000000003,-719.37099999999998 +-42.624299999999998,-724.75699999999995 +409.44200000000001,-687.02300000000002 +-1200.3599999999999,761.82500000000005 +489.01900000000001,-666.23000000000002 +-171.68100000000001,-729.68700000000001 +-355.67200000000003,-716.33900000000006 +227.011,-714.41099999999994 +756.87400000000002,-504.84300000000002 +-436.60700000000003,-701.69500000000005 +234.98099999999999,1546.48 +-742.61199999999997,-525.59799999999996 +125.42700000000001,-715.09299999999996 +-70.341700000000003,-722.59400000000005 +-1249.76,580.49300000000005 +-1245.5,128.85599999999999 +590.11900000000003,654.75999999999999 +1235.47,203.70099999999999 +954.76800000000003,-397.47199999999998 +-991.83699999999999,-396.88999999999999 +1212.9000000000001,39.7014 +335.85500000000002,541.33799999999997 +203.84200000000001,1550.8900000000001 +-870.15300000000002,-497.10899999999998 +-666.84500000000003,-576.42700000000002 +-266.024,1541.45 +802.92700000000002,-488.66300000000001 +568.226,-636.31799999999998 +-517.87,-677.93200000000002 +1231.1300000000001,228.49799999999999 +14.8443,-725.85799999999995 +752.96299999999997,-458.255 +1212.5,654.77300000000002 +-97.956000000000003,-719.37099999999998 +-833.98299999999995,1264.47 +331.16899999999998,-700.34199999999998 +152.71299999999999,-709.76599999999996 +1152.25,832.79700000000003 +172.62200000000001,1554.6800000000001 +-276.60899999999998,-723.62900000000002 +-727.78099999999995,-545.94899999999996 +650.63599999999997,-594.66200000000003 +-499.52600000000001,-395.37299999999999 +-234.98099999999999,1546.48 +848.89599999999996,-469.50299999999999 +254.19800000000001,-705.19600000000003 +-199.49199999999999,-722.57899999999995 +-1263.8099999999999,274.226 +141.33099999999999,1557.8399999999999 +1180.54,281.12299999999999 +-203.84200000000001,1550.8900000000001 +-931.31200000000001,-449.69200000000001 +-1067.73,1007.78 +1073.77,-249.60599999999999 +109.983,1560.3599999999999 +-590.11900000000003,654.75999999999999 +-774.65800000000002,-532.34199999999998 +-172.62200000000001,1554.6800000000001 +-125.42700000000001,-715.09299999999996 +-393.65199999999999,-226.94200000000001 +-599.07000000000005,-646.58000000000004 +179.77600000000001,-703.399 +78.591399999999993,1562.26 +-141.33099999999999,1557.8399999999999 +435.44600000000003,-670.84299999999996 +-1264.76,425.10500000000002 +-1049.3699999999999,-337.80900000000003 +473.75599999999997,743.30700000000002 +47.167499999999997,1563.52 +-382.83800000000002,-702.19600000000003 +-109.983,1560.3599999999999 +-821.61699999999996,-515.75400000000002 +-1166.8299999999999,-137.95099999999999 +15.724600000000001,1564.1500000000001 +-78.591399999999993,1562.26 +-15.724600000000001,1564.1500000000001 +-47.167499999999997,1563.52 +1146.3699999999999,398.18200000000002 +281.01100000000002,-694.947 +514.16800000000001,-647.01900000000001 +-1184.8,785.803 +-808.39300000000003,1280.98 +-463.15199999999999,-684.46500000000003 +-859.23500000000001,1247.45 +1005.04,1070.3099999999999 +-1058.3099999999999,-308.637 +908.38699999999994,-423.221 +-227.011,-714.41099999999994 +1021.34,-313.255 +-304.11200000000002,-712.50800000000004 +357.74000000000001,-687.149 +-152.71299999999999,-709.76599999999996 +1246.6500000000001,475.60500000000002 +206.57499999999999,-696 +-1242.6600000000001,153.87 +27.805299999999999,-701.85699999999997 +54.656799999999997,-700.27700000000004 +1026.3499999999999,1049.8900000000001 +770.55700000000002,-483.702 +729.70500000000004,1327.3800000000001 +782.47699999999998,1296.97 +81.428200000000004,-697.67100000000005 +-27.805299999999999,-701.85699999999997 +965.40300000000002,-370.89600000000002 +1128.8399999999999,-149.32900000000001 +-1112.5699999999999,-242.446 +1135.28,855.79399999999998 +-543.447,-657.60699999999997 +1199.0899999999999,679.01700000000005 +592.17200000000003,-614.096 +-1047.25,1029.04 +-54.656799999999997,-700.27700000000004 +108.08,-694.04200000000003 +1172.6700000000001,-73.177599999999998 +-1002.4400000000001,-369.28699999999998 +-883.57799999999997,-472.83600000000001 +816.14300000000003,-466.25400000000002 +307.41199999999998,-683.678 +-179.77600000000001,-703.399 +-1258.04,299.57900000000001 +460.81099999999998,-653.67999999999995 +233.072,-687.58100000000002 +-254.19800000000001,-705.19600000000003 +-1118.8499999999999,-211.56100000000001 +-409.44200000000001,-687.02300000000002 +702.87199999999996,1341.78 +861.56500000000005,-445.82900000000001 +-81.428200000000004,-697.67100000000005 +134.57400000000001,-689.39499999999998 +-1168.76,809.46400000000006 +1244.98,349.91000000000003 +-331.16899999999998,-700.34199999999998 +983.31700000000001,1090.3 +383.786,-672.94899999999996 +-335.85500000000002,541.33799999999997 +-1255.96,450.44600000000003 +-789.09400000000005,-510.69799999999998 +-943.40099999999995,-423.745 +756.24400000000003,1312.4400000000001 +-489.01900000000001,-666.23000000000002 +-108.08,-694.04200000000003 +1226.52,525.33600000000001 +538.56299999999999,-626.85799999999995 +160.87,-683.73699999999997 +1080.27,-219.792 +-206.57499999999999,-696 +259.226,-678.15300000000002 +1226.28,253.203 +-835.57600000000002,-492.81700000000001 +-1225.4200000000001,630.26499999999999 +-1237.8399999999999,605.50099999999998 +-1239.3199999999999,178.822 +-1170.2,-105.605 +1236.8399999999999,500.572 +333.363,-671.40700000000004 +675.755,1355.6400000000001 +-281.01100000000002,-694.947 +-0.91297200000000001,-702.40599999999995 +783.649,-462.19099999999997 +39.9343,-679.01800000000003 +1029.6199999999999,-284.86799999999999 +919.75199999999995,-397.91800000000001 +-134.57400000000001,-689.39499999999998 +1117.8399999999999,878.44500000000005 +65.902500000000003,-676.99199999999996 +186.93000000000001,-677.077 +-473.75599999999997,743.30700000000002 +-1066.45,-279.22899999999998 +-13.907500000000001,-680.04899999999998 +1185.2,702.98699999999997 +-729.70500000000004,1327.3800000000001 +91.774100000000004,-673.97199999999998 +-39.9343,-679.01800000000003 +485.5,-635.55700000000002 +-568.226,-636.31799999999998 +-435.44600000000003,-670.84299999999996 +-782.47699999999998,1296.97 +-357.74000000000001,-687.149 +409.26999999999998,-657.76099999999997 +117.511,-669.96400000000006 +-1251.77,324.81 +615.25,-590.97400000000005 +975.298,-344.03500000000003 +285,-667.73099999999999 +-233.072,-687.58100000000002 +-1152.25,832.79700000000003 +-896.32600000000002,-448.19999999999999 +212.71600000000001,-669.423 +-65.902500000000003,-676.99199999999996 +828.73500000000001,-443.48700000000002 +-756.87400000000002,-504.84300000000002 +-160.87,-683.73699999999997 +1229.9100000000001,399.67599999999999 +143.07599999999999,-664.97400000000005 +1132.54,-118.03 +-702.87199999999996,1341.78 +-1005.04,1070.3099999999999 +-1012.28,-341.40199999999999 +1237.7,374.86900000000003 +-307.41199999999998,-683.678 +358.82499999999999,-658.15099999999995 +873.57299999999998,-421.81299999999999 +-650.63599999999997,-594.66200000000003 +1174.25,-40.694099999999999 +-1212.5,654.77300000000002 +-91.774100000000004,-673.97199999999998 +-752.96299999999997,-458.255 +238.19,-660.78800000000001 +168.43100000000001,-659.00800000000004 +1215.71,549.88800000000003 +-514.16800000000001,-647.01900000000001 +-1026.3499999999999,1049.8900000000001 +-1124.28,-180.51400000000001 +562.16899999999998,-605.779 +-1180.54,281.12299999999999 +620.71100000000001,1381.71 +-802.92700000000002,-488.66300000000001 +1220.95,277.80500000000001 +-756.24400000000003,1312.4400000000001 +-954.76800000000003,-397.47199999999998 +-117.511,-669.96400000000006 +-1235.47,203.70099999999999 +-186.93000000000001,-677.077 +175.10599999999999,-634.24800000000005 +310.35700000000003,-656.33000000000004 +-259.226,-678.15300000000002 +-675.755,1355.6400000000001 +1099.96,900.74099999999999 +193.53899999999999,-652.07600000000002 +938.69200000000001,1128.9400000000001 +13.907500000000001,-680.04899999999998 +-848.89599999999996,-469.50299999999999 +-1212.9000000000001,39.7014 +101.24299999999999,-650.13999999999999 +1085.9400000000001,-189.81 +-1231.1300000000001,228.49799999999999 +434.154,-641.60900000000004 +263.315,-651.18399999999997 +-383.786,-672.94899999999996 +1215.1099999999999,302.29500000000002 +796.13999999999999,-440.32499999999999 +-143.07599999999999,-664.97400000000005 +76.2774,-653.53999999999996 +930.41300000000001,-372.31099999999998 +-460.81099999999998,-653.67999999999995 +1170.8199999999999,726.67200000000003 +509.47800000000001,-616.50300000000004 +592.80799999999999,1393.9100000000001 +-983.31700000000001,1090.3 +961.19899999999996,1109.8499999999999 +637.42499999999995,-566.98400000000004 +218.363,-644.18799999999999 +-1135.28,855.79399999999998 +-333.363,-671.40700000000004 +-1146.3699999999999,398.18200000000002 +-212.71600000000001,-669.423 +383.75999999999999,-643.92999999999995 +1037.1099999999999,-256.26299999999998 +-592.17200000000003,-614.096 +-168.43100000000001,-659.00800000000004 +-1073.77,-249.60599999999999 +1221.6300000000001,424.322 +-101.24299999999999,-650.13999999999999 +840.69200000000001,-420.38099999999997 +-76.2774,-653.53999999999996 +564.66399999999999,1405.55 +335.25799999999998,-643.96600000000001 +242.86600000000001,-635.35500000000002 +-285,-667.73099999999999 +648.36400000000003,1368.95 +-1246.6500000000001,475.60500000000002 +-908.38699999999994,-423.221 +288.05399999999997,-640.625 +-1199.0899999999999,679.01700000000005 +984.44600000000003,-316.91000000000003 +-770.55700000000002,-483.702 +915.80600000000004,1147.5899999999999 +51.1995,-655.98099999999999 +-175.10599999999999,-634.24800000000005 +1204.4100000000001,574.21799999999996 +1081.6300000000001,922.673 +26.046399999999998,-657.46100000000001 +-238.19,-660.78800000000001 +-620.71100000000001,1381.71 +-538.56299999999999,-626.85799999999995 +1135.3800000000001,-86.639499999999998 +884.91300000000001,-397.47399999999999 +-1021.34,-313.255 +126.06100000000001,-645.78700000000003 +584.95000000000005,-583.81100000000004 +-193.53899999999999,-652.07600000000002 +-26.046399999999998,-657.46100000000001 +314.88200000000001,553.79999999999995 +-314.88200000000001,553.79999999999995 +-51.1995,-655.98099999999999 +1208.3099999999999,112.74299999999999 +458.40100000000001,-624.51599999999996 +-409.26999999999998,-657.76099999999997 +536.29300000000001,1416.6099999999999 +-965.40300000000002,-370.89600000000002 +-816.14300000000003,-466.25400000000002 +267.01400000000001,-625.59100000000001 +-0.85522200000000004,-657.976 +150.69399999999999,-640.48699999999997 +1174.9300000000001,-8.1794399999999996 +830.71400000000006,884.66200000000003 +-358.82499999999999,-658.15099999999995 +-1128.8399999999999,-149.32900000000001 +-592.80799999999999,1393.9100000000001 +-1117.8399999999999,878.44500000000005 +408.13299999999998,-628.76499999999999 +312.37,-629.12699999999995 +-485.5,-635.55700000000002 +1155.98,750.06399999999996 +532.70799999999997,-596.54499999999996 +-861.56500000000005,-445.82900000000001 +-1172.6700000000001,-73.177599999999998 +-218.363,-644.18799999999999 +-263.315,-651.18399999999997 +359.66800000000001,-630.65800000000002 +199.261,-627.07899999999995 +-310.35700000000003,-656.33000000000004 +-1244.98,349.91000000000003 +892.54899999999998,1165.77 +658.66600000000005,-542.16300000000001 +-1226.52,525.33600000000001 +1090.77,-159.68299999999999 +-126.06100000000001,-645.78700000000003 +1208.79,326.66300000000001 +-564.66399999999999,1405.55 +269.83300000000003,-600.10199999999998 +940.36099999999999,-346.41699999999997 +223.12299999999999,-618.99000000000001 +290.76999999999998,-614.90899999999999 +1212.8499999999999,448.79599999999999 +-615.25,-590.97400000000005 +-1236.8399999999999,500.572 +-1185.2,702.98699999999997 +1062.8599999999999,944.23099999999999 +-648.36400000000003,1368.95 +246.65899999999999,-609.99300000000005 +-783.649,-462.19099999999997 +712.35799999999995,-519.13 +-938.69200000000001,1128.9400000000001 +180.47200000000001,610.96299999999997 +-242.86600000000001,-635.35500000000002 +1043.8,-227.46100000000001 +-150.69399999999999,-640.48699999999997 +-1226.28,253.203 +1192.6300000000001,598.31600000000003 +852.00400000000002,-396.952 +606.87400000000002,-560.98699999999997 +992.84000000000003,-289.54300000000001 +336.22800000000001,-616.70600000000002 +808.02200000000005,-418.12200000000001 +-536.29300000000001,1416.6099999999999 +-1080.27,-219.792 +-919.75199999999995,-397.91800000000001 +-288.05399999999997,-640.625 +-434.154,-641.60900000000004 +-562.16899999999998,-605.779 +481.97500000000002,-606.50800000000004 +-961.19899999999996,1109.8499999999999 +-383.75999999999999,-643.92999999999995 +868.93200000000002,1183.48 +-1029.6199999999999,-284.86799999999999 +-335.25799999999998,-643.96600000000001 +431.90699999999998,-612.678 +383.55000000000001,-616.42499999999995 +-1099.96,900.74099999999999 +-830.71400000000006,884.66200000000003 +1140.6600000000001,773.15200000000004 +314.10000000000002,-603.32600000000002 +449.92399999999998,1446.3699999999999 +895.57500000000005,-372.82999999999998 +-828.73500000000001,-443.48700000000002 +-199.261,-627.07899999999995 +-915.80600000000004,1147.5899999999999 +-267.01400000000001,-625.59100000000001 +1137.3399999999999,-55.183199999999999 +-1229.9100000000001,399.67599999999999 +-509.47800000000001,-616.50300000000004 +-975.298,-344.03500000000003 +555.15800000000002,-575.71100000000001 +420.755,1455.1199999999999 +-1215.71,549.88800000000003 +-1237.7,374.86900000000003 +1174.7,24.3415 +1043.6600000000001,965.40800000000002 +359.59399999999999,-603.38 +-873.57299999999998,-421.81299999999999 +-223.12299999999999,-618.99000000000001 +-1132.54,-118.03 +-637.42499999999995,-566.98400000000004 +-312.37,-629.12699999999995 +-1170.8199999999999,726.67200000000003 +1203.5799999999999,473.089 +391.416,1463.28 +627.90700000000004,-537.34000000000003 +507.70400000000001,1427.1099999999999 +844.96400000000006,1200.71 +-269.83300000000003,-600.10199999999998 +-1174.25,-40.694099999999999 +336.96899999999999,-590.85699999999997 +-1220.95,277.80500000000001 +-1081.6300000000001,922.673 +678.94000000000005,-516.548 +292.61099999999999,-589.33100000000002 +949.58799999999997,-320.25900000000001 +-180.47200000000001,610.96299999999997 +-246.65899999999999,-609.99300000000005 +-449.92399999999998,1446.3699999999999 +1094.78,-129.43299999999999 +-796.13999999999999,-440.32499999999999 +-359.66800000000001,-630.65800000000002 +-290.76999999999998,-614.90899999999999 +406.87,-601.28800000000001 +829.91999999999996,-372.77199999999999 +-892.54899999999998,1165.77 +-458.40100000000001,-624.51599999999996 +-408.13299999999998,-628.76499999999999 +504.84300000000002,-587.61000000000001 +336.84800000000001,-565.21400000000006 +361.91899999999998,1470.8599999999999 +819.28499999999997,-395.59899999999999 +455.048,-595.69299999999998 +862.66399999999999,-373.22000000000003 +181.26599999999999,-610.72799999999995 +-1215.1099999999999,302.29500000000002 +-420.755,1455.1199999999999 +1201.98,350.89800000000002 +478.911,1437.03 +1000.47,-261.95400000000001 +-584.95000000000005,-583.81100000000004 +-1085.9400000000001,-189.81 +1124.8900000000001,795.928 +-930.41300000000001,-372.31099999999998 +905.54999999999995,-347.90100000000001 +332.27499999999998,1477.8399999999999 +820.654,1217.45 +382.43200000000002,-589.16999999999996 +-391.416,1463.28 +-336.22800000000001,-616.70600000000002 +-1221.6300000000001,424.322 +314.95999999999998,-577.69600000000003 +1024.04,986.19500000000005 +-1204.4100000000001,574.21799999999996 +-1037.1099999999999,-256.26299999999998 +-532.70799999999997,-596.54499999999996 +-840.69200000000001,-420.38099999999997 +359.34399999999999,-577.52300000000002 +-13.025600000000001,-636.92700000000002 +-507.70400000000001,1427.1099999999999 +-868.93200000000002,1183.48 +-314.10000000000002,-603.32600000000002 +-361.91899999999998,1470.8599999999999 +1193.8299999999999,497.19 +576.79300000000001,-554.03399999999999 +-1062.8599999999999,944.23099999999999 +-1155.98,750.06399999999996 +-984.44600000000003,-316.91000000000003 +1138.4300000000001,-23.6845 +-181.26599999999999,-610.72799999999995 +1167.6099999999999,645.77599999999995 +1194.6800000000001,374.99200000000002 +-383.55000000000001,-616.42499999999995 +-332.27499999999998,1477.8399999999999 +-658.66600000000005,-542.16300000000001 +429.59300000000002,-585.26900000000001 +-884.91300000000001,-397.47399999999999 +-478.911,1437.03 +1173.5799999999999,56.843800000000002 +1180.3599999999999,622.17200000000003 +-1135.3800000000001,-86.639499999999998 +12.197800000000001,636.94299999999998 +-431.90699999999998,-612.678 +-481.97500000000002,-606.50800000000004 +477.52100000000002,-577.83299999999997 +1049.7,-198.48500000000001 +-1208.3099999999999,112.74299999999999 +958.08799999999997,-293.85500000000002 +526.971,-567.85000000000002 +1004.01,1006.58 +-156.94800000000001,617.42399999999998 +-606.87400000000002,-560.98699999999997 +872.66300000000001,-349.202 +-292.61099999999999,-589.33100000000002 +1108.6600000000001,818.38199999999995 +-359.59399999999999,-603.38 +698.22000000000003,-490.17399999999998 +1186.9000000000001,398.935 +404.709,-574.096 +597.58199999999999,-531.54399999999998 +1097.9400000000001,-99.083699999999993 +-1174.9300000000001,-8.1794399999999996 +-844.96400000000006,1200.71 +-712.35799999999995,-519.13 +648.01999999999998,-512.90599999999995 +381.19200000000001,-563.34100000000001 +-336.96899999999999,-590.85699999999997 +872.16200000000003,127.605 +1054.79,-169.357 +156.94800000000001,617.42399999999998 +-1212.8499999999999,448.79599999999999 +771.048,1249.46 +-1208.79,326.66300000000001 +-1140.6600000000001,773.15200000000004 +-1192.6300000000001,598.31600000000003 +1007.34,-234.16399999999999 +-1043.6600000000001,965.40800000000002 +914.83199999999999,-322.70499999999998 +336.55799999999999,-540.90099999999995 +-940.36099999999999,-346.41699999999997 +-1090.77,-159.68299999999999 +-336.84800000000001,-565.21400000000006 +1183.5899999999999,521.09100000000001 +91.308099999999996,1511.97 +-808.02200000000005,-418.12200000000001 +-555.15800000000002,-575.71100000000001 +1195.3399999999999,209.44900000000001 +-12.197800000000001,636.94299999999998 +157.75,-617.22000000000003 +60.892600000000002,1513.5 +-852.00400000000002,-396.952 +-406.87,-601.28800000000001 +30.452400000000001,1514.4200000000001 +-91.308099999999996,1511.97 +0,1514.73 +-60.892600000000002,1513.5 +-30.452400000000001,1514.4200000000001 +358.24099999999999,-551.90200000000004 +-1043.8,-227.46100000000001 +-314.95999999999998,-577.69600000000003 +451.68599999999998,-568.39200000000005 +1154.3900000000001,669.11900000000003 +-820.654,1217.45 +-992.84000000000003,-289.54300000000001 +302.49700000000001,1484.22 +796.01199999999994,1233.7 +983.56799999999998,1026.5599999999999 +765.35699999999997,-437.24000000000001 +-455.048,-595.69299999999998 +745.77300000000002,1264.71 +-382.43200000000002,-589.16999999999996 +1138.6500000000001,7.8322599999999998 +499.29500000000002,-559.12699999999995 +272.59699999999998,1490 +-504.84300000000002,-587.61000000000001 +1091.98,840.505 +-627.90700000000004,-537.34000000000003 +426.392,-558.17999999999995 +-895.57500000000005,-372.82999999999998 +13.025600000000001,-636.92700000000002 +-359.34399999999999,-577.52300000000002 +402.48099999999999,-548.33299999999997 +548.32600000000002,-547.25800000000004 +-1024.04,986.19500000000005 +242.58699999999999,1495.1800000000001 +-678.94000000000005,-516.548 +965.85400000000004,-267.226 +839.91899999999998,-349.66000000000003 +-1137.3399999999999,-55.183199999999999 +-302.49700000000001,1484.22 +881.99300000000005,-324.916 +1171.5599999999999,89.302499999999995 +85.954599999999999,-631.23500000000001 +1031.0999999999999,639.95399999999995 +-1124.8900000000001,795.928 +212.47800000000001,1499.75 +-1203.5799999999999,473.089 +720.19600000000003,1279.4400000000001 +-1174.7,24.3415 +-272.59699999999998,1490 +-576.79300000000001,-554.03399999999999 +716.47500000000002,-463.08199999999999 +379.10899999999998,-537.78200000000004 +-157.75,-617.22000000000003 +667.18200000000002,-487.71899999999999 +1100.26,-68.658699999999996 +182.28399999999999,1503.72 +-242.58699999999999,1495.1800000000001 +962.73099999999999,1046.1300000000001 +617.495,-508.27499999999998 +-429.59300000000002,-585.26900000000001 +-771.048,1249.46 +1168.6400000000001,121.693 +-829.91999999999996,-372.77199999999999 +152.01599999999999,1507.0799999999999 +1059.0699999999999,-140.09899999999999 +-212.47800000000001,1499.75 +-949.58799999999997,-320.25900000000001 +-819.28499999999997,-395.59899999999999 +1013.4299999999999,-206.19399999999999 +923.41300000000001,-297.26299999999998 +-85.954599999999999,-631.23500000000001 +-336.55799999999999,-540.90099999999995 +121.687,1509.8299999999999 +1140.7,692.19200000000001 +473.11700000000002,-550.68200000000002 +-182.28399999999999,1503.72 +-1004.01,1006.58 +-1094.78,-129.43299999999999 +-862.66399999999999,-373.22000000000003 +-1201.98,350.89800000000002 +-404.709,-574.096 +-152.01599999999999,1507.0799999999999 +694.32899999999995,1293.6600000000001 +1074.8699999999999,862.28899999999999 +-121.687,1509.8299999999999 +-381.19200000000001,-563.34100000000001 +-477.52100000000002,-577.83299999999997 +447.45100000000002,-541.44600000000003 +568.87599999999998,-525.86300000000006 +520.33600000000001,-539.60000000000002 +423.18000000000001,-532.52099999999996 +-1000.47,-261.95400000000001 +1178.6400000000001,422.71600000000001 +-872.16200000000003,127.605 +-796.01199999999994,1233.7 +-526.971,-567.85000000000002 +232.39099999999999,390.45999999999998 +-905.54999999999995,-347.90100000000001 +-745.77300000000002,1264.71 +-1167.6099999999999,645.77599999999995 +-1193.8299999999999,497.19 +586.40800000000002,-248.94 +-1108.6600000000001,818.38199999999995 +-358.24099999999999,-551.90200000000004 +399.42099999999999,-522.87300000000005 +941.505,1065.27 +-1180.3599999999999,622.17200000000003 +1138,39.3431 +-597.58199999999999,-531.54399999999998 +849.274,-326.28100000000001 +1161.6900000000001,568.25 +1172.8800000000001,544.77999999999997 +-1194.6800000000001,374.99200000000002 +972.88,-240.392 +890.64800000000002,-300.38099999999997 +-648.01999999999998,-512.90599999999995 +-720.19600000000003,1279.4400000000001 +419.14699999999999,-507.197 +-983.56799999999998,1026.5599999999999 +-698.22000000000003,-490.17399999999998 +-1138.4300000000001,-23.6845 +-451.68599999999998,-568.39200000000005 +1057.3099999999999,883.72400000000005 +1126.5599999999999,714.98500000000001 +-1173.5799999999999,56.843800000000002 +641.76199999999994,1320.53 +685.36599999999999,-461.81700000000001 +636.50300000000004,-484.25999999999999 +-1186.9000000000001,398.935 +540.61400000000003,-519.28300000000002 +493.85399999999998,-532.16399999999999 +-426.392,-558.17999999999995 +1101.74,-38.181199999999997 +733.67999999999995,-435.31099999999998 +-402.48099999999999,-548.33299999999997 +33.647599999999997,-615.22500000000002 +-0.58588799999999996,-616.14400000000001 +-1049.7,-198.48500000000001 +-872.66300000000001,-349.202 +-958.08799999999997,-293.85500000000002 +1018.75,-178.06700000000001 +931.28599999999994,-271.59199999999998 +66.612300000000005,-612.53300000000002 +1062.54,-110.73399999999999 +588.59299999999996,-503.697 +-499.29500000000002,-559.12699999999995 +-694.32899999999995,1293.6600000000001 +443.25900000000001,-515.92899999999997 +-33.647599999999997,-615.22500000000002 +1164.8199999999999,153.99000000000001 +467.85300000000001,-523.91700000000003 +919.89800000000002,1083.99 +1169.9000000000001,446.32600000000002 +-1097.9400000000001,-99.083699999999993 +-1091.98,840.505 +-1183.5899999999999,521.09100000000001 +-379.10899999999998,-537.78200000000004 +-1154.3900000000001,669.11900000000003 +99.385099999999994,-608.07600000000002 +615.08399999999995,1333.1700000000001 +-548.32600000000002,-547.25800000000004 +-962.73099999999999,1046.1300000000001 +-1054.79,-169.357 +-66.612300000000005,-612.53300000000002 +-1007.34,-234.16399999999999 +-914.83199999999999,-322.70499999999998 +1150.03,591.49000000000001 +857.97900000000004,-302.65100000000001 +-1195.3399999999999,209.44900000000001 +-232.39099999999999,390.45999999999998 +131.87100000000001,-601.86699999999996 +668.17999999999995,1307.3599999999999 +1160.7,469.75599999999997 +-765.35699999999997,-437.24000000000001 +1039.3299999999999,904.80200000000002 +588.15700000000004,1345.26 +250.083,-585.92200000000003 +-99.385099999999994,-608.07600000000002 +897.91899999999998,1102.26 +-1031.0999999999999,639.95399999999995 +866.02700000000004,-278.78899999999999 +367.06400000000002,-267.822 +560.09900000000005,-498.20400000000001 +-641.76199999999994,1320.53 +-473.11700000000002,-550.68200000000002 +1136.47,70.823700000000002 +898.62,-275.61599999999999 +979.15999999999997,-213.374 +-667.18200000000002,-487.71899999999999 +163.97800000000001,-593.923 +-617.495,-508.27499999999998 +-423.18000000000001,-532.52099999999996 +-1138.6500000000001,7.8322599999999998 +-447.45100000000002,-541.44600000000003 +-839.91899999999998,-349.66000000000003 +-1074.8699999999999,862.28899999999999 +-941.505,1065.27 +-716.47500000000002,-463.08199999999999 +513.86599999999999,-512.86599999999999 +-399.42099999999999,-522.87300000000005 +-131.87100000000001,-601.86699999999996 +-965.85400000000004,-267.226 +-1140.7,692.19200000000001 +462.68700000000001,-498.57900000000001 +654.577,-459.536 +-520.33600000000001,-539.60000000000002 +-615.08399999999995,1333.1700000000001 +-881.99300000000005,-324.916 +-1171.5599999999999,89.302499999999995 +-568.87599999999998,-525.86300000000006 +702.54499999999996,-435.238 +487.56900000000002,-505.62099999999998 +1151.02,492.99599999999998 +938.44600000000003,-245.71299999999999 +438.25900000000001,-490.77699999999999 +1137.9000000000001,614.49099999999999 +1102.3699999999999,-7.6743399999999999 +1023.29,-149.804 +-586.40800000000002,-248.94 +749.80799999999999,-406.90199999999999 +1065.2,-81.284599999999998 +875.57799999999997,1120.0899999999999 +607.44600000000003,-480.79199999999997 +-419.14699999999999,-507.197 +1020.9299999999999,925.51400000000001 +195.61199999999999,-584.26800000000003 +-1100.26,-68.658699999999996 +1160.1099999999999,186.16900000000001 +533.12599999999998,-492.815 +-1168.6400000000001,121.693 +-668.17999999999995,1307.3599999999999 +-588.15700000000004,1345.26 +1096.9000000000001,759.69500000000005 +-1059.0699999999999,-140.09899999999999 +16.575399999999998,-596.21400000000006 +-923.41300000000001,-297.26299999999998 +-1057.3099999999999,883.72400000000005 +-1013.4299999999999,-206.19399999999999 +-163.97800000000001,-593.923 +48.544699999999999,-594.46600000000001 +-919.89800000000002,1083.99 +1090.1199999999999,533.24300000000005 +-16.575399999999998,-596.21400000000006 +1111.96,737.48900000000003 +-1178.6400000000001,422.71600000000001 +-250.083,-585.92200000000003 +80.373999999999995,-591.00400000000002 +-48.544699999999999,-594.46600000000001 +467.80799999999999,-432.43599999999998 +-493.85399999999998,-532.16399999999999 +315.60199999999998,-553.39099999999996 +481.43700000000001,-480.49900000000002 +-443.25900000000001,-515.92899999999997 +-1161.6900000000001,568.25 +-540.61400000000003,-519.28300000000002 +852.88199999999995,1137.47 +-1172.8800000000001,544.77999999999997 +984.69100000000003,-186.19300000000001 +111.97199999999999,-585.84000000000003 +-467.85300000000001,-523.91700000000003 +226.68199999999999,-572.92999999999995 +905.904,-250.63900000000001 +-1126.5599999999999,714.98500000000001 +506.56999999999999,-486.58199999999999 +1174.6500000000001,304.80000000000001 +478.18400000000003,1388.1600000000001 +1134.0799999999999,102.25 +-636.50300000000004,-484.25999999999999 +-849.274,-326.28100000000001 +-80.373999999999995,-591.00400000000002 +-897.91899999999998,1102.26 +474.52699999999999,-455.80399999999997 +578.76300000000003,-476.39400000000001 +1125.3199999999999,637.24300000000005 +674.80499999999995,567.08699999999999 +1002.12,945.85199999999998 +-588.59299999999996,-503.697 +560.99300000000005,1356.8099999999999 +-685.36599999999999,-461.81700000000001 +456.72800000000001,-473.637 +-1138,39.3431 +-367.06400000000002,-267.822 +-195.61199999999999,-584.26800000000003 +143.24700000000001,-578.98800000000006 +-972.88,-240.392 +798.98599999999999,-372.25099999999998 +-890.64800000000002,-300.38099999999997 +-733.67999999999995,-435.31099999999998 +450.17899999999997,1397.5 +944.88699999999994,-219.64599999999999 +671.69100000000003,-434.137 +-1039.3299999999999,904.80200000000002 +616.41800000000001,1045.3399999999999 +718.69399999999996,-408.01999999999998 +1102.1600000000001,22.8384 +1027.05,-121.425 +1081.4100000000001,781.59400000000005 +-111.97199999999999,-585.84000000000003 +625.40899999999999,-457.18200000000002 +533.60199999999998,1367.8199999999999 +1067.04,-51.772399999999998 +829.84199999999998,1154.3800000000001 +-1169.9000000000001,446.32600000000002 +421.99299999999999,1406.27 +499.48099999999999,-461.714 +1140.8699999999999,516.03599999999994 +764.83799999999997,-377.89600000000002 +257.09899999999999,-559.94100000000003 +155.76900000000001,-426.85000000000002 +-1101.74,-38.181199999999997 +-1018.75,-178.06700000000001 +174.10900000000001,-570.46699999999998 +-875.57799999999997,1120.0899999999999 +-478.18400000000003,1388.1600000000001 +1154.52,218.20500000000001 +-1150.03,591.49000000000001 +-1164.8199999999999,153.99000000000001 +-560.09900000000005,-498.20400000000001 +-931.28599999999994,-271.59199999999998 +-1062.54,-110.73399999999999 +524.82799999999997,-466.83100000000002 +551.60299999999995,-472.04199999999997 +-155.76900000000001,-426.85000000000002 +505.995,1378.27 +982.90099999999995,965.80799999999999 +393.63600000000002,1414.46 +-616.41800000000001,1045.3399999999999 +-143.24700000000001,-578.98800000000006 +-1160.7,469.75599999999997 +-462.68700000000001,-498.57900000000001 +-438.25900000000001,-490.77699999999999 +-513.86599999999999,-512.86599999999999 +-560.99300000000005,1356.8099999999999 +-226.68199999999999,-572.92999999999995 +873.41099999999994,-254.714 +-450.17899999999997,1397.5 +-857.97900000000004,-302.65100000000001 +-487.56900000000002,-505.62099999999998 +-1020.9299999999999,925.51400000000001 +806.46600000000001,1170.8299999999999 +31.495999999999999,-575.88499999999999 +989.46699999999998,-158.869 +62.352800000000002,-573.36500000000001 +912.49400000000003,-225.471 +-0.54842400000000002,-576.745 +-421.99299999999999,1406.27 +204.47,-560.30200000000002 +-315.60199999999998,-553.39099999999996 +-852.88199999999995,1137.47 +596.57899999999995,-453.88600000000002 +-533.60199999999998,1367.8199999999999 +-31.495999999999999,-575.88499999999999 +1130.8099999999999,133.59800000000001 +-654.577,-459.536 +93.030000000000001,-569.19299999999998 +-866.02700000000004,-278.78899999999999 +1065.48,803.17700000000002 +286.77499999999998,-545.33799999999997 +-607.44600000000003,-480.79199999999997 +-393.63600000000002,1414.46 +-467.80799999999999,-432.43599999999998 +-1136.47,70.823700000000002 +-898.62,-275.61599999999999 +-1137.9000000000001,614.49099999999999 +-62.352800000000002,-573.36500000000001 +-174.10900000000001,-570.46699999999998 +-979.15999999999997,-213.374 +1130.27,538.86800000000005 +782.76400000000001,1186.8099999999999 +-702.54499999999996,-435.238 +963.28599999999994,985.37300000000005 +950.60400000000004,-193.411 +687.82000000000005,-408.10199999999998 +-1090.1199999999999,533.24300000000005 +-1151.02,492.99599999999998 +-1096.9000000000001,759.69500000000005 +123.43899999999999,-563.38099999999997 +1119.21,561.48299999999995 +-505.995,1378.27 +1030.01,-92.953699999999998 +-749.80799999999999,-406.90199999999999 +1101.1099999999999,53.333500000000001 +-481.43700000000001,-480.49900000000002 +642.45399999999995,-432.90199999999999 +-1111.96,737.48900000000003 +-533.12599999999998,-492.815 +733.78899999999999,-380.20499999999998 +-93.030000000000001,-569.19299999999998 +1068.0699999999999,-22.220600000000001 +-257.09899999999999,-559.94100000000003 +-1002.12,945.85199999999998 +-829.84199999999998,1154.3800000000001 +-506.56999999999999,-486.58199999999999 +234.24100000000001,-548.52300000000002 +1098.79,681.96600000000001 +-474.52699999999999,-455.80399999999997 +-1023.29,-149.804 +1112.28,659.73800000000006 +-938.44600000000003,-245.71299999999999 +-1102.3699999999999,-7.6743399999999999 +-674.80499999999995,567.08699999999999 +569.27200000000005,-450.577 +153.49199999999999,-555.94500000000005 +-1065.2,-81.284599999999998 +-456.72800000000001,-473.637 +249.69499999999999,1446.8299999999999 +1148.03,250.07499999999999 +778.745,-348.33499999999998 +-1160.1099999999999,186.16900000000001 +758.74599999999998,1202.3099999999999 +516.79200000000003,-442.25200000000001 +880.12599999999998,-230.44300000000001 +220.55699999999999,1451.5599999999999 +-204.47,-560.30200000000002 +-123.43899999999999,-563.38099999999997 +-578.76300000000003,-476.39400000000001 +943.28099999999995,1004.54 +315.625,-529.16399999999999 +542.31700000000001,-446.39400000000001 +191.33000000000001,1455.7 +491.63,-437.30099999999999 +-249.69499999999999,1446.8299999999999 +-1125.3199999999999,637.24300000000005 +365.11900000000003,1422.0899999999999 +993.48400000000004,-131.423 +-806.46600000000001,1170.8299999999999 +918.38499999999999,-200.13 +613.51900000000001,-430.71199999999999 +45.430700000000002,-556.33299999999997 +162.02600000000001,1459.25 +-15.5122,-557.96900000000005 +-1081.4100000000001,781.59400000000005 +183.10400000000001,-546.90800000000002 +-220.55699999999999,1451.5599999999999 +886.16700000000003,-205.99600000000001 +132.65600000000001,1462.21 +-499.48099999999999,-461.714 +15.5122,-557.96900000000005 +-1174.6500000000001,304.80000000000001 +-982.90099999999995,965.80799999999999 +75.218299999999999,-553.09299999999996 +-191.33000000000001,1455.7 +734.42200000000003,1217.3199999999999 +336.45600000000002,1429.1500000000001 +1154.1400000000001,375.05399999999997 +263.33800000000002,-535.16300000000001 +-984.69100000000003,-186.19300000000001 +1126.6800000000001,164.84399999999999 +103.232,1464.5799999999999 +-45.430700000000002,-556.33299999999997 +-671.69100000000003,-434.137 +-625.40899999999999,-457.18200000000002 +-286.77499999999998,-545.33799999999997 +-162.02600000000001,1459.25 +-905.904,-250.63900000000001 +-153.49199999999999,-555.94500000000005 +955.59299999999996,-167.02799999999999 +73.767200000000003,1466.3599999999999 +-782.76400000000001,1186.8099999999999 +-132.65600000000001,1462.21 +-1134.0799999999999,102.25 +44.272300000000001,1467.55 +-798.98599999999999,-372.25099999999998 +-103.232,1464.5799999999999 +-718.69399999999996,-408.01999999999998 +1084.8599999999999,703.91899999999998 +14.759399999999999,1468.1400000000001 +-73.767200000000003,1466.3599999999999 +-524.82799999999997,-466.83100000000002 +307.65600000000001,1435.6199999999999 +-14.759399999999999,1468.1400000000001 +-44.272300000000001,1467.55 +702.94100000000003,-381.46800000000002 +104.789,-548.25999999999999 +-551.60299999999995,-472.04199999999997 +1032.1900000000001,-64.411000000000001 +658.55799999999999,-407.98700000000002 +-365.11900000000003,1422.0899999999999 +-75.218299999999999,-553.09299999999996 +922.89499999999998,1023.3 +-234.24100000000001,-548.52300000000002 +1068.27,7.3481699999999996 +1099.21,83.787899999999993 +1107.6900000000001,583.87 +709.79999999999995,1231.8399999999999 +-1140.8699999999999,516.03599999999994 +278.73099999999999,1441.52 +586.10599999999999,-428.45100000000002 +1032.3299999999999,845.36000000000001 +212.18700000000001,-536.29399999999998 +-336.45600000000002,1429.1500000000001 +-764.83799999999997,-377.89600000000002 +-1102.1600000000001,22.8384 +1049.1199999999999,824.43499999999995 +-944.88699999999994,-219.64599999999999 +747.80799999999999,-351.83100000000002 +-963.28599999999994,985.37300000000005 +-1027.05,-121.425 +533.346,-422.14100000000002 +865.60599999999999,850.55200000000002 +396.346,-498.75400000000002 +343.56599999999997,-511.46499999999997 +-1067.04,-51.772399999999998 +-758.74599999999998,1202.3099999999999 +134.05799999999999,-541.84699999999998 +-307.65600000000001,1435.6199999999999 +1140.6700000000001,281.75200000000001 +-1065.48,803.17700000000002 +-183.10400000000001,-546.90800000000002 +-1154.52,218.20500000000001 +-104.789,-548.25999999999999 +-278.73099999999999,1441.52 +291.67500000000001,-520.26099999999997 +791.51099999999997,-318.26400000000001 +-596.57899999999995,-453.88600000000002 +559.01099999999997,-425.303 +508.01299999999998,-418.15800000000002 +629.55999999999995,-406.90600000000001 +420.31599999999997,172.626 +1070.49,725.58699999999999 +923.572,-174.636 +-873.41099999999994,-254.714 +835.22500000000002,-281.68900000000002 +996.74099999999999,-103.877 +-315.625,-529.16399999999999 +29.468800000000002,-538.81899999999996 +902.13599999999997,1041.6500000000001 +162.941,-533.87300000000005 +-734.42200000000003,1217.3199999999999 +58.339599999999997,-536.46100000000001 +240.65899999999999,-524.13599999999997 +1015.12,865.94399999999996 +-263.33800000000002,-535.16300000000001 +-29.468800000000002,-538.81899999999996 +-943.28099999999995,1004.54 +-1130.27,538.86800000000005 +-989.46699999999998,-158.869 +659.70600000000002,1259.3800000000001 +-134.05799999999999,-541.84699999999998 +-687.82000000000005,-408.10199999999998 +959.85000000000002,-140.51599999999999 +-642.45399999999995,-432.90199999999999 +-1130.8099999999999,133.59800000000001 +-912.49400000000003,-225.471 +-1119.21,561.48299999999995 +1121.6900000000001,195.96299999999999 +87.042299999999997,-532.55799999999999 +-516.79200000000003,-442.25200000000001 +880.41200000000003,-42.717199999999998 +-569.27200000000005,-450.577 +-58.339599999999997,-536.46100000000001 +-0.51312599999999997,-539.62400000000002 +-212.18700000000001,-536.29399999999998 +1095.73,606.02099999999996 +-1098.79,681.96600000000001 +-709.79999999999995,1231.8399999999999 +673.69500000000005,-382.47399999999999 +1033.5799999999999,-35.819000000000003 +-1112.28,659.73800000000006 +-733.78899999999999,-380.20499999999998 +-491.63,-437.30099999999999 +602.08000000000004,-405.697 +1096.47,114.178 +717.03099999999995,-354.27499999999998 +1067.6600000000001,36.9114 +549.11699999999996,-401.41199999999998 +370.51600000000002,-492.29199999999997 +115.494,-527.12 +191.35400000000001,-524.36000000000001 +634.25400000000002,1272.3800000000001 +-950.60400000000004,-193.411 +319.17200000000003,-503.86000000000001 +-1030.01,-92.953699999999998 +881.01199999999994,1059.5699999999999 +-542.31700000000001,-446.39400000000001 +891.52800000000002,-181.39099999999999 +-1101.1099999999999,53.333500000000001 +-420.31599999999997,172.626 +-87.042299999999997,-532.55799999999999 +1055.6900000000001,746.96100000000001 +-1068.0699999999999,-22.220600000000001 +-922.89499999999998,1023.3 +-778.745,-348.33499999999998 +-162.941,-533.87300000000005 +268.43799999999999,-510.46699999999998 +-1148.03,250.07499999999999 +684.89099999999996,1245.8599999999999 +574.88400000000001,-403.589 +997.51099999999997,886.17700000000002 +760.73000000000002,-322.94200000000001 +-613.51900000000001,-430.71199999999999 +523.64999999999998,-398.40100000000001 +1132.4400000000001,313.214 +1083.3199999999999,627.92700000000002 +608.54499999999996,1284.8800000000001 +-343.56599999999997,-511.46499999999997 +-291.67500000000001,-520.26099999999997 +-880.12599999999998,-230.44300000000001 +143.613,-520.16300000000001 +644.67700000000002,-382.50400000000002 +999.23400000000004,-76.250600000000006 +-396.346,-498.75400000000002 +-115.494,-527.12 +-240.65899999999999,-524.13599999999997 +928.05100000000004,-149.00800000000001 +-659.70600000000002,1259.3800000000001 +859.53200000000004,1077.0699999999999 +42.496099999999998,-520.39700000000005 +-1032.3299999999999,845.36000000000001 +-1084.8599999999999,703.91899999999998 +-14.510199999999999,-521.928 +803.11599999999999,-287.726 +219.215,-513.33699999999999 +-865.60599999999999,850.55200000000002 +1070.48,649.58000000000004 +-1049.1199999999999,824.43499999999995 +-1154.1400000000001,375.05399999999997 +565.64099999999996,-293.08100000000002 +70.3596,-517.36699999999996 +-993.48400000000004,-131.423 +-42.496099999999998,-520.39700000000005 +963.37099999999998,-113.89700000000001 +-918.38499999999999,-200.13 +-902.13599999999997,1041.6500000000001 +-658.55799999999999,-407.98700000000002 +-533.346,-422.14100000000002 +-586.10599999999999,-428.45100000000002 +-886.16700000000003,-205.99600000000001 +-702.94100000000003,-381.46800000000002 +-1126.6800000000001,164.84399999999999 +-191.35400000000001,-524.36000000000001 +14.510199999999999,-521.928 +538.51999999999998,-378.06 +-634.25400000000002,1272.3800000000001 +-1107.6900000000001,583.87 +171.31800000000001,-511.70699999999999 +345.75,-486.00700000000001 +979.49300000000005,906.05200000000002 +98.020300000000006,-512.846 +1034.1700000000001,-7.19956 +1040.46,768.03399999999999 +295.44299999999998,-495.327 +-955.59299999999996,-167.02799999999999 +617.17100000000005,-382.34699999999998 +-508.01299999999998,-418.15800000000002 +-70.3596,-517.36699999999996 +687.84500000000003,-356.399 +564.08299999999997,-380.09300000000002 +396.399,-471.70100000000002 +-143.613,-520.16300000000001 +1066.23,66.446299999999994 +1092.8900000000001,144.48099999999999 +-559.01099999999997,-425.303 +837.70399999999995,1094.1300000000001 +-1032.1900000000001,-64.411000000000001 +-1015.12,865.94399999999996 +-747.80799999999999,-351.83100000000002 +-684.89099999999996,1245.8599999999999 +-608.54499999999996,1284.8800000000001 +-1099.21,83.787899999999993 +730.06899999999996,-326.56200000000001 +-1068.27,7.3481699999999996 +-1070.49,725.58699999999999 +246.44499999999999,-500.834 +-319.17200000000003,-503.86000000000001 +125.399,-506.84699999999998 +896.20699999999999,-156.648 +-268.43799999999999,-510.46699999999998 +-881.01199999999994,1059.5699999999999 +1123.3399999999999,344.43599999999998 +589.91499999999996,-381.28199999999998 +-1140.6700000000001,281.75200000000001 +529.98599999999999,1319.23 +-98.020300000000006,-512.846 +-629.55999999999995,-406.90600000000001 +-370.51600000000002,-492.29199999999997 +1120.28,466.56099999999998 +27.558,-503.88099999999997 +450.90800000000002,-450.02999999999997 +198.53,-501.77699999999999 +-791.51099999999997,-318.26400000000001 +772.53700000000003,-293.57900000000001 +-219.215,-513.33699999999999 +861.23599999999999,-187.67699999999999 +1115.8399999999999,226.93299999999999 +54.556800000000003,-501.67599999999999 +658.85000000000002,-357.541 +1000.96,-48.566200000000002 +961.08000000000004,925.56100000000004 +-27.558,-503.88099999999997 +-171.31800000000001,-511.70699999999999 +931.82000000000005,-123.26600000000001 +503.35700000000003,1329.6099999999999 +-880.41200000000003,-42.717199999999998 +372.096,1155.0999999999999 +152.416,-499.38799999999998 +1024.8,788.79700000000003 +-835.22500000000002,-281.68900000000002 +81.398300000000006,-498.02600000000001 +-923.572,-174.636 +582.59100000000001,1296.8499999999999 +-1095.73,606.02099999999996 +-549.11699999999996,-401.41199999999998 +-859.53200000000004,1077.0699999999999 +-997.51099999999997,886.17700000000002 +-996.74099999999999,-103.877 +321.59699999999998,-478.75999999999999 +-54.556800000000003,-501.67599999999999 +-372.096,1155.0999999999999 +966.154,-87.190799999999996 +-125.399,-506.84699999999998 +-602.08000000000004,-405.697 +-673.69500000000005,-382.47399999999999 +371.33100000000002,-466.75400000000002 +-0.479854,-504.63400000000001 +1109.1300000000001,257.72800000000001 +-1121.6900000000001,195.96299999999999 +813.54300000000001,-256.76600000000002 +272.96499999999997,-486.88799999999998 +-1055.6900000000001,746.96100000000001 +476.524,1339.46 +108.005,-492.94099999999997 +1033.98,21.4254 +855.71199999999999,-211.441 +-523.64999999999998,-398.40100000000001 +1057.21,670.97000000000003 +-959.85000000000002,-140.51599999999999 +813.77700000000004,338.69799999999998 +-529.98599999999999,1319.23 +-717.03099999999995,-354.27499999999998 +631.35799999999995,-358.43700000000001 +-81.398300000000006,-498.02600000000001 +-574.88400000000001,-403.589 +556.40099999999995,1308.3 +578.22199999999998,-358.21800000000002 +225.16999999999999,-490.40100000000001 +421.13900000000001,-449.75 +-295.44299999999998,-495.327 +942.27800000000002,944.69600000000003 +1063.98,95.930300000000003 +793.04300000000001,1126.9300000000001 +-1033.5799999999999,-35.819000000000003 +-1083.3199999999999,627.92700000000002 +1088.47,174.673 +178.99299999999999,-490.49000000000001 +900.19899999999996,-131.78399999999999 +700.98599999999999,-329.803 +-246.44499999999999,-500.834 +449.49900000000002,1348.77 +-1096.47,114.178 +-345.75,-486.00700000000001 +-837.70399999999995,1094.1300000000001 +-1067.6600000000001,36.9114 +-503.35700000000003,1329.6099999999999 +-891.52800000000002,-181.39099999999999 +60.947400000000002,879.33799999999997 +-198.53,-501.77699999999999 +552.60000000000002,-357.16399999999999 +134.30099999999999,-486.435 +-152.416,-499.38799999999998 +815.53800000000001,1110.75 +742.03700000000003,-298.37099999999998 +-644.67700000000002,-382.50400000000002 +-979.49300000000005,906.05200000000002 +-760.73000000000002,-322.94200000000001 +-1132.4400000000001,313.214 +1008.74,809.24000000000001 +-565.64099999999996,-293.08100000000002 +604.08000000000004,-358.41699999999997 +-108.005,-492.94099999999997 +903.50199999999995,-106.819 +-396.399,-471.70100000000002 +-582.59100000000001,1296.8499999999999 +-1070.48,649.58000000000004 +-476.524,1339.46 +770.22699999999998,1142.6400000000001 +-538.51999999999998,-378.06 +444.666,-426.50400000000002 +1001.92,-20.8445 +-1040.46,768.03399999999999 +346.82400000000001,-460.81299999999999 +298.69900000000001,-471.53899999999999 +934.87400000000002,-97.429100000000005 +672.05600000000004,-332.053 +631.40099999999995,-84.723799999999997 +923.09500000000003,963.44799999999998 +160.21000000000001,-478.52699999999999 +205.05500000000001,-480.178 +428.97300000000001,149.82300000000001 +-556.40099999999995,1308.3 +-449.49900000000002,1348.77 +-999.23400000000004,-76.250600000000006 +-803.11599999999999,-287.726 +783.21100000000001,-263.786 +251.16,-477.61200000000002 +-928.05100000000004,-149.00800000000001 +-564.08299999999997,-380.09300000000002 +1043.5,692.08799999999997 +968.197,-60.417700000000004 +-60.947400000000002,879.33799999999997 +395.84199999999998,-446.15600000000001 +-617.17100000000005,-382.34699999999998 +-134.30099999999999,-486.435 +1029.3800000000001,712.92700000000002 +-178.99299999999999,-490.49000000000001 +747.09900000000005,1157.9000000000001 +-961.08000000000004,925.56100000000004 +-272.96499999999997,-486.88799999999998 +1101.5699999999999,288.32600000000002 +-687.84500000000003,-356.399 +-321.59699999999998,-478.75999999999999 +1032.99,50.033999999999999 +-225.16999999999999,-490.40100000000001 +992.26599999999996,829.35599999999999 +-963.37099999999998,-113.89700000000001 +-450.90800000000002,-450.02999999999997 +135.08500000000001,-281.55599999999998 +339.69200000000001,1380.53 +644.61800000000005,-334.00200000000001 +1113.3699999999999,375.39400000000001 +-589.91499999999996,-381.28199999999998 +1060.9200000000001,125.34099999999999 +591.51300000000003,-335.81700000000001 +185.65700000000001,-469.24099999999999 +-1034.1700000000001,-7.19956 +-371.33100000000002,-466.75400000000002 +-793.04300000000001,1126.9300000000001 +-730.06899999999996,-326.56200000000001 +822.77800000000002,-225.43000000000001 +578.30899999999997,-313.83300000000003 +1083.22,204.73099999999999 +39.729399999999998,-486.51600000000002 +-1092.8900000000001,144.48099999999999 +-13.5655,-487.947 +311.86900000000003,1387.0799999999999 +-1024.8,788.79700000000003 +-1066.23,66.446299999999994 +903.53899999999999,981.81200000000001 +565.86900000000003,-335.745 +230.52600000000001,-468.483 +65.778800000000004,-483.68299999999999 +-1123.3399999999999,344.43599999999998 +-1120.28,466.56099999999998 +877.88699999999994,79.151600000000002 +713.10000000000002,-302.72199999999998 +657.94299999999998,1019.72 +-160.21000000000001,-478.52699999999999 +-39.729399999999998,-486.51600000000002 +-896.20699999999999,-156.648 +-815.53800000000001,1110.75 +422.29199999999997,1357.54 +1102.5599999999999,406.065 +283.92000000000002,1393.0699999999999 +617.36000000000001,-335.02499999999998 +-658.85000000000002,-357.541 +-942.27800000000002,944.69600000000003 +323.57100000000003,-454.83199999999999 +276.42700000000002,-463.44600000000003 +-339.69200000000001,1380.53 +705.14999999999998,528.88 +-135.08500000000001,-281.55599999999998 +91.6387,-479.45699999999999 +-421.13900000000001,-449.75 +-205.05500000000001,-480.178 +-770.22699999999998,1142.6400000000001 +1002.12,6.8930999999999996 +-772.53700000000003,-293.57900000000001 +752.91600000000005,-269.74200000000002 +255.85599999999999,1398.49 +371.05099999999999,-441.53800000000001 +-1115.8399999999999,226.93299999999999 +-65.778800000000004,-483.68299999999999 +419.21300000000002,-424.27199999999999 +394.91500000000002,1365.75 +-311.86900000000003,1387.0799999999999 +975.39200000000005,849.13699999999994 +210.56899999999999,-458.60300000000001 +117.235,-473.84899999999999 +-1057.21,670.97000000000003 +937.21299999999997,-71.517799999999994 +-861.23599999999999,-187.67699999999999 +466.91199999999998,-402.02800000000002 +227.68799999999999,1403.3499999999999 +-251.16,-477.61200000000002 +-813.77700000000004,338.69799999999998 +-1000.96,-48.566200000000002 +13.5655,-487.947 +1014.84,733.47799999999995 +-298.69900000000001,-471.53899999999999 +-283.92000000000002,1393.0699999999999 +-931.82000000000005,-123.26600000000001 +969.49900000000002,-33.598300000000002 +699.947,1186.99 +684.27599999999995,-306.07900000000001 +-578.22199999999998,-358.21800000000002 +883.61800000000005,999.77800000000002 +199.429,1407.6500000000001 +367.37700000000001,1373.4200000000001 +424.95100000000002,-160.87799999999999 +-91.6387,-479.45699999999999 +-631.35799999999995,-358.43700000000001 +-255.85599999999999,1398.49 +-346.82400000000001,-460.81299999999999 +-747.09900000000005,1157.9000000000001 +-185.65700000000001,-469.24099999999999 +-1008.74,809.24000000000001 +-422.29199999999997,1357.54 +142.49299999999999,-466.875 +-1109.1300000000001,257.72800000000001 +171.089,1411.3699999999999 +-966.154,-87.190799999999996 +-552.60000000000002,-357.16399999999999 +1031.21,78.604200000000006 +1093.1700000000001,318.70299999999997 +-227.68799999999999,1403.3499999999999 +-428.97300000000001,149.82300000000001 +255.333,-455.43799999999999 +792.73599999999999,-233.60599999999999 +-923.09500000000003,963.44799999999998 +-813.54300000000001,-256.76600000000002 +-855.71199999999999,-211.441 +142.68000000000001,1414.53 +-444.666,-426.50400000000002 +-199.429,1407.6500000000001 +-394.91500000000002,1365.75 +-1033.98,21.4254 +514.29700000000003,-375.95800000000003 +-700.98599999999999,-329.803 +-117.235,-473.84899999999999 +114.21299999999999,1417.1099999999999 +675.94200000000001,1200.8299999999999 +-631.40099999999995,-84.723799999999997 +723.66899999999998,1172.6800000000001 +-171.089,1411.3699999999999 +656.93299999999999,-309.077 +1057.05,154.655 +1079.1800000000001,555.05100000000004 +-604.08000000000004,-358.41699999999997 +603.93700000000001,-312.923 +-142.68000000000001,1414.53 +-395.84199999999998,-446.15600000000001 +-230.52600000000001,-468.483 +300.89800000000002,-447.94499999999999 +1077.1400000000001,234.63200000000001 +-1088.47,174.673 +441.37599999999998,-401.166 +234.875,-446.64299999999997 +-114.21299999999999,1417.1099999999999 +-900.19899999999996,-131.78399999999999 +50.989400000000003,-468.87299999999999 +-705.14999999999998,528.88 +-1063.98,95.930300000000003 +-367.37700000000001,1373.4200000000001 +167.34,-458.55599999999998 +25.7561,-470.93400000000003 +958.12400000000002,868.57500000000005 +76.075900000000004,-465.46100000000001 +347.512,-436.81299999999999 +-742.03700000000003,-298.37099999999998 +863.34000000000003,1017.34 +906.11199999999997,-81.772300000000001 +-25.7561,-470.93400000000003 +-1043.5,692.08799999999997 +1090.9000000000001,436.42399999999998 +-50.989400000000003,-468.87299999999999 +830.80499999999995,-193.76300000000001 +-992.26599999999996,829.35599999999999 +724.16700000000003,-275.19799999999998 +-142.49299999999999,-466.875 +100.943,-460.70800000000003 +-1029.3800000000001,712.92700000000002 +-210.56899999999999,-458.60300000000001 +-903.50199999999995,-106.819 +651.66399999999999,1214.1700000000001 +-276.42700000000002,-463.44600000000003 +629.73500000000001,-311.14299999999997 +-657.94299999999998,1019.72 +-903.53899999999999,981.81200000000001 +1001.54,34.625399999999999 +-672.05600000000004,-332.053 +946.596,759.38699999999994 +394.20999999999998,-420.99099999999999 +-699.947,1186.99 +-76.075900000000004,-465.46100000000001 +-109.64700000000001,1208.5899999999999 +-323.57100000000003,-454.83199999999999 +938.83299999999997,-45.551699999999997 +-1001.92,-20.8445 +999.88599999999997,753.73199999999997 +279.404,-441.08100000000002 +125.51900000000001,-454.62799999999999 +416.23200000000003,-399.23099999999999 +970.05700000000002,-6.7531999999999996 +191.70500000000001,-448.916 +762.69200000000001,-240.71700000000001 +-783.21100000000001,-263.786 +-934.87400000000002,-97.429100000000005 +627.12199999999996,1227.03 +109.64700000000001,1208.5899999999999 +842.71199999999999,1034.49 +-100.943,-460.70800000000003 +-371.05099999999999,-441.53800000000001 +487.81200000000001,-376.39400000000001 +-0.44847799999999999,-471.637 +-591.51300000000003,-335.81700000000001 +258.50299999999999,-433.39600000000002 +-578.30899999999997,-313.83300000000003 +-644.61800000000005,-334.00200000000001 +-968.197,-60.417700000000004 +-1101.5699999999999,288.32600000000002 +940.46799999999996,887.66200000000003 +695.49300000000005,-279.65600000000001 +-167.34,-458.55599999999998 +1083.9300000000001,348.83600000000001 +1028.6400000000001,107.114 +-255.333,-455.43799999999999 +-675.94200000000001,1200.8299999999999 +-565.86900000000003,-335.745 +-419.21300000000002,-424.27199999999999 +-1113.3699999999999,375.39400000000001 +-883.61800000000005,999.77800000000002 +324.50099999999998,-431.15300000000002 +-723.66899999999998,1172.6800000000001 +149.73400000000001,-447.238 +-1032.99,50.033999999999999 +-975.39200000000005,849.13699999999994 +-424.95100000000002,-160.87799999999999 +85.700500000000005,1419.1199999999999 +1052.3599999999999,183.851 +-234.875,-446.64299999999997 +-125.51900000000001,-454.62799999999999 +-466.91199999999998,-402.02800000000002 +-1060.9200000000001,125.34099999999999 +57.152900000000002,1420.55 +801.09900000000005,-203.083 +-1083.22,204.73099999999999 +-617.36000000000001,-335.02499999999998 +-822.77800000000002,-225.43000000000001 +28.5822,1421.4200000000001 +-877.88699999999994,79.151600000000002 +1070.23,264.35399999999998 +-85.700500000000005,1419.1199999999999 +-713.10000000000002,-302.72199999999998 +668.28499999999997,-283.69799999999998 +0,1421.7 +370.44999999999999,-417.536 +615.47500000000002,-289.57100000000003 +-57.152900000000002,1420.55 +-28.5822,1421.4200000000001 +215.518,-437.98200000000003 +984.52999999999997,773.68200000000002 +-1102.5599999999999,406.065 +-651.66399999999999,1214.1700000000001 +-1014.84,733.47799999999995 +821.74400000000003,1051.22 +968.77700000000004,793.31899999999996 +-300.89800000000002,-447.94499999999999 +908.02800000000002,-56.662999999999997 +1078.4000000000001,466.44900000000001 +577.28899999999999,1251.25 +173.517,-438.55799999999999 +462.26600000000002,-376.90300000000002 +-191.70500000000001,-448.916 +589.90099999999995,-291.46199999999999 +302.67000000000002,-425.452 +222.20400000000001,-396.346 +-863.34000000000003,1017.34 +1000.2,62.331299999999999 +922.43200000000002,906.38999999999999 +-752.91600000000005,-269.74200000000002 +-627.12199999999996,1227.03 +-347.512,-436.81299999999999 +-149.73400000000001,-447.238 +281.387,-418.89999999999998 +641.18600000000004,-286.80399999999997 +418.23000000000002,1139.21 +-1002.12,6.8930999999999996 +734.17200000000003,-247.27000000000001 +939.73400000000004,-19.550699999999999 +-514.29700000000003,-375.95800000000003 +-684.27599999999995,-306.07900000000001 +-958.12400000000002,868.57500000000005 +969.87199999999996,20.097100000000001 +392.322,-397.05599999999998 +837.61500000000001,-161.81200000000001 +552.01700000000005,1262.5999999999999 +-441.37599999999998,-401.166 +-279.404,-441.08100000000002 +-937.21299999999997,-71.517799999999994 +-946.596,759.38699999999994 +800.44399999999996,1067.53 +-969.49900000000002,-33.598300000000002 +-1093.1700000000001,318.70299999999997 +-258.50299999999999,-433.39600000000002 +238.71000000000001,-425.78699999999998 +347.16899999999998,-413.11900000000003 +158.14400000000001,1203.21 +1025.28,135.542 +1073.8599999999999,378.70100000000002 +-394.20999999999998,-420.99099999999999 +-1079.1800000000001,555.05100000000004 +-842.71199999999999,1034.49 +-603.93700000000001,-312.923 +196.80099999999999,-428.61599999999999 +771.34900000000005,-211.339 +623.06700000000001,-132.78899999999999 +-792.73599999999999,-233.60599999999999 +-656.93299999999999,-309.077 +526.52099999999996,1273.4400000000001 +602.327,1239.3900000000001 +-1031.21,78.604200000000006 +437.05599999999998,-376.32100000000003 +909.24900000000002,-31.510300000000001 +904.02300000000002,924.75199999999995 +-173.517,-438.55799999999999 +-215.518,-437.98200000000003 +705.69000000000005,-252.822 +-577.28899999999999,1251.25 +-416.23200000000003,-399.23099999999999 +507.30700000000002,-349.67599999999999 +1046.8699999999999,212.90600000000001 +-1057.05,154.655 +-222.20400000000001,-396.346 +-1077.1400000000001,234.63200000000001 +-143.84700000000001,431.01400000000001 +319.36500000000001,-323.21899999999999 +-324.50099999999998,-431.15300000000002 +-999.88599999999997,753.73199999999997 +368.83699999999999,-393.89499999999998 +-1090.9000000000001,436.42399999999998 +1062.51,293.87299999999999 +-940.46799999999996,887.66200000000003 +778.82100000000003,1083.4100000000001 +500.81299999999999,1283.77 +325.06400000000002,-408.59800000000001 +-629.73500000000001,-311.14299999999997 +143.84700000000001,431.01400000000001 +626.11000000000001,-265.79399999999998 +1065.0799999999999,496.11599999999999 +678.65700000000004,-257.90300000000002 +-552.01700000000005,1262.5999999999999 +-906.11199999999997,-81.772300000000001 +-724.16700000000003,-275.19799999999998 +-821.74400000000003,1051.22 +303.45999999999998,-403.197 +-487.81200000000001,-376.39400000000001 +-158.14400000000001,1203.21 +-418.23000000000002,1139.21 +952.63199999999995,812.63499999999999 +808.28700000000003,-172.26300000000001 +600.62699999999995,-268.66199999999998 +-830.80499999999995,-193.76300000000001 +998.09100000000001,89.9893 +873.83500000000004,-115.595 +219.517,-417.43799999999999 +474.90300000000002,1293.5799999999999 +261.214,-412.36399999999998 +1043.76,619.09500000000003 +-370.44999999999999,-417.536 +-1001.54,34.625399999999999 +-302.67000000000002,-425.452 +885.24900000000002,942.73900000000003 +-526.52099999999996,1273.4400000000001 +939.91499999999996,6.4652500000000002 +413.06299999999999,-375.43200000000002 +756.88199999999995,1098.8499999999999 +968.94500000000005,46.932000000000002 +481.82499999999999,-351.55500000000001 +-196.80099999999999,-428.61599999999999 +-281.387,-418.89999999999998 +651.69600000000003,-262.04500000000002 +-602.327,1239.3900000000001 +-762.69200000000001,-240.71700000000001 +-938.83299999999997,-45.551699999999997 +909.77200000000005,-6.33352 +-984.52999999999997,773.68200000000002 +-968.77700000000004,793.31899999999996 +-1083.9300000000001,348.83600000000001 +-238.71000000000001,-425.78699999999998 +-970.05700000000002,-6.7531999999999996 +-695.49300000000005,-279.65600000000001 +743.101,-218.97999999999999 +-922.43200000000002,906.38999999999999 +-800.44399999999996,1067.53 +-500.81299999999999,1283.77 +1021.13,163.86600000000001 +1062.97,408.27699999999999 +-462.26600000000002,-376.90300000000002 +-1028.6400000000001,107.114 +389.44200000000001,-373.536 +843.19600000000003,-129.624 +-392.322,-397.05599999999998 +346.52100000000002,-390.56599999999997 +-615.47500000000002,-289.57100000000003 +324.65800000000002,-386.33199999999999 +-668.28499999999997,-283.69799999999998 +-474.90300000000002,1293.5799999999999 +1040.5799999999999,241.798 +-1052.3599999999999,183.851 +-1078.4000000000001,466.44900000000001 +366.98000000000002,-371.40899999999999 +-1070.23,264.35399999999998 +866.11699999999996,960.346 +-347.16899999999998,-413.11900000000003 +-589.90099999999995,-291.46199999999999 +778.875,-181.65199999999999 +456.62,-352.32600000000002 +936.10199999999998,831.62300000000005 +241.59999999999999,-405.05700000000002 +1053.97,323.16699999999997 +-801.09900000000005,-203.083 +396.06200000000001,1319.8499999999999 +282.96499999999997,-397.75299999999999 +714.85299999999995,-225.61799999999999 +-778.82100000000003,1083.4100000000001 +-904.02300000000002,924.75199999999995 +-219.517,-417.43799999999999 +-366.68000000000001,801.55799999999999 +635.82799999999997,-241.62700000000001 +366.68000000000001,801.55799999999999 +610.47299999999996,-245.46899999999999 +-908.02800000000002,-56.662999999999997 +-319.36500000000001,-323.21899999999999 +-641.18600000000004,-286.80399999999997 +525.34000000000003,-321.94999999999999 +919.19399999999996,850.274 +369.44799999999998,1327.55 +995.21799999999996,117.578 +712.096,1128.3900000000001 +-437.05599999999998,-376.32100000000003 +-325.06400000000002,-408.59800000000001 +688.03399999999999,-231.73099999999999 +-261.214,-412.36399999999998 +-368.83699999999999,-393.89499999999998 +344.92099999999999,-368.35399999999998 +-303.45999999999998,-403.197 +-1000.2,62.331299999999999 +-734.17200000000003,-247.27000000000001 +939.37599999999998,32.476300000000002 +967.27499999999998,73.730999999999995 +619.42399999999998,-221.916 +-756.88199999999995,1098.8499999999999 +342.68400000000003,1334.71 +846.63499999999999,977.56500000000005 +-837.61500000000001,-161.81200000000001 +-507.30700000000002,-349.67599999999999 +-939.73400000000004,-19.550699999999999 +-396.06200000000001,1319.8499999999999 +-623.06700000000001,-132.78899999999999 +-969.87199999999996,20.097100000000001 +814.28899999999999,-141.19 +448.80099999999999,1302.8599999999999 +432.61399999999998,-352.726 +-1073.8599999999999,378.70100000000002 +734.63800000000003,1113.8399999999999 +661.25099999999998,-236.90100000000001 +-952.63199999999995,812.63499999999999 +901.91399999999999,868.58199999999999 +315.78100000000001,1341.3299999999999 +-885.24900000000002,942.73900000000003 +262.988,-391.50900000000001 +1016.21,192.065 +689.26700000000005,1142.47 +-369.44799999999998,1327.55 +-1025.28,135.542 +499.99599999999998,-325.19299999999998 +12.6275,-454.20800000000003 +-771.34900000000005,-211.339 +422.517,1311.6199999999999 +-705.69000000000005,-252.822 +303.90100000000001,-381.99599999999998 +1050.9400000000001,525.404 +288.75099999999998,1347.4100000000001 +750.94100000000003,-190.36799999999999 +554.005,-314.52199999999999 +-413.06299999999999,-375.43200000000002 +61.230600000000003,-450.23899999999998 +-909.24900000000002,-31.510300000000001 +-241.59999999999999,-405.05700000000002 +-342.68400000000003,1334.71 +-1065.0799999999999,496.11599999999999 +-1046.8699999999999,212.90600000000001 +1033.48,270.505 +-626.11000000000001,-265.79399999999998 +-1062.51,293.87299999999999 +261.60399999999998,1352.9400000000001 +-1043.76,619.09500000000003 +364.19099999999997,-349.315 +826.81100000000004,994.38800000000003 +-481.82499999999999,-351.55500000000001 +666.15899999999999,1156.0999999999999 +-600.62699999999995,-268.66199999999998 +1035.99,554.28899999999999 +1044.6199999999999,352.21300000000002 +-315.78100000000001,1341.3299999999999 +-678.65700000000004,-257.90300000000002 +408.92500000000001,-352.10000000000002 +847.54100000000005,-97.245500000000007 +-448.80099999999999,1302.8599999999999 +-324.65800000000002,-386.33199999999999 +-346.52100000000002,-390.56599999999997 +909.59900000000005,18.848199999999999 +-61.230600000000003,-450.23899999999998 +-282.96499999999997,-397.75299999999999 +-389.44200000000001,-373.536 +-288.75099999999998,1347.4100000000001 +-866.11699999999996,960.346 +-712.096,1128.3900000000001 +-7.7907000000000002,-437.06099999999998 +41.864199999999997,-435.12099999999998 +474.86799999999999,-327.31599999999997 +785.25900000000001,-151.69800000000001 +722.96699999999998,-198.083 +386.38099999999997,-351.18099999999998 +991.58299999999997,145.077 +-808.28700000000003,-172.26300000000001 +644.61300000000006,-217.10599999999999 +-366.98000000000002,-371.40899999999999 +-261.60399999999998,1352.9400000000001 +-422.517,1311.6199999999999 +-873.83500000000004,-115.595 +-998.09100000000001,89.9893 +1051.26,437.54000000000002 +283.61700000000002,-376.83300000000003 +-936.10199999999998,831.62300000000005 +-651.69600000000003,-262.04500000000002 +964.86300000000006,100.473 +938.11800000000005,58.462400000000002 +642.78200000000004,1169.26 +-41.864199999999997,-435.12099999999998 +75.682599999999994,-430.529 +806.65200000000004,1010.8099999999999 +-939.91499999999996,6.4652500000000002 +696.40200000000004,-205.21799999999999 +-968.94500000000005,46.932000000000002 +-456.62,-352.32600000000002 +132.63999999999999,-434.59300000000002 +-1062.97,408.27699999999999 +-734.63800000000003,1113.8399999999999 +-344.92099999999999,-368.35399999999998 +-919.19399999999996,850.274 +-743.101,-218.97999999999999 +-689.26700000000005,1142.47 +-909.77200000000005,-6.33352 +541.85900000000004,-293.29599999999999 +1038.75,466.46699999999998 +-262.988,-391.50900000000001 +323.96100000000001,-365.13799999999998 +-846.63499999999999,977.56500000000005 +884.26999999999998,886.53899999999999 +1010.5,220.11600000000001 +-1021.13,163.86600000000001 +669.83699999999999,-211.41 +450.91800000000001,-329.00400000000002 +-75.682599999999994,-430.529 +-843.19600000000003,-129.624 +990.64999999999998,700.94500000000005 +819.09799999999996,-109.91 +109.04000000000001,-423.31200000000001 +-666.15899999999999,1156.0999999999999 +-1040.5799999999999,241.798 +-525.34000000000003,-321.94999999999999 +-1053.97,323.16699999999997 +1025.5999999999999,299.005 +908.72900000000004,44.0154 +-303.90100000000001,-381.99599999999998 +786.16800000000001,1026.8199999999999 +-901.91399999999999,868.58199999999999 +1020.26,582.74900000000002 +-610.47299999999996,-245.46899999999999 +-778.875,-181.65199999999999 +-714.85299999999995,-225.61799999999999 +1034.47,380.98899999999998 +-635.82799999999997,-241.62700000000001 +-432.61399999999998,-352.726 +303.43000000000001,-361.07100000000003 +-132.63999999999999,-434.59300000000002 +757.67899999999997,-161.477 +595.25800000000004,1194.1500000000001 +516.72500000000002,-297.89499999999998 +234.352,1357.9200000000001 +-826.81100000000004,994.38800000000003 +-642.78200000000004,1169.26 +207.00399999999999,1362.3599999999999 +-688.03399999999999,-231.73099999999999 +907.16300000000001,69.148899999999998 +987.18700000000001,172.465 +847.91600000000005,921.37 +-619.42399999999998,-221.916 +-995.21799999999996,117.578 +866.26800000000003,904.13699999999994 +382.41000000000003,-329.26900000000001 +-109.04000000000001,-423.31200000000001 +427.23000000000002,-329.649 +-364.19099999999997,-349.315 +179.57300000000001,1366.25 +-499.99599999999998,-325.19299999999998 +141.732,-413.51600000000002 +961.71299999999997,127.139 +-234.352,1357.9200000000001 +-283.61700000000002,-376.83300000000003 +936.13999999999999,84.403800000000004 +850.64200000000005,-64.724500000000006 +571.13,1205.8800000000001 +765.36599999999999,1042.4200000000001 +730.02099999999996,-170.25800000000001 +152.06899999999999,1369.5799999999999 +627.46600000000001,-198.03800000000001 +-967.27499999999998,73.730999999999995 +652.452,-192.267 +-939.37599999999998,32.476300000000002 +-207.00399999999999,1362.3599999999999 +24.016300000000001,-421.84399999999999 +-814.28899999999999,-141.19 +790.49099999999999,-121.52200000000001 +-408.92500000000001,-352.10000000000002 +-661.25099999999998,-236.90100000000001 +343.08800000000002,-347.22800000000001 +124.504,1372.3599999999999 +-1050.9400000000001,525.404 +491.74700000000001,-301.363 +404.66899999999998,-329.94200000000001 +-179.57300000000001,1366.25 +-554.005,-314.52199999999999 +-806.65200000000004,1010.8099999999999 +619.14499999999998,1181.95 +261.76100000000002,-170.30099999999999 +1025.4400000000001,495.03699999999998 +-24.016300000000001,-421.84399999999999 +96.888999999999996,1374.5899999999999 +881.25699999999995,-18.334099999999999 +-152.06899999999999,1369.5799999999999 +56.853200000000001,-418.68400000000003 +-386.38099999999997,-351.18099999999998 +69.234399999999994,1376.26 +-124.504,1372.3599999999999 +-1016.21,192.065 +703.74800000000005,-178.404 +1004.02,247.999 +546.77099999999996,1217.1199999999999 +-1035.99,554.28899999999999 +41.5518,1377.3699999999999 +-96.888999999999996,1374.5899999999999 +13.852499999999999,1377.9300000000001 +-69.234399999999994,1376.26 +-13.852499999999999,1377.9300000000001 +-41.5518,1377.3699999999999 +744.25400000000002,1057.5999999999999 +200.61600000000001,-407.69799999999998 +-474.86799999999999,-327.31599999999997 +322.36799999999999,-344.26799999999997 +-750.94100000000003,-190.36799999999999 +-595.25800000000004,1194.1500000000001 +-323.96100000000001,-365.13799999999998 +-1033.48,270.505 +-56.853200000000001,-418.68400000000003 +-1044.6199999999999,352.21300000000002 +1016.9299999999999,327.27499999999998 +1003.74,610.76300000000003 +677.44000000000005,-185.61000000000001 +89.343599999999995,-412.97300000000001 +-884.26999999999998,886.53899999999999 +-141.732,-413.51600000000002 +173.56,-401.19799999999998 +522.19200000000001,1227.8699999999999 +1023.53,409.47399999999999 +467.92200000000003,-304.33300000000003 +-786.16800000000001,1026.8199999999999 +556.81700000000001,-263.79700000000003 +-847.54100000000005,-97.245500000000007 +822.70600000000002,-78.468299999999999 +196.756,-242.50399999999999 +-261.76100000000002,-170.30099999999999 +-909.59900000000005,18.848199999999999 +-303.43000000000001,-361.07100000000003 +-571.13,1205.8800000000001 +-1051.26,437.54000000000002 +-644.61300000000006,-217.10599999999999 +829.221,938.23000000000002 +-785.25900000000001,-151.69800000000001 +-722.96699999999998,-198.083 +982.03599999999994,199.721 +722.84100000000001,1072.3499999999999 +-991.58299999999997,145.077 +-450.91800000000001,-329.00400000000002 +-990.64999999999998,700.94500000000005 +763.30499999999995,-132.34999999999999 +-541.85900000000004,-293.29599999999999 +-89.343599999999995,-412.97300000000001 +957.82600000000002,153.70699999999999 +933.44600000000003,110.28 +-619.14499999999998,1181.95 +-546.77099999999996,1217.1199999999999 +-1038.75,466.46699999999998 +-196.756,-242.50399999999999 +121.289,-404.74400000000003 +-964.86300000000006,100.473 +-696.40200000000004,-205.21799999999999 +-938.11800000000005,58.462400000000002 +-847.91600000000005,921.37 +531.96600000000001,-269.738 +-765.36599999999999,1042.4200000000001 +361.226,-328.31799999999998 +399.52800000000002,-308.274 +-866.26800000000003,904.13699999999994 +444.30399999999997,-306.24900000000002 +1011.35,523.22799999999995 +-200.61600000000001,-407.69799999999998 +-1020.26,582.74900000000002 +634.58799999999997,-173.869 +-1010.5,220.11600000000001 +701.13699999999994,1086.6600000000001 +736.00400000000002,-142.18299999999999 +659.33500000000004,-167.14599999999999 +-522.19200000000001,1227.8699999999999 +340.37700000000001,-326.47399999999999 +-669.83699999999999,-211.41 +996.77499999999998,275.69200000000001 +-382.41000000000003,-329.26900000000001 +852.49699999999996,-32.108600000000003 +-516.72500000000002,-297.89499999999998 +640.77999999999997,-149.44499999999999 +-173.56,-401.19799999999998 +421.791,-307.75200000000001 +794.56399999999996,-91.167100000000005 +-343.08800000000002,-347.22800000000001 +-427.23000000000002,-329.649 +-819.09799999999996,-109.91 +204.33099999999999,-386.435 +986.45000000000005,638.30899999999997 +-1025.5999999999999,299.005 +447.226,1257.1099999999999 +-7.2701599999999997,-407.858 +-1034.47,380.98899999999998 +39.067,-406.048 +507.20999999999998,-274.541 +1007.49,355.29500000000002 +810.19100000000003,954.71199999999999 +-744.25400000000002,1057.5999999999999 +595.50900000000001,-226.30600000000001 +631.29100000000005,85.544399999999996 +904.90099999999995,94.229399999999998 +710.06299999999999,-151.32900000000001 +-908.72900000000004,44.0154 +-121.289,-404.74400000000003 +-322.36799999999999,-344.26799999999997 +1011.8099999999999,437.64499999999998 +152.49600000000001,-394.048 +-404.66899999999998,-329.94200000000001 +-39.067,-406.048 +-757.67899999999997,-161.477 +679.14800000000002,1100.54 +421.863,1265.8499999999999 +263.39999999999998,-370.25099999999998 +684.04999999999995,-159.536 +70.625799999999998,-401.76299999999998 +931.13800000000003,778.26400000000001 +-491.74700000000001,-301.363 +771.15599999999995,986.50999999999999 +976.13199999999995,226.82400000000001 +-987.18700000000001,172.465 +497.40100000000001,1238.1199999999999 +-722.84100000000001,1072.3499999999999 +-907.16300000000001,69.148899999999998 +790.83399999999995,970.80700000000002 +-627.46600000000001,-198.03800000000001 +756.95899999999995,948.53899999999999 +930.03599999999994,136.07300000000001 +-1025.4400000000001,495.03699999999998 +953.20500000000004,180.15700000000001 +483.57900000000001,-278.786 +396.32799999999997,1274.0699999999999 +-652.452,-192.267 +-829.221,938.23000000000002 +282.88799999999998,-355.58300000000003 +-961.71299999999997,127.139 +-850.64200000000005,-64.724500000000006 +-447.226,1257.1099999999999 +-936.13999999999999,84.403800000000004 +-730.02099999999996,-170.25800000000001 +825.10699999999997,-46.911999999999999 +294.14499999999998,-104.88200000000001 +-70.625799999999998,-401.76299999999998 +996.47699999999998,551.01800000000003 +-790.49099999999999,-121.52200000000001 +-1003.74,610.76300000000003 +101.754,-395.029 +472.40899999999999,1247.8599999999999 +570.16999999999996,-233.53800000000001 +370.63400000000001,1281.78 +378.32299999999998,-308.46100000000001 +767.81299999999999,-103.02800000000001 +-42.019500000000001,-309.44400000000002 +-701.13699999999994,1086.6600000000001 +-467.92200000000003,-304.33300000000003 +357.40499999999997,-307.73899999999998 +-1004.02,247.999 +-881.25699999999995,-18.334099999999999 +-421.863,1265.8499999999999 +-703.74800000000005,-178.404 +-152.49600000000001,-394.048 +988.76300000000003,303.173 +-204.33099999999999,-386.435 +968.40599999999995,665.36599999999999 +182.773,-380.94999999999999 +42.019500000000001,-309.44400000000002 +233.85599999999999,-369.31599999999997 +-1016.9299999999999,327.27499999999998 +344.79000000000002,1288.98 +634.35799999999995,1126.95 +-556.81700000000001,-263.79700000000003 +-1023.53,409.47399999999999 +460.09699999999998,-281.96600000000001 +-361.226,-328.31799999999998 +-396.32799999999997,1274.0699999999999 +415.49400000000003,-286.39100000000002 +-677.44000000000005,-185.61000000000001 +-497.40100000000001,1238.1199999999999 +-340.37700000000001,-326.47399999999999 +740.90800000000002,-113.899 +997.26700000000005,383.04199999999997 +665.25099999999998,-141.779 +901.947,119.238 +545.67399999999998,-240.804 +999.30700000000002,465.48000000000002 +-399.52800000000002,-308.274 +-101.754,-395.029 +-679.14800000000002,1100.54 +437.697,-284.67500000000001 +-370.63400000000001,1281.78 +132.262,-385.88600000000002 +853.101,0.55442100000000005 +793.78999999999996,383.20699999999999 +-810.19100000000003,954.71199999999999 +797.47199999999998,-60.678800000000003 +-444.30399999999997,-306.24900000000002 +-822.70600000000002,-78.468299999999999 +-472.40899999999999,1247.8599999999999 +-294.14499999999998,-104.88200000000001 +22.402000000000001,-393.488 +611.57299999999998,1139.47 +-22.402000000000001,-393.488 +-531.96600000000001,-269.738 +-982.03599999999994,199.721 +969.48099999999999,253.75299999999999 +-263.39999999999998,-370.25099999999998 +-344.79000000000002,1288.98 +715.33600000000001,-124.032 +-756.95899999999995,948.53899999999999 +-631.29100000000005,85.544399999999996 +53.031599999999997,-390.541 +656.88599999999997,1113.97 +-421.791,-307.75200000000001 +-763.30499999999995,-132.34999999999999 +-1011.35,523.22799999999995 +-771.15599999999995,986.50999999999999 +925.91399999999999,161.761 +751.16800000000001,1001.8099999999999 +521.21100000000001,-246.929 +947.85400000000004,206.47 +-957.82600000000002,153.70699999999999 +689.65599999999995,-133.22900000000001 +-933.44600000000003,110.28 +261.95499999999998,-349.947 +-790.83399999999995,970.80700000000002 +-986.45000000000005,638.30899999999997 +980.84500000000003,578.38599999999997 +-282.88799999999998,-355.58300000000003 +-53.031599999999997,-390.541 +588.54100000000005,1151.54 +-182.773,-380.94999999999999 +-634.58799999999997,-173.869 +-931.13800000000003,778.26400000000001 +211.935,-365.52999999999997 +949.62,691.91300000000001 +-507.20999999999998,-274.541 +83.337999999999994,-385.21300000000002 +-659.33500000000004,-167.14599999999999 +-736.00400000000002,-142.18299999999999 +-996.77499999999998,275.69200000000001 +898.30100000000004,144.155 +-634.35799999999995,1126.95 +-640.77999999999997,-149.44499999999999 +373.404,-288.11700000000002 +213.68899999999999,1317.0699999999999 +-132.262,-385.88600000000002 +979.99300000000005,330.423 +161.964,-374.392 +-852.49699999999996,-32.108600000000003 +826.298,-15.286799999999999 +497.84199999999998,-252.435 +-1011.8099999999999,437.64499999999998 +-233.85599999999999,-369.31599999999997 +-595.50900000000001,-226.30600000000001 +-1007.49,355.29500000000002 +-794.56399999999996,-91.167100000000005 +187.16800000000001,1321.0999999999999 +730.875,1016.71 +565.27099999999996,1163.1400000000001 +635.98500000000001,36.988700000000001 +771.19500000000005,-73.555300000000003 +394.32999999999998,-287.71600000000001 +986.28399999999999,410.49599999999998 +710.28700000000003,1031.2 +160.56999999999999,1324.5999999999999 +-357.40499999999997,-307.73899999999998 +-710.06299999999999,-151.32900000000001 +-213.68899999999999,1317.0699999999999 +986.04100000000005,492.959 +-904.90099999999995,94.229399999999998 +-378.32299999999998,-308.46100000000001 +-83.337999999999994,-385.21300000000002 +318.80599999999998,1295.6500000000001 +-483.57900000000001,-278.786 +-611.57299999999998,1139.47 +133.90799999999999,1327.5599999999999 +-187.16800000000001,1321.0999999999999 +646.03200000000004,-124.80200000000001 +113.136,-377.53800000000001 +-684.04999999999995,-159.536 +107.191,1329.98 +-160.56999999999999,1324.5999999999999 +581.88,-202.60599999999999 +882.53099999999995,832.97799999999995 +689.41200000000003,1045.27 +-656.88599999999997,1113.97 +-996.47699999999998,551.01800000000003 +744.726,-85.448700000000002 +80.431299999999993,1331.8699999999999 +292.69400000000002,1301.79 +-133.90799999999999,1327.5599999999999 +-976.13199999999995,226.82400000000001 +879.74099999999999,54.8245 +66.032700000000006,-305.22300000000001 +670.19200000000001,-116.205 +474.565,-256.87099999999998 +962.08600000000001,280.48700000000002 +53.639000000000003,1333.21 +-107.191,1329.98 +-968.40599999999995,665.36599999999999 +893.96699999999998,168.96100000000001 +26.8249,1334.02 +-80.431299999999993,1331.8699999999999 +-793.78999999999996,383.20699999999999 +-53.639000000000003,1333.21 +0,1334.29 +430.26400000000001,-263.68299999999999 +-26.8249,1334.02 +921.08199999999999,187.32499999999999 +-588.54100000000005,1151.54 +36.424100000000003,-378.57900000000001 +288.45800000000003,-328.44400000000002 +-953.20500000000004,180.15700000000001 +239.80600000000001,-347.88200000000001 +941.77599999999995,232.624 +266.46300000000002,1307.4200000000001 +-930.03599999999994,136.07300000000001 +964.46199999999999,605.31100000000004 +-570.16999999999996,-233.53800000000001 +-415.49400000000003,-286.39100000000002 +-318.80599999999998,1295.6500000000001 +799.21100000000001,-30.101600000000001 +452.34300000000002,-260.77800000000002 +-751.16800000000001,1001.8099999999999 +852.45399999999995,33.2166 +-825.10699999999997,-46.911999999999999 +-161.964,-374.392 +-460.09699999999998,-281.96600000000001 +930.10599999999999,717.92999999999995 +-261.95499999999998,-349.947 +557.80899999999997,-211.17599999999999 +518.05600000000004,1184.9200000000001 +-6.7783300000000004,-380.267 +190.678,-360.61500000000001 +442.55900000000003,102.988 +240.125,1312.51 +-36.424100000000003,-378.57900000000001 +719.55999999999995,-96.553299999999993 +-211.935,-365.52999999999997 +-66.032700000000006,-305.22300000000001 +-292.69400000000002,1301.79 +-767.81299999999999,-103.02800000000001 +-988.76300000000003,303.173 +-565.27099999999996,1163.1400000000001 +65.847899999999996,-374.58300000000003 +-437.697,-284.67500000000001 +-113.136,-377.53800000000001 +694.25099999999998,-106.727 +970.47299999999996,357.41899999999998 +-266.46300000000002,1307.4200000000001 +142.245,-367.56099999999998 +-999.30700000000002,465.48000000000002 +-545.67399999999998,-240.804 +-997.26700000000005,383.04199999999997 +388.32600000000002,-267.66500000000002 +494.12900000000002,1195.0999999999999 +-240.125,1312.51 +533.71100000000001,-218.60499999999999 +972.01999999999998,520.05999999999995 +-740.90800000000002,-113.899 +974.54499999999996,437.63600000000002 +-665.25099999999998,-141.779 +-730.875,1016.71 +-710.28700000000003,1031.2 +321.767,820.62 +-65.847899999999996,-374.58300000000003 +-901.947,119.238 +-373.404,-288.11700000000002 +470.00299999999999,1204.79 +-853.101,0.55442100000000005 +-980.84500000000003,578.38599999999997 +94.8703,-368.30500000000001 +-797.47199999999998,-60.678800000000003 +-521.21100000000001,-246.929 +-949.62,691.91300000000001 +826.27700000000004,16.360800000000001 +541.77300000000002,1174.27 +-689.41200000000003,1045.27 +-518.05600000000004,1184.9200000000001 +773.44500000000005,-43.974699999999999 +-969.48099999999999,253.75299999999999 +-715.33600000000001,-124.032 +668.25800000000004,1058.9200000000001 +953.95500000000004,307.00700000000001 +409.20100000000002,-266.14100000000002 +510.67000000000002,-225.357 +947.33900000000006,631.77200000000005 +650.33699999999999,-99.975800000000007 +915.54499999999996,212.745 +445.68599999999998,1214 +909.88,743.39700000000005 +-925.91399999999999,161.761 +-394.32999999999998,-287.71600000000001 +-689.65599999999995,-133.22900000000001 +-947.85400000000004,206.47 +266.21499999999997,-328.113 +-142.245,-367.56099999999998 +-190.678,-360.61500000000001 +934.97699999999998,258.60000000000002 +-239.80600000000001,-347.88200000000001 +625.149,1084.9300000000001 +-442.55900000000003,102.988 +-494.12900000000002,1195.0999999999999 +170.48699999999999,-355.34300000000002 +747.45100000000002,-56.872799999999998 +-497.84199999999998,-252.435 +-882.53099999999995,832.97799999999995 +218.22999999999999,-344.63999999999999 +313.202,-304.93900000000002 +-288.45800000000003,-328.44400000000002 +674.14999999999998,-90.459900000000005 +-94.8703,-368.30500000000001 +-979.99300000000005,330.423 +421.19,1222.71 +-20.876100000000001,-366.68599999999998 +-898.30100000000004,144.155 +646.83500000000004,1072.1400000000001 +123.31399999999999,-359.78100000000001 +-635.98500000000001,36.988700000000001 +487.66500000000002,-231.036 +-986.04100000000005,492.959 +-470.00299999999999,1204.79 +960.20899999999995,384.14100000000002 +-986.28399999999999,410.49599999999998 +49.419499999999999,-363.94 +443.79300000000001,-240.215 +799.77700000000004,0.51976599999999995 +591.91399999999999,-171.09 +-826.298,-15.286799999999999 +-321.767,820.62 +850.55700000000002,65.830100000000002 +20.876100000000001,-366.68599999999998 +722.73000000000002,-68.9328 +465.68400000000003,-236.12899999999999 +957.25400000000002,546.76300000000003 +396.52300000000002,1230.9300000000001 +-541.77300000000002,1174.27 +-771.19500000000005,-73.555300000000003 +888.94899999999996,193.63800000000001 +-430.26400000000001,-263.68299999999999 +291.00200000000001,-306.34500000000003 +-474.565,-256.87099999999998 +962.05899999999997,464.44 +-445.68599999999998,1214 +-930.10599999999999,717.92999999999995 +697.82899999999995,-80.067800000000005 +-646.03200000000004,-124.80200000000001 +-49.419499999999999,-363.94 +402.13,-246.44200000000001 +-581.88,-202.60599999999999 +-964.46199999999999,605.31100000000004 +-452.34300000000002,-260.77800000000002 +244.452,-326.565 +568.33699999999999,-180.93899999999999 +77.661600000000007,-358.97500000000002 +-421.19,1222.71 +888.95699999999999,768.29399999999998 +-668.25800000000004,1058.9200000000001 +-744.726,-85.448700000000002 +-670.19200000000001,-116.205 +-962.08600000000001,280.48700000000002 +929.49099999999999,657.74900000000002 +-388.32600000000002,-267.66500000000002 +945.09299999999996,333.29199999999997 +-879.74099999999999,54.8245 +-123.31399999999999,-359.78100000000001 +603.21100000000001,1097.28 +-170.48699999999999,-355.34300000000002 +336.24900000000002,-305.61599999999999 +-893.96699999999998,168.96100000000001 +812.75999999999999,901.18399999999997 +-625.149,1084.9300000000001 +-557.80899999999997,-211.17599999999999 +909.30600000000004,238.00299999999999 +-921.08199999999999,187.32499999999999 +151.00700000000001,-349.06400000000002 +197.68899999999999,-340.95999999999998 +-941.77599999999995,232.624 +-396.52300000000002,1230.9300000000001 +927.46199999999999,284.37700000000001 +544.67200000000003,-189.65000000000001 +-799.21100000000001,-30.101600000000001 +617.52599999999995,-156.547 +653.68700000000001,-75.003100000000003 +-852.45399999999995,33.2166 +-218.22999999999999,-344.63999999999999 +825.04499999999996,47.984400000000001 +-266.21499999999997,-328.113 +321.601,1252.5999999999999 +774.56200000000001,-14.329700000000001 +-719.55999999999995,-96.553299999999993 +-77.661600000000007,-358.97500000000002 +33.9251,-352.60500000000002 +422.89299999999997,-243.80000000000001 +-970.47299999999996,357.41899999999998 +-646.83500000000004,1072.1400000000001 +-972.01999999999998,520.05999999999995 +105.43000000000001,-351.822 +-533.71100000000001,-218.60499999999999 +-694.25099999999998,-106.727 +296.35399999999998,1258.8099999999999 +949.21000000000004,410.56900000000002 +-33.9251,-352.60500000000002 +-974.54499999999996,437.63600000000002 +535.97000000000003,1131.6400000000001 +-409.20100000000002,-266.14100000000002 +522.02700000000004,-197.63 +749.08100000000002,-28.2135 +-313.202,-304.93900000000002 +-909.88,743.39700000000005 +223.68700000000001,-324.49799999999999 +941.755,573.04700000000003 +883.24900000000002,218.167 +677.11900000000003,-64.582499999999996 +61.330100000000002,-348.88299999999998 +270.98700000000002,1264.51 +581.02999999999997,1109.1800000000001 +948.83699999999999,490.88900000000001 +-947.33900000000006,631.77200000000005 +-321.601,1252.5999999999999 +867.35299999999995,792.60299999999995 +-510.67000000000002,-225.357 +269.18400000000003,-306.49799999999999 +245.50999999999999,1269.7 +-6.3132700000000002,-354.17700000000002 +-151.00700000000001,-349.06400000000002 +-296.35399999999998,1258.8099999999999 +371.69600000000003,1238.6500000000001 +910.93100000000004,683.22199999999998 +799.17100000000005,31.1404 +-603.21100000000001,1097.28 +656.08000000000004,-49.920400000000001 +-244.452,-326.565 +-291.00200000000001,-306.34500000000003 +-826.27700000000004,16.360800000000001 +724.83900000000006,-41.211199999999998 +876.87300000000005,242.529 +-105.43000000000001,-351.822 +336.03699999999998,-279.57499999999999 +-953.95500000000004,307.00700000000001 +-61.330100000000002,-348.88299999999998 +499.35899999999998,-204.535 +219.934,1274.3800000000001 +847.41300000000001,98.346999999999994 +-773.44500000000005,-43.974699999999999 +177.779,-336.21899999999999 +132.55600000000001,-342.52499999999998 +-270.98700000000002,1264.51 +935.50599999999997,359.32100000000003 +456.04399999999998,-216.05500000000001 +-650.33699999999999,-99.975800000000007 +-197.68899999999999,-340.95999999999998 +558.61300000000006,1120.6400000000001 +414.77499999999998,-224.50800000000001 +700.38300000000004,-53.291400000000003 +194.26900000000001,1278.55 +477.68400000000003,-210.80000000000001 +346.71899999999999,1245.8800000000001 +-915.54499999999996,212.745 +902.37099999999998,263.07799999999997 +-245.50999999999999,1269.7 +852.72199999999998,223.19200000000001 +-934.97699999999998,258.60000000000002 +-443.79300000000001,-240.215 +88.361199999999997,-343.03500000000003 +-487.66500000000002,-231.036 +919.23599999999999,309.93700000000001 +168.52600000000001,1282.1900000000001 +305.79899999999998,63.310099999999998 +600.24199999999996,-139.08099999999999 +-219.934,1274.3800000000001 +-402.13,-246.44200000000001 +-747.45100000000002,-56.872799999999998 +-812.75999999999999,901.18399999999997 +-535.97000000000003,1131.6400000000001 +-674.14999999999998,-90.459900000000005 +-465.68400000000003,-236.12899999999999 +142.714,1285.3199999999999 +-957.25400000000002,546.76300000000003 +-960.20899999999995,384.14100000000002 +-194.26900000000001,1278.55 +203.46700000000001,-321.32499999999999 +-888.95699999999999,768.29399999999998 +-371.69600000000003,1238.6500000000001 +116.845,1287.9300000000001 +314.01400000000001,-282.70800000000003 +-336.24900000000002,-305.61599999999999 +-168.52600000000001,1282.1900000000001 +-591.91399999999999,-171.09 +-962.05899999999997,464.44 +90.928299999999993,1290.02 +937.48299999999995,436.68200000000002 +-581.02999999999997,1109.1800000000001 +-142.714,1285.3199999999999 +-929.49099999999999,657.74900000000002 +925.53399999999999,598.89200000000005 +868.29700000000003,151.69499999999999 +577.22799999999995,-150.18100000000001 +64.974999999999994,1291.5899999999999 +-799.77700000000004,0.51976599999999995 +-116.845,1287.9300000000001 +-346.71899999999999,1245.8800000000001 +-223.68700000000001,-324.49799999999999 +38.9955,1292.6300000000001 +845.08399999999995,816.30499999999995 +-90.928299999999993,1290.02 +-850.55700000000002,65.830100000000002 +-88.361199999999997,-343.03500000000003 +-722.73000000000002,-68.9328 +13.000299999999999,1293.1600000000001 +-64.974999999999994,1291.5899999999999 +-13.000299999999999,1293.1600000000001 +-38.9955,1292.6300000000001 +822.60299999999995,79.537599999999998 +-132.55600000000001,-342.52499999999998 +774.54300000000001,15.336399999999999 +934.88699999999994,516.96100000000001 +-888.94899999999996,193.63800000000001 +114.854,-335.096 +158.874,-331.13999999999999 +-568.33699999999999,-180.93899999999999 +435.36599999999999,-220.756 +-697.82899999999995,-80.067800000000005 +891.673,708.17100000000005 +513.11099999999999,1142.1900000000001 +530.44799999999998,1091.48 +554.06399999999996,-160.15000000000001 +-177.779,-336.21899999999999 +-558.61300000000006,1120.6400000000001 +248.321,-306.05799999999999 +749.61199999999997,0.48716399999999999 +-269.18400000000003,-306.49799999999999 +-945.09299999999996,333.29199999999997 +-422.89299999999997,-243.80000000000001 +292.27499999999998,-284.56400000000002 +679.09500000000003,-38.610399999999998 +925.20299999999997,385.07400000000001 +466.77999999999997,1161.9000000000001 +737.73599999999999,963.56500000000005 +-544.67200000000003,-189.65000000000001 +-305.79899999999998,63.310099999999998 +443.327,1171.04 +-909.30600000000004,238.00299999999999 +894.74400000000003,287.95100000000002 +-927.46199999999999,284.37700000000001 +531.88,-169.333 +-867.35299999999995,792.60299999999995 +490.04500000000002,1152.28 +-617.52599999999995,-156.547 +-653.68700000000001,-75.003100000000003 +910.30600000000004,335.25999999999999 +-941.755,573.04700000000003 +797.39300000000003,61.715299999999999 +725.88499999999999,-13.4291 +-203.46700000000001,-321.32499999999999 +184.22399999999999,-317.73599999999999 +-825.04499999999996,47.984400000000001 +-114.854,-335.096 +-774.56200000000001,-14.329700000000001 +-949.21000000000004,410.56900000000002 +-336.03699999999998,-279.57499999999999 +869.82399999999996,266.70499999999998 +843.02700000000004,130.72 +822.16800000000001,839.38099999999997 +-522.02700000000004,-197.63 +271.44099999999997,-285.75299999999999 +-910.93100000000004,683.22199999999998 +140.64599999999999,-325.11500000000001 +701.90899999999999,-26.436800000000002 +-530.44799999999998,1091.48 +908.60500000000002,624.27800000000002 +-948.83699999999999,490.88900000000001 +426.22399999999999,-201.928 +925.03800000000001,462.46100000000001 +509.61500000000001,-177.44399999999999 +-158.874,-331.13999999999999 +-513.11099999999999,1142.1900000000001 +466.98000000000002,-191.27199999999999 +-883.24900000000002,218.167 +-749.08100000000002,-28.2135 +871.73199999999997,732.57799999999997 +-414.77499999999998,-224.50800000000001 +227.91499999999999,-304.47199999999998 +488.30799999999999,-184.864 +920.221,542.63699999999994 +-677.11900000000003,-64.582499999999996 +356.82400000000001,-252.50700000000001 +-466.77999999999997,1161.9000000000001 +215.07599999999999,-226.41499999999999 +-456.04399999999998,-216.05500000000001 +-443.327,1171.04 +-314.01400000000001,-282.70800000000003 +-499.35899999999998,-204.535 +-19.432700000000001,-341.33300000000003 +46.002499999999998,-338.77600000000001 +-477.68400000000003,-210.80000000000001 +-490.04500000000002,1152.28 +-876.87300000000005,242.529 +-656.08000000000004,-49.920400000000001 +-852.72199999999998,223.19200000000001 +-935.50599999999997,359.32100000000003 +-799.17100000000005,31.1404 +-845.08399999999995,816.30499999999995 +-724.83900000000006,-41.211199999999998 +-248.321,-306.05799999999999 +-847.41300000000001,98.346999999999994 +371.93000000000001,1195.6400000000001 +606.84000000000003,-106.672 +657.50999999999999,-24.764600000000002 +773.38800000000003,44.979999999999997 +818.95500000000004,110.974 +914.19100000000003,410.53300000000002 +-46.002499999999998,-338.77600000000001 +335.113,-257.34899999999999 +250.97399999999999,-285.76400000000001 +208.45099999999999,-302.39499999999998 +-140.64599999999999,-325.11500000000001 +-700.38300000000004,-53.291400000000003 +-902.37099999999998,263.07799999999997 +419.69400000000002,1179.72 +-737.73599999999999,963.56500000000005 +798.62199999999996,861.81399999999996 +-919.23599999999999,309.93700000000001 +886.43200000000002,312.60399999999998 +-184.22399999999999,-317.73599999999999 +-925.53399999999999,598.89200000000005 +72.291799999999995,-334.15499999999997 +-600.24199999999996,-139.08099999999999 +165.58099999999999,-313.15100000000001 +-292.27499999999998,-284.56400000000002 +446.584,-197.07599999999999 +-891.673,708.17100000000005 +749.04300000000001,29.187100000000001 +584.45500000000004,-118.991 +900.67899999999997,360.32499999999999 +-937.48299999999995,436.68200000000002 +680.07500000000005,-12.5816 +890.97900000000004,649.18600000000004 +-934.88699999999994,516.96100000000001 +-435.36599999999999,-220.756 +19.432700000000001,-341.33300000000003 +395.892,1187.9200000000001 +-577.22799999999995,-150.18100000000001 +851.12300000000005,756.42399999999998 +911.88400000000001,487.88600000000002 +380.90699999999998,-247.739 +274.67500000000001,1221.6500000000001 +98.140600000000006,-327.49599999999998 +-72.291799999999995,-334.15499999999997 +347.81700000000001,1202.8699999999999 +561.86000000000001,-130.18799999999999 +678.31100000000004,1006.28 +-371.93000000000001,1195.6400000000001 +-868.29700000000003,151.69499999999999 +313.58499999999998,-260.89499999999998 +-215.07599999999999,-226.41499999999999 +904.851,567.89800000000002 +-271.44099999999997,-285.75299999999999 +725.86699999999996,14.3726 +794.44500000000005,92.199700000000007 +-227.91499999999999,-304.47199999999998 +312.142,-9.4094099999999994 +189.50700000000001,-299.279 +-822.60299999999995,79.537599999999998 +-822.16800000000001,839.38099999999997 +-774.54300000000001,15.336399999999999 +862.11000000000001,290.67599999999999 +-554.06399999999996,-160.15000000000001 +-419.69400000000002,1179.72 +837.404,162.90100000000001 +702.40700000000004,0.456486 +540.20000000000005,-140.548 +-274.67500000000001,1221.6500000000001 +-925.20299999999997,385.07400000000001 +436.44600000000003,-178.76499999999999 +774.46400000000006,883.58699999999999 +123.39100000000001,-318.84199999999998 +-98.140600000000006,-327.49599999999998 +-749.61199999999997,0.48716399999999999 +-395.892,1187.9200000000001 +-165.58099999999999,-313.15100000000001 +-679.09500000000003,-38.610399999999998 +-347.81700000000001,1202.8699999999999 +-531.88,-169.333 +-908.60500000000002,624.27800000000002 +-208.45099999999999,-302.39499999999998 +-426.22399999999999,-201.928 +902.47900000000004,435.678 +476.57100000000003,-165.93799999999999 +-871.73199999999997,732.57799999999997 +-894.74400000000003,287.95100000000002 +518.40300000000002,-149.84200000000001 +292.90600000000001,-263.70499999999998 +323.56400000000002,1209.6199999999999 +877.44000000000005,337.01799999999997 +-910.30600000000004,335.25999999999999 +-356.82400000000001,-252.50700000000001 +299.18000000000001,1215.8800000000001 +497.524,-158.39500000000001 +-250.97399999999999,-285.76400000000001 +872.66999999999996,673.596 +890.36099999999999,385.11500000000001 +-797.39300000000003,61.715299999999999 +-725.88499999999999,-13.4291 +-925.03800000000001,462.46100000000001 +829.86099999999999,779.69000000000005 +-466.98000000000002,-191.27199999999999 +-869.82399999999996,266.70499999999998 +-920.221,542.63699999999994 +-509.61500000000001,-177.44399999999999 +634.18200000000002,-60.487299999999998 +657.976,0.42761100000000002 +-843.02700000000004,130.72 +771.09900000000005,74.557599999999994 +-798.62199999999996,861.81399999999996 +-488.30799999999999,-184.864 +-312.142,-9.4094099999999994 +898.03200000000004,512.93700000000001 +814.10500000000002,142.24799999999999 +231.40700000000001,-285.21100000000001 +-701.90899999999999,-26.436800000000002 +853.73500000000001,314.42500000000001 +-123.39100000000001,-318.84199999999998 +-189.50700000000001,-299.279 +-335.113,-257.34899999999999 +147.88900000000001,-308.24400000000003 +586.08399999999995,249.702 +-678.31100000000004,1006.28 +445.25,-90.649600000000007 +375.43599999999998,-223.90000000000001 +888.78700000000003,592.72400000000005 +-323.56400000000002,1209.6199999999999 +747.37699999999995,57.844299999999997 +-299.18000000000001,1215.8800000000001 +749.71299999999997,904.68299999999999 +0,1252.1500000000001 +456.51600000000002,-172.828 +250.059,1226.9300000000001 +680.05799999999999,13.4656 +272.50299999999999,-265.31299999999999 +611.69000000000005,-73.954800000000006 +846.21900000000005,246.70699999999999 +657.47699999999998,25.619199999999999 +212.27799999999999,-283.58300000000003 +-914.19100000000003,410.53300000000002 +-851.12300000000005,756.42399999999998 +252.952,-266.28899999999999 +354.16800000000001,-230.42099999999999 +225.34200000000001,1231.71 +-890.97900000000004,649.18600000000004 +-446.584,-197.07599999999999 +-606.84000000000003,-106.672 +-313.58499999999998,-260.89499999999998 +595.27800000000002,1057.52 +724.78499999999997,42.153300000000002 +-657.50999999999999,-24.764600000000002 +589.99800000000005,-87.457300000000004 +-380.90699999999998,-247.739 +790.33199999999999,122.54900000000001 +-773.38800000000003,44.979999999999997 +-250.059,1226.9300000000001 +890.07500000000005,460.488 +-818.95500000000004,110.974 +200.53399999999999,1235.99 +-886.43200000000002,312.60399999999998 +233.75399999999999,-266.15699999999998 +807.96500000000003,802.35900000000004 +-774.46400000000006,883.58699999999999 +853.69299999999998,697.49099999999999 +701.87400000000002,27.3491 +-900.67899999999997,360.32499999999999 +867.77700000000004,361.173 +-147.88900000000001,-308.24400000000003 +75.479900000000001,1249.8699999999999 +175.64500000000001,1239.77 +-584.45500000000004,-118.991 +844.70500000000004,337.93299999999999 +830.553,194.84299999999999 +-911.88400000000001,487.88600000000002 +-225.34200000000001,1231.71 +171.48599999999999,-295.767 +568.03599999999994,-99.850700000000003 +-904.851,567.89800000000002 +-749.04300000000001,29.187100000000001 +-75.479900000000001,1249.8699999999999 +879.36099999999999,409.60899999999998 +724.38699999999994,925.08600000000001 +150.685,1243.05 +332.983,-235.63499999999999 +-200.53399999999999,1235.99 +-680.07500000000005,-12.5816 +883.49199999999996,537.59500000000003 +445.40899999999999,-155.08799999999999 +-292.90600000000001,-263.70499999999998 +125.664,1245.8299999999999 +-561.86000000000001,-130.18799999999999 +-175.64500000000001,1239.77 +-231.40700000000001,-285.21100000000001 +546.96400000000006,-111.358 +166.768,422.67399999999998 +872.04200000000003,617.09500000000003 +100.592,1248.0999999999999 +-436.44600000000003,-178.76499999999999 +-150.685,1243.05 +484.78899999999999,-140.126 +25.173500000000001,1251.9000000000001 +-125.664,1245.8299999999999 +-5.8731400000000002,-329.48500000000001 +31.559999999999999,-328.02300000000002 +-25.173500000000001,1251.9000000000001 +-862.11000000000001,290.67599999999999 +-725.86699999999996,14.3726 +-794.44500000000005,92.199700000000007 +-829.86099999999999,779.69000000000005 +-100.592,1248.0999999999999 +-586.08399999999995,249.702 +50.3369,1251.1400000000001 +-540.20000000000005,-140.548 +603.47199999999998,204.124 +525.697,-121.809 +-50.3369,1251.1400000000001 +767.67899999999997,104.026 +-837.404,162.90100000000001 +312.58699999999999,-240.05000000000001 +-872.66999999999996,673.596 +-31.559999999999999,-328.02300000000002 +57.054499999999997,-324.56099999999998 +-749.71299999999997,904.68299999999999 +-212.27799999999999,-283.58300000000003 +505.30700000000002,-131.46899999999999 +-702.40700000000004,0.456486 +-595.27800000000002,1057.52 +-476.57100000000003,-165.93799999999999 +-902.47900000000004,435.678 +785.44899999999996,824.41399999999999 +808.06200000000001,173.31299999999999 +-272.50299999999999,-265.31299999999999 +744.61400000000003,86.416600000000003 +-518.40300000000002,-149.84200000000001 +876.99000000000001,484.94600000000003 +834.06200000000001,720.851 +698.50699999999995,944.77999999999997 +-171.48599999999999,-295.767 +-497.524,-158.39500000000001 +-252.952,-266.28899999999999 +508.39699999999999,1101.9300000000001 +-877.44000000000005,337.01799999999997 +-57.054499999999997,-324.56099999999998 +552.98599999999999,686.40899999999999 +82.2012,-319.12099999999998 +-445.25,-90.649600000000007 +194.03800000000001,-281.48700000000002 +-375.43599999999998,-223.90000000000001 +679.04399999999998,39.493000000000002 +-890.36099999999999,385.11500000000001 +-898.03200000000004,512.93700000000001 +-233.75399999999999,-266.15699999999998 +465.13200000000001,-148.08199999999999 +857.44799999999998,385.05200000000002 +-888.78700000000003,592.72400000000005 +867.68799999999999,433.79000000000002 +292.37,-243.245 +-166.768,422.67399999999998 +-634.18200000000002,-60.487299999999998 +722.63900000000001,69.872100000000003 +-657.976,0.42761100000000002 +-853.73500000000001,314.42500000000001 +868.27499999999998,561.84100000000001 +-771.09900000000005,74.557599999999994 +-354.16800000000001,-230.42099999999999 +-456.51600000000002,-172.828 +785.06100000000004,152.71799999999999 +-807.96500000000003,802.35900000000004 +-814.10500000000002,142.24799999999999 +-724.38699999999994,925.08600000000001 +854.62900000000002,640.99400000000003 +700.31299999999999,54.201700000000002 +-82.2012,-319.12099999999998 +672.09100000000001,963.75 +106.84699999999999,-311.73500000000001 +272.95600000000002,-245.74299999999999 +614.77700000000004,-41.024700000000003 +391.75900000000001,-193.928 +-853.69299999999998,697.49099999999999 +-747.37699999999995,57.844299999999997 +822.48400000000004,226.499 +762.33100000000002,845.83600000000001 +253.80600000000001,-247.11000000000001 +278.09699999999998,1181.26 +-611.69000000000005,-73.954800000000006 +514.15800000000002,715.95500000000004 +-680.05799999999999,13.4656 +-332.983,-235.63499999999999 +-846.21900000000005,246.70699999999999 +-508.39699999999999,1101.9300000000001 +593.84100000000001,-55.671700000000001 +835.029,361.18200000000002 +-657.47699999999998,25.619199999999999 +-890.07500000000005,460.488 +813.79200000000003,743.65899999999999 +371.065,-202.08799999999999 +863.23199999999997,509.03199999999998 +453.08999999999997,-130.964 +-278.09699999999998,1181.26 +-589.99800000000005,-87.457300000000004 +-724.78499999999997,42.153300000000002 +572.57600000000002,-69.225800000000007 +-194.03800000000001,-281.48700000000002 +-790.33199999999999,122.54900000000001 +656.01400000000001,50.773099999999999 +-698.50699999999995,944.77999999999997 +-867.77700000000004,361.173 +-844.70500000000004,337.93299999999999 +-106.84699999999999,-311.73500000000001 +228.32300000000001,213.04900000000001 +-445.40899999999999,-155.08799999999999 +-872.04200000000003,617.09500000000003 +-883.49199999999996,537.59500000000003 +130.84100000000001,-302.44900000000001 +215.40700000000001,-265.49099999999999 +763.13300000000004,133.34200000000001 +-879.36099999999999,409.60899999999998 +645.16099999999994,981.98199999999997 +-312.58699999999999,-240.05000000000001 +-785.44899999999996,824.41399999999999 +491.61000000000001,-113.91 +-701.87400000000002,27.3491 +-568.03599999999994,-99.850700000000003 +-830.553,194.84299999999999 +350.351,-208.94 +846.46299999999997,408.63499999999999 +855.35000000000002,457.63799999999998 +552.15099999999995,-81.847200000000001 +-514.15800000000002,715.95500000000004 +800.83399999999995,204.124 +836.56100000000004,664.40099999999995 +740.75999999999999,114.86199999999999 +852.39200000000005,585.65599999999995 +511.63299999999998,-104.16500000000001 +-552.98599999999999,686.40899999999999 +738.62900000000002,866.61099999999999 +-484.78899999999999,-140.126 +531.47500000000002,-93.424000000000007 +-546.96400000000006,-111.358 +-834.06200000000001,720.851 +-603.47199999999998,204.124 +415.70600000000002,-183.44900000000001 +-109.509,874.61900000000003 +472.40800000000002,-122.91 +441.048,1130.5699999999999 +677.03399999999999,65.462500000000006 +330.36099999999999,-214.93199999999999 +-672.09100000000001,963.75 +-292.37,-243.245 +-525.697,-121.809 +-228.32300000000001,213.04900000000001 +792.899,765.89599999999996 +-505.30700000000002,-131.46899999999999 +109.509,874.61900000000003 +719.43399999999997,97.488500000000002 +-876.99000000000001,484.94600000000003 +617.73599999999999,999.46199999999999 +-767.67899999999997,104.026 +824.71299999999997,384.154 +-130.84100000000001,-302.44900000000001 +-272.95600000000002,-245.74299999999999 +154.03800000000001,-291.31999999999999 +17.7502,-311.779 +-253.80600000000001,-247.11000000000001 +-808.06200000000001,173.31299999999999 +697.72400000000005,80.974699999999999 +778.63800000000003,182.66399999999999 +-762.33100000000002,845.83600000000001 +848.81299999999999,532.72799999999995 +-17.7502,-311.779 +-465.13200000000001,-148.08199999999999 +-854.62900000000002,640.99400000000003 +310.45600000000002,-219.69399999999999 +-744.61400000000003,86.416600000000003 +-868.27499999999998,561.84100000000001 +813.20899999999995,257.82400000000001 +-857.44799999999998,385.05200000000002 +-867.68799999999999,433.79000000000002 +714.36199999999997,886.721 +813.76499999999999,406.83199999999999 +-679.04399999999998,39.493000000000002 +-215.40700000000001,-265.49099999999999 +-645.16099999999994,981.98199999999997 +834.82899999999995,431.90600000000001 +-441.048,1130.5699999999999 +817.85199999999998,687.29999999999995 +842.35699999999997,481.13600000000002 +291.29500000000002,-223.69999999999999 +589.83699999999999,1016.1799999999999 +272.31099999999998,-226.55600000000001 +-813.79200000000003,743.65899999999999 +-391.75900000000001,-193.928 +835.85699999999997,609.02300000000002 +235.46199999999999,-247.87700000000001 +-722.63900000000001,69.872100000000003 +348.798,1162.3499999999999 +254.29300000000001,1186.6099999999999 +653.58900000000006,75.852699999999999 +-785.06100000000004,152.71799999999999 +-371.065,-202.08799999999999 +616.09199999999998,-7.9764400000000002 +-12.199400000000001,1213.49 +771.39800000000002,787.548 +459.464,-106.462 +12.199400000000001,1213.49 +-700.31299999999999,54.201700000000002 +112.708,-291.23599999999999 +89.6434,-299.14100000000002 +-614.77700000000004,-41.024700000000003 +757.46799999999996,162.46199999999999 +-822.48400000000004,226.499 +-617.73599999999999,999.46199999999999 +-738.62900000000002,866.61099999999999 +-154.03800000000001,-291.31999999999999 +176.29599999999999,-278.41500000000002 +595.97299999999996,-23.7258 +453.34699999999998,30.685300000000002 +-863.23199999999997,509.03199999999998 +-453.08999999999997,-130.964 +733.33299999999997,489.053 +-835.029,361.18200000000002 +822.84000000000003,316.04599999999999 +-350.351,-208.94 +735.81899999999996,143.13900000000001 +792.43100000000004,234.636 +405.69400000000002,-162.774 +-593.84100000000001,-55.671700000000001 +561.48699999999997,1032.1099999999999 +-254.29300000000001,1186.6099999999999 +575.46500000000003,-38.401400000000002 +689.54700000000003,906.15300000000002 +-836.56100000000004,664.40099999999995 +833.74400000000003,556.01599999999996 +497.01400000000001,-87.366299999999995 +-348.798,1162.3499999999999 +674.03099999999995,91.336100000000002 +385.69999999999999,-172.524 +-572.57600000000002,-69.225800000000007 +-852.39200000000005,585.65599999999995 +636.93499999999995,12.611700000000001 +555.74800000000005,-52.1006 +-792.899,765.89599999999996 +-330.36099999999999,-214.93199999999999 +-491.61000000000001,-113.91 +-89.6434,-299.14100000000002 +-855.35000000000002,457.63799999999998 +-846.46299999999997,408.63499999999999 +798.51700000000005,709.67200000000003 +-656.01400000000001,50.773099999999999 +516.48599999999999,-76.560299999999998 +-112.708,-291.23599999999999 +478.32299999999998,-97.383099999999999 +715.17399999999998,124.962 +822.55600000000004,454.846 +-589.83699999999999,1016.1799999999999 +-763.13300000000004,133.34200000000001 +-552.15099999999995,-81.847200000000001 +535.72299999999996,-64.770200000000003 +828.71799999999996,504.26499999999999 +-415.70600000000002,-183.44900000000001 +365.58300000000003,-180.97 +694.11199999999997,107.629 +749.30600000000004,808.596 +-511.63299999999998,-104.16500000000001 +-800.83399999999995,204.124 +818.68100000000004,631.923 +-714.36199999999997,886.721 +802.19399999999996,429.19799999999998 +532.70699999999999,1047.26 +771.07399999999996,212.34200000000001 +650.20600000000002,100.821 +-531.47500000000002,-93.424000000000007 +-472.40800000000002,-122.91 +-740.75999999999999,114.86199999999999 +664.20299999999997,924.88999999999999 +-310.45600000000002,-219.69399999999999 +-235.46199999999999,-247.87700000000001 +802.74099999999999,288.76999999999998 +346.12299999999999,-188.50399999999999 +-272.31099999999998,-226.55600000000001 +-176.29599999999999,-278.41500000000002 +-677.03399999999999,65.462500000000006 +197.47900000000001,-263.81299999999999 +-291.29500000000002,-223.69999999999999 +-848.81299999999999,532.72799999999995 +-561.48699999999997,1032.1099999999999 +-824.71299999999997,384.154 +-817.85199999999998,687.29999999999995 +254.083,-228.75200000000001 +-719.43399999999997,97.488500000000002 +503.51900000000001,1061.5999999999999 +182.30099999999999,1199.78 +-771.39800000000002,787.548 +818.03599999999994,578.87800000000004 +326.649,-194.80500000000001 +-778.63800000000003,182.66399999999999 +289.15600000000001,-204.62100000000001 +-697.72400000000005,80.974699999999999 +399.39499999999998,216.67500000000001 +-835.85699999999997,609.02300000000002 +778.57000000000005,731.5 +-689.54700000000003,906.15300000000002 +-813.76499999999999,406.83199999999999 +-813.20899999999995,257.82400000000001 +750.69299999999998,191.34399999999999 +-834.82899999999995,431.90600000000001 +-842.35699999999997,481.13600000000002 +307.85899999999998,-200.29300000000001 +638.351,942.91800000000001 +726.63999999999999,829.024 +-453.34699999999998,30.685300000000002 +-182.30099999999999,1199.78 +809.65200000000004,477.43700000000001 +464.51499999999999,-81.653599999999997 +85.326700000000002,1210.55 +-532.70699999999999,1047.26 +814.44399999999996,527.00800000000004 +473.94499999999999,1075.1300000000001 +782.86699999999996,264.80399999999997 +729.79899999999998,171.20699999999999 +800.87800000000004,654.33900000000006 +-85.326700000000002,1210.55 +84.297700000000006,300.69099999999997 +-459.464,-106.462 +-2.9285100000000002,-295.01600000000002 +670.03999999999996,117.07599999999999 +615.63300000000004,25.094799999999999 +35.262900000000002,-292.916 +-653.58900000000006,75.852699999999999 +-733.33299999999997,489.053 +-616.09199999999998,-7.9764400000000002 +-405.69400000000002,-162.774 +-664.20299999999997,924.88999999999999 +-84.297700000000006,300.69099999999997 +645.86900000000003,125.64100000000001 +-798.51700000000005,709.67200000000003 +596.38699999999994,8.2885200000000001 +-503.51900000000001,1061.5999999999999 +156.63900000000001,-270.15899999999999 +-833.74400000000003,556.01599999999996 +790.00800000000004,451.23599999999999 +-749.30600000000004,808.596 +-35.262900000000002,-292.916 +709.86599999999999,152.25200000000001 +-757.46799999999996,162.46199999999999 +-197.47900000000001,-263.81299999999999 +-822.84000000000003,316.04599999999999 +-385.69999999999999,-172.524 +444.00799999999998,1087.8299999999999 +-595.97299999999996,-23.7258 +500.98599999999999,-60.570399999999999 +689.48199999999997,134.126 +217.458,-247.602 +612.00999999999999,960.22400000000005 +576.697,-7.4663899999999996 +-792.43100000000004,234.636 +67.171999999999997,-287.28199999999998 +-735.81899999999996,143.13900000000001 +801.702,601.29700000000003 +762.37800000000004,241.708 +758.02599999999995,752.76800000000003 +703.41700000000003,848.81700000000001 +-575.46500000000003,-38.401400000000002 +-497.01400000000001,-87.366299999999995 +-365.58300000000003,-180.97 +-818.68100000000004,631.923 +557.74300000000005,-22.203900000000001 +482.86000000000001,-71.575800000000001 +791.09699999999998,319.29300000000001 +-473.94499999999999,1075.1300000000001 +519.85000000000002,-48.735199999999999 +-822.55600000000004,454.846 +-828.71799999999996,504.26499999999999 +-254.083,-228.75200000000001 +-555.74800000000005,-52.1006 +-674.03099999999995,91.336100000000002 +538.42700000000002,-35.9298 +-478.32299999999998,-97.383099999999999 +-636.93499999999995,12.611700000000001 +413.73000000000002,1099.7 +417.15699999999998,-130.62700000000001 +-516.48599999999999,-76.560299999999998 +796.12800000000004,499.66199999999998 +-346.12299999999999,-188.50399999999999 +-67.171999999999997,-287.28199999999998 +-638.351,942.91800000000001 +782.46199999999999,676.25400000000002 +-802.19399999999996,429.19799999999998 +-535.72299999999996,-64.770200000000003 +585.20000000000005,976.79499999999996 +397.98399999999998,-141.90799999999999 +-715.17399999999998,124.962 +799.54600000000005,549.34699999999998 +777.21699999999998,472.92700000000002 +-289.15600000000001,-204.62100000000001 +271.154,-208.233 +-726.63999999999999,829.024 +-771.07399999999996,212.34200000000001 +-694.11199999999997,107.629 +-444.00799999999998,1087.8299999999999 +-399.39499999999998,216.67500000000001 +98.270899999999997,-278.18299999999999 +-650.20600000000002,100.821 +378.58699999999999,-151.898 +-778.57000000000005,731.5 +-326.649,-194.80500000000001 +-156.63900000000001,-270.15899999999999 +-802.74099999999999,288.76999999999998 +742.81600000000003,219.94499999999999 +-818.03599999999994,578.87800000000004 +-307.85899999999998,-200.29300000000001 +177.238,-257.11500000000001 +679.65499999999997,867.96000000000004 +359.774,-160.92699999999999 +736.90200000000004,773.45899999999995 +772.154,294.58300000000003 +722.70899999999995,199.023 +763.83000000000004,494.25700000000001 +-612.00999999999999,960.22400000000005 +-413.73000000000002,1099.7 +784.75300000000004,623.255 +557.94200000000001,992.61699999999996 +-800.87800000000004,654.33900000000006 +304.238,-181.43899999999999 +665.06700000000001,142.64400000000001 +-217.458,-247.602 +352.24799999999999,1120.9100000000001 +468.22800000000001,-56.609900000000003 +340.851,-168.727 +-98.270899999999997,-278.18299999999999 +322.54700000000003,-175.66399999999999 +236.11199999999999,-229.88300000000001 +-809.65200000000004,477.43700000000001 +-814.44399999999996,527.00800000000004 +-464.51499999999999,-81.653599999999997 +-750.69299999999998,191.34399999999999 +-703.41700000000003,848.81700000000001 +703.51599999999996,179.31899999999999 +763.44600000000003,697.65099999999995 +781.99400000000003,521.505 +211.10400000000001,402.36799999999999 +439.74400000000003,-114.411 +-585.20000000000005,976.79499999999996 +683.84199999999998,160.42500000000001 +321.08999999999997,1130.23 +-782.86699999999996,264.80399999999997 +18.3337,-282.23599999999999 +-758.02599999999995,752.76800000000003 +784.03599999999994,571.26499999999999 +128.184,-265.72899999999998 +-729.79899999999998,171.20699999999999 +-211.10400000000001,402.36799999999999 +752.56500000000005,270.72000000000003 +655.37300000000005,886.43799999999999 +-18.3337,-282.23599999999999 +530.25599999999997,1007.6799999999999 +613.399,58.093800000000002 +-352.24799999999999,1120.9100000000001 +49.173699999999997,-278.52300000000002 +778.29200000000003,349.34699999999998 +-790.00800000000004,451.23599999999999 +-670.03999999999996,117.07599999999999 +-615.63300000000004,25.094799999999999 +715.21299999999997,793.55700000000002 +-801.702,601.29700000000003 +289.68599999999998,1138.6800000000001 +-177.238,-257.11500000000001 +595.08299999999997,40.2789 +503.51400000000001,-33.600099999999998 +-596.38699999999994,8.2885200000000001 +-645.86900000000003,125.64100000000001 +383.13600000000002,1110.73 +247.67699999999999,-190.203 +576.26700000000005,23.490200000000002 +640.58500000000004,150.27799999999999 +-709.86599999999999,152.25200000000001 +-321.08999999999997,1130.23 +-782.46199999999999,676.25400000000002 +-500.98599999999999,-60.570399999999999 +-271.154,-208.233 +-49.173699999999997,-278.52300000000002 +-557.94200000000001,992.61699999999996 +767.20299999999997,644.73500000000001 +-576.697,-7.4663899999999996 +486.005,-45.5623 +-679.65499999999997,867.96000000000004 +258.06099999999998,1146.27 +-689.48199999999997,134.126 +-762.37800000000004,241.708 +558.13099999999997,7.7568400000000004 +630.58799999999997,904.23699999999997 +521.71600000000001,-20.769600000000001 +-791.09699999999998,319.29300000000001 +-482.86000000000001,-71.575800000000001 +79.420599999999993,-271.45100000000002 +502.16399999999999,1021.97 +-417.15699999999998,-130.62700000000001 +-796.12800000000004,499.66199999999998 +-557.74300000000005,-22.203900000000001 +539.57899999999995,-6.98583 +-289.68599999999998,1138.6800000000001 +-799.54600000000005,549.34699999999998 +-519.85000000000002,-48.735199999999999 +733.85000000000002,248.22399999999999 +743.84500000000003,718.51300000000003 +-397.98399999999998,-141.90799999999999 +-736.90200000000004,773.45899999999995 +-128.184,-265.72899999999998 +286.57299999999998,-186.44399999999999 +226.23699999999999,1152.97 +767.26099999999997,542.94799999999998 +-538.42700000000002,-35.9298 +782.88,405.02999999999997 +-378.58699999999999,-151.898 +-777.21699999999998,472.92700000000002 +760.30999999999995,323.93099999999998 +714.55899999999997,226.547 +-258.06099999999998,1146.27 +-236.11199999999999,-229.88300000000001 +767.92499999999995,592.74599999999998 +499.01100000000002,396.02199999999999 +-530.25599999999997,1007.6799999999999 +-383.13600000000002,1110.73 +692.976,813.048 +194.24100000000001,1158.79 +659.11699999999996,168.00200000000001 +253.327,-210.762 +-359.774,-160.92699999999999 +-304.238,-181.43899999999999 +473.68700000000001,1035.47 +-79.420599999999993,-271.45100000000002 +-226.23699999999999,1152.97 +-784.75300000000004,623.255 +749.85799999999995,515.20799999999997 +162.095,1163.72 +-655.37300000000005,886.43799999999999 +156.55199999999999,-250.06999999999999 +527.851,356.678 +-763.83000000000004,494.25700000000001 +-742.81600000000003,219.94499999999999 +-322.54700000000003,-175.66399999999999 +605.32100000000003,921.34299999999996 +696.13400000000001,206.12299999999999 +426.07600000000002,-97.684700000000007 +-340.851,-168.727 +-194.24100000000001,1158.79 +-763.44600000000003,697.65099999999995 +407.84199999999998,-110.426 +129.82499999999999,1167.76 +-772.154,294.58300000000003 +677.19799999999998,186.49000000000001 +108.709,-261.10399999999998 +-162.095,1163.72 +749.06500000000005,665.72199999999998 +470.59100000000001,-31.402999999999999 +389.28399999999999,-121.899 +-722.70899999999995,199.023 +-502.16399999999999,1021.97 +97.456100000000006,1170.9100000000001 +-247.67699999999999,-190.203 +741.64800000000002,299.33499999999998 +-715.21299999999997,793.55700000000002 +-468.22800000000001,-56.609900000000003 +-129.82499999999999,1167.76 +317.46600000000001,-157.15100000000001 +723.67399999999998,738.82500000000005 +764.346,378.88900000000001 +-781.99400000000003,521.505 +371.23200000000003,-132.369 +-97.456100000000006,1170.9100000000001 +-665.06700000000001,142.64400000000001 +-784.03599999999994,571.26499999999999 +-630.58799999999997,904.23699999999997 +670.20899999999995,831.91499999999996 +335.26799999999997,-149.96600000000001 +579.58900000000006,937.74300000000005 +-439.74400000000003,-114.411 +751.94100000000003,563.97500000000002 +352.976,-141.62200000000001 +627.55200000000002,109.652 +634.36199999999997,174.69300000000001 +-703.51599999999996,179.31899999999999 +-473.68700000000001,1035.47 +-499.01100000000002,396.02199999999999 +-2.6863100000000002,-270.61700000000002 +751.226,613.77200000000005 +415.66699999999997,1060.0999999999999 +32.346600000000002,-268.69099999999997 +-683.84199999999998,160.42500000000001 +-752.56500000000005,270.72000000000003 +-108.709,-261.10399999999998 +-767.20299999999997,644.73500000000001 +-778.29200000000003,349.34699999999998 +-156.55199999999999,-250.06999999999999 +609.39800000000002,90.925299999999993 +-743.84500000000003,718.51300000000003 +735.31200000000001,535.76400000000001 +-613.399,58.093800000000002 +-32.346600000000002,-268.69099999999997 +723.80899999999997,276.13900000000001 +504.59199999999998,-6.5328600000000003 +-286.57299999999998,-186.44399999999999 +592.06399999999996,72.153300000000002 +-692.976,813.048 +452.39999999999998,-42.411900000000003 +-503.51400000000001,-33.600099999999998 +61.616700000000002,-263.52300000000002 +-595.08299999999997,40.2789 +747.35000000000002,352.803 +-605.32100000000003,921.34299999999996 +574.17600000000004,54.378999999999998 +-253.327,-210.762 +705.36099999999999,253.739 +730.35299999999995,686.19799999999998 +386.16800000000001,1071.2 +487.74900000000002,-19.417400000000001 +553.41399999999999,953.42499999999995 +136.68700000000001,-247.608 +-576.26700000000005,23.490200000000002 +702.94899999999996,758.57000000000005 +301.43099999999998,-81.614800000000002 +-640.58500000000004,150.27799999999999 +652.202,193.11500000000001 +-486.005,-45.5623 +646.92700000000002,850.14599999999996 +556.90999999999997,37.6952 +720.202,555.90899999999999 +268.99700000000001,-190.35599999999999 +522.07899999999995,7.2557900000000002 +-767.26099999999997,542.94799999999998 +627.20799999999997,198.85300000000001 +-558.13099999999997,7.7568400000000004 +300.245,-163.51900000000001 +539.17600000000004,21.978300000000001 +-767.92499999999995,592.74599999999998 +-415.66699999999997,1060.0999999999999 +-521.71600000000001,-20.769600000000001 +183.03100000000001,-231.39400000000001 +444.84699999999998,1048.1900000000001 +-61.616700000000002,-263.52300000000002 +-539.57899999999995,-6.98583 +-782.88,405.02999999999997 +-733.85000000000002,248.22399999999999 +687.73199999999997,232.625 +356.37299999999999,1081.47 +642.39400000000001,603.55700000000002 +736.04399999999998,584.57000000000005 +65.012200000000007,1173.1600000000001 +-579.58900000000006,937.74300000000005 +-760.30999999999995,323.93099999999998 +669.56100000000004,212.28100000000001 +32.518599999999999,1174.51 +-65.012200000000007,1173.1600000000001 +-527.851,356.678 +0,1174.96 +733.95100000000002,634.32799999999997 +-749.85799999999995,515.20799999999997 +-32.518599999999999,1174.51 +-714.55899999999997,226.547 +90.143500000000003,-255.17699999999999 +-749.06500000000005,665.72199999999998 +526.81500000000005,968.37599999999998 +-670.20899999999995,831.91499999999996 +729.64400000000001,327.51100000000002 +-723.67399999999998,738.82500000000005 +-386.16800000000001,1071.2 +232.084,-208.946 +749.279,407.87599999999998 +-659.11699999999996,168.00200000000001 +623.15099999999995,867.72500000000002 +326.30500000000001,1090.9200000000001 +-426.07600000000002,-97.684700000000007 +-407.84199999999998,-110.426 +-317.46600000000001,-157.15100000000001 +271.54399999999998,154.22499999999999 +-136.68700000000001,-247.608 +711.08199999999999,706.149 +-389.28399999999999,-121.899 +681.68499999999995,777.73500000000001 +-696.13400000000001,206.12299999999999 +471.59800000000001,-6.1056900000000001 +-356.37299999999999,1081.47 +-553.41399999999999,953.42499999999995 +-371.23200000000003,-132.369 +-470.59100000000001,-31.402999999999999 +-444.84699999999998,1048.1900000000001 +-335.26799999999997,-149.96600000000001 +-677.19799999999998,186.49000000000001 +295.98700000000002,1099.54 +-741.64800000000002,299.33499999999998 +-90.143500000000003,-255.17699999999999 +-764.346,378.88900000000001 +-751.94100000000003,563.97500000000002 +499.81200000000001,982.58500000000004 +-352.976,-141.62200000000001 +-751.226,613.77200000000005 +-326.30500000000001,1090.9200000000001 +712.70500000000004,303.649 +-646.92700000000002,850.14599999999996 +-301.43099999999998,-81.614800000000002 +163.01599999999999,-231.126 +-183.03100000000001,-231.39400000000001 +719.58299999999997,604.71699999999998 +265.44299999999998,1107.3099999999999 +704.53999999999996,575.62900000000002 +598.89599999999996,884.63900000000001 +733.29399999999998,381.15800000000002 +328.75799999999998,-131.905 +-702.94899999999996,758.57000000000005 +415.214,-78.272099999999995 +695.12900000000002,280.55900000000003 +117.583,-243.75200000000001 +432.39800000000002,-64.146699999999996 +716.11400000000003,654.39800000000002 +-730.35299999999995,686.19799999999998 +397.60700000000003,-91.157799999999995 +-735.31200000000001,535.76400000000001 +-526.81500000000005,968.37599999999998 +-634.36199999999997,174.69300000000001 +-627.55200000000002,109.652 +-268.99700000000001,-190.35599999999999 +-295.98700000000002,1099.54 +644.33000000000004,217.94399999999999 +-642.39400000000001,603.55700000000002 +16.752099999999999,-257.887 +380.42700000000002,-103.004 +746.58699999999999,468.56999999999999 +345.94600000000003,-123.35299999999999 +-271.54399999999998,154.22499999999999 +472.42599999999999,996.04200000000003 +659.899,796.30399999999997 +-16.752099999999999,-257.887 +-452.39999999999998,-42.411900000000003 +691.26599999999996,725.55799999999999 +-300.245,-163.51900000000001 +504.21600000000001,20.5532 +362.94900000000001,-113.65300000000001 +-723.80899999999997,276.13900000000001 +603.64099999999996,123.495 +-609.39800000000002,90.925299999999993 +44.9315,-254.495 +-265.44299999999998,1107.3099999999999 +283.02800000000002,-168.78999999999999 +678.32100000000003,258.78500000000003 +-720.202,555.90899999999999 +-623.15099999999995,867.72500000000002 +-504.59199999999998,-6.5328600000000003 +-747.35000000000002,352.803 +203.767,1120.3 +587.33900000000006,103.81999999999999 +488.089,6.7834000000000003 +-592.06399999999996,72.153300000000002 +-232.084,-208.946 +570.43100000000004,85.111199999999997 +660.94299999999998,237.761 +-705.36099999999999,253.739 +-574.17600000000004,54.378999999999998 +-487.74900000000002,-19.417400000000001 +207.30199999999999,-209.92699999999999 +-499.81200000000001,982.58500000000004 +574.18299999999999,900.875 +-736.04399999999998,584.57000000000005 +-44.9315,-254.495 +554.08500000000004,67.524900000000002 +716.57000000000005,355.20600000000002 +172.68299999999999,1125.51 +-733.95100000000002,634.32799999999997 +-652.202,193.11500000000001 +733.11300000000006,436.26400000000001 +520.93700000000001,35.260300000000001 +444.678,1008.74 +-117.583,-243.75200000000001 +-556.90999999999997,37.6952 +537.22000000000003,50.878999999999998 +312.08699999999999,-139.59700000000001 +-681.68499999999995,777.73500000000001 +-522.07899999999995,7.2557900000000002 +-203.767,1120.3 +-627.20799999999997,198.85300000000001 +-539.17600000000004,21.978300000000001 +72.568899999999999,-248.03299999999999 +141.46700000000001,1129.8599999999999 +-163.01599999999999,-231.126 +702.57100000000003,624.40099999999995 +-711.08199999999999,706.149 +-687.73199999999997,232.625 +-172.68299999999999,1125.51 +697.72799999999995,673.96699999999998 +110.142,1133.3399999999999 +619.13499999999999,222.721 +637.60699999999997,814.26199999999994 +-472.42599999999999,996.04200000000003 +-598.89599999999996,884.63900000000001 +-141.46700000000001,1129.8599999999999 +-669.56100000000004,212.28100000000001 +-749.279,407.87599999999998 +78.733500000000006,1135.95 +-729.64400000000001,327.51100000000002 +416.589,1020.66 +549.02999999999997,916.42200000000003 +670.91999999999996,744.41200000000003 +143.60400000000001,-229.38800000000001 +-110.142,1133.3399999999999 +47.264299999999999,1137.7 +688.33900000000006,594.90700000000004 +-78.733500000000006,1135.95 +15.758800000000001,1138.5699999999999 +-47.264299999999999,1137.7 +-15.758800000000001,1138.5699999999999 +-72.568899999999999,-248.03299999999999 +700.55700000000002,330.714 +718.16300000000001,408.95400000000001 +-444.678,1008.74 +471.24599999999998,19.209199999999999 +234.69499999999999,1114.23 +-471.59800000000001,-6.1056900000000001 +683.87800000000004,306.96800000000002 +187.37899999999999,-211.85499999999999 +-659.899,796.30399999999997 +-574.18299999999999,900.875 +388.18200000000002,1031.79 +-719.58299999999997,604.71699999999998 +99.331000000000003,-238.57900000000001 +-716.11400000000003,654.39800000000002 +635.51300000000003,242.45400000000001 +614.827,831.59699999999998 +-704.53999999999996,575.62900000000002 +-328.75799999999998,-131.905 +-691.26599999999996,725.55799999999999 +523.45699999999999,931.26599999999996 +-207.30199999999999,-209.92699999999999 +-712.70500000000004,303.649 +685.02099999999996,643.60599999999999 +-234.69499999999999,1114.23 +667.91600000000005,284.56599999999997 +-416.589,1020.66 +-733.29399999999998,381.15800000000002 +-283.02800000000002,-168.78999999999999 +-415.214,-78.272099999999995 +678.80799999999999,693.01999999999998 +-345.94600000000003,-123.35299999999999 +-397.60700000000003,-91.157799999999995 +-432.39800000000002,-64.146699999999996 +-746.58699999999999,468.56999999999999 +359.476,1042.1400000000001 +-695.12900000000002,280.55900000000003 +650.05999999999995,762.69600000000003 +-380.42700000000002,-103.004 +651.35500000000002,262.892 +454.024,-18.0748 +-143.60400000000001,-229.38800000000001 +-362.94900000000001,-113.65300000000001 +715.87199999999996,464.01299999999998 +702.44399999999996,382.38099999999997 +671.61099999999999,613.73000000000002 +-549.02999999999997,916.42200000000003 +-644.33000000000004,217.94399999999999 +654.36800000000005,632.08299999999997 +-99.331000000000003,-238.57900000000001 +295.334,-146.19499999999999 +-388.18200000000002,1031.79 +-637.60699999999997,814.26199999999994 +310.45699999999999,-33.732500000000002 +502.38600000000002,47.579900000000002 +591.57600000000002,848.29499999999996 +497.48200000000003,945.39599999999996 +338.04700000000003,-105.855 +-2.4441099999999998,-246.21899999999999 +330.49599999999998,1051.6900000000001 +-504.21600000000001,20.5532 +-678.32100000000003,258.78500000000003 +-312.08699999999999,-139.59700000000001 +29.430199999999999,-244.46600000000001 +-603.64099999999996,123.495 +596.14499999999998,155.709 +487.02100000000002,32.964700000000001 +-702.57100000000003,624.40099999999995 +-697.72799999999995,673.96699999999998 +580.92200000000003,135.18700000000001 +610.154,246.26300000000001 +-587.33900000000006,103.81999999999999 +-733.11300000000006,436.26400000000001 +-670.91999999999996,744.41200000000003 +-488.089,6.7834000000000003 +-660.94299999999998,237.761 +167.893,-212.25700000000001 +565.04200000000003,115.598 +354.51499999999999,-95.987799999999993 +-716.57000000000005,355.20600000000002 +-570.43100000000004,85.111199999999997 +124.895,-226.24700000000001 +-29.430199999999999,-244.46600000000001 +-359.476,1042.1400000000001 +420.05500000000001,-45.640700000000002 +-187.37899999999999,-211.85499999999999 +403.50700000000001,-59.860700000000001 +229.07300000000001,-185.92699999999999 +549.66399999999999,97.1601 +387.30399999999997,-73.010800000000003 +436.08499999999998,-30.217700000000001 +-523.45699999999999,931.26599999999996 +301.262,1060.4400000000001 +-554.08500000000004,67.524900000000002 +533.71600000000001,79.633200000000002 +518.29499999999996,63.163200000000003 +610.84500000000003,180.869 +56.061300000000003,-239.76400000000001 +666.94600000000003,662.31899999999996 +370.709,-84.990899999999996 +-520.93700000000001,35.260300000000001 +687.38099999999997,357.29300000000001 +659.36800000000005,711.54100000000005 +-537.22000000000003,50.878999999999998 +628.70299999999997,780.39499999999998 +-614.827,831.59699999999998 +-688.33900000000006,594.90700000000004 +322.02600000000001,-114.824 +471.12599999999998,958.803 +701.97900000000004,436.15100000000001 +-330.49599999999998,1051.6900000000001 +671.62300000000005,332.92599999999999 +567.87099999999998,864.34199999999998 +-619.13499999999999,222.721 +271.798,1068.3699999999999 +625.76400000000001,266.608 +-56.061300000000003,-239.76400000000001 +600.27800000000002,269.44299999999998 +-301.262,1060.4400000000001 +-497.48200000000003,945.39599999999996 +-700.55700000000002,330.714 +-718.16300000000001,408.95400000000001 +656.53099999999995,309.93000000000001 +469.536,44.468800000000002 +242.125,1075.48 +-650.05999999999995,762.69600000000003 +82.016199999999998,-232.16999999999999 +444.41000000000003,971.47500000000002 +-124.895,-226.24700000000001 +-678.80799999999999,693.01999999999998 +-685.02099999999996,643.60599999999999 +209.48099999999999,-190.029 +-471.24599999999998,19.209199999999999 +-683.87800000000004,306.96800000000002 +640.81200000000001,287.637 +-591.57600000000002,848.29499999999996 +-271.798,1068.3699999999999 +543.73199999999997,879.72799999999995 +687.28899999999999,408.995 +-310.45699999999999,-33.732500000000002 +-167.893,-212.25700000000001 +697.58199999999999,491.08199999999999 +606.86300000000006,797.49599999999998 +212.267,1081.77 +-635.51300000000003,242.45400000000001 +639.42200000000003,729.51700000000005 +648.35900000000004,680.524 +-671.61099999999999,613.73000000000002 +-654.36800000000005,632.08299999999997 +-242.125,1075.48 +-471.12599999999998,958.803 +-295.334,-146.19499999999999 +417.35300000000001,983.40200000000004 +148.952,-211.18600000000001 +157.73599999999999,867.22000000000003 +182.24600000000001,1087.23 +-667.91600000000005,284.56599999999997 +636.62300000000005,649.95100000000002 +-82.016199999999998,-232.16999999999999 +-715.87199999999996,464.01299999999998 +-212.267,1081.77 +-229.07300000000001,-185.92699999999999 +690.24199999999996,548.19399999999996 +-702.44399999999996,382.38099999999997 +-651.35500000000002,262.892 +-454.024,-18.0748 +152.08500000000001,1091.8599999999999 +274.24900000000002,-149.36099999999999 +-338.04700000000003,-105.855 +-628.70299999999997,780.39499999999998 +-567.87099999999998,864.34199999999998 +-182.24600000000001,1087.23 +519.17600000000004,894.44000000000005 +-444.41000000000003,971.47500000000002 +584.55899999999997,813.98699999999997 +121.80800000000001,1095.6500000000001 +-659.36800000000005,711.54100000000005 +106.982,-221.77600000000001 +305.839,-122.709 +190.15700000000001,-192.565 +389.976,994.57600000000002 +673.19799999999998,383.34899999999999 +499.10899999999998,74.4696 +-666.94600000000003,662.31899999999996 +-152.08500000000001,1091.8599999999999 +684.76499999999999,462.70699999999999 +91.438000000000002,1098.5999999999999 +-502.38600000000002,47.579900000000002 +-354.51499999999999,-95.987799999999993 +-121.80800000000001,1095.6500000000001 +658.38400000000001,358.39699999999999 +60.997599999999998,1100.71 +-157.73599999999999,867.22000000000003 +-596.14499999999998,155.709 +484.55099999999999,59.050899999999999 +618.98699999999997,746.93499999999995 +586.92999999999995,187.47399999999999 +-91.438000000000002,1098.5999999999999 +-610.154,246.26300000000001 +30.5105,1101.98 +615.09799999999996,290.37099999999998 +-403.50700000000001,-59.860700000000001 +-387.30399999999997,-73.010800000000003 +-487.02100000000002,32.964700000000001 +-420.05500000000001,-45.640700000000002 +-60.997599999999998,1100.71 +-580.92200000000003,135.18700000000001 +-417.35300000000001,983.40200000000004 +0,1102.4000000000001 +572.83100000000002,166.16499999999999 +-30.5105,1101.98 +-322.02600000000001,-114.824 +629.27700000000004,698.20699999999999 +-543.73199999999997,879.72799999999995 +558.02499999999998,145.75200000000001 +-370.709,-84.990899999999996 +-565.04200000000003,115.598 +15.170400000000001,-233.53899999999999 +-436.08499999999998,-30.217700000000001 +-209.48099999999999,-190.029 +543.65800000000002,126.515 +362.30000000000001,1004.99 +-687.38099999999997,357.29300000000001 +-701.97900000000004,436.15100000000001 +-549.66399999999999,97.1601 +528.67399999999998,108.158 +-15.170400000000001,-233.53899999999999 +-148.952,-211.18600000000001 +644.18299999999999,334.839 +-610.84500000000003,180.869 +345.27499999999998,-79.159700000000001 +514.15899999999999,90.884100000000004 +-533.71600000000001,79.633200000000002 +494.22199999999998,908.46600000000001 +-518.29499999999996,63.163200000000003 +618.39099999999996,667.322 +376.29199999999997,514.053 +40.6892,-230.46700000000001 +-606.86300000000006,797.49599999999998 +-671.62300000000005,332.92599999999999 +-106.982,-221.77600000000001 +561.80700000000002,829.85299999999995 +629.33000000000004,311.96100000000001 +248.08000000000001,-159.685 +678.26800000000003,517.42999999999995 +-389.976,994.57600000000002 +414.52300000000002,483.75200000000001 +671.12599999999998,435.00999999999999 +-639.42200000000003,729.51700000000005 +589.52099999999996,292.22800000000001 +-600.27800000000002,269.44299999999998 +-625.76400000000001,266.608 +360.923,-68.037800000000004 +334.34699999999998,1014.63 +599.68499999999995,684.18100000000004 +-40.6892,-230.46700000000001 +466.47399999999999,69.600200000000001 +330.00299999999999,-89.350899999999996 +-519.17600000000004,894.44000000000005 +-648.35900000000004,680.524 +171.21299999999999,-193.578 +391.81900000000002,-42.572800000000001 +-656.53099999999995,309.93000000000001 +406.947,-28.198699999999999 +598.077,763.77999999999997 +-697.58199999999999,491.08199999999999 +130.65700000000001,-208.70599999999999 +-469.536,44.468800000000002 +422.33499999999998,-12.7311 +65.717299999999994,-224.614 +376.20999999999998,-55.811100000000003 +-636.62300000000005,649.95100000000002 +-362.30000000000001,1004.99 +468.88999999999999,921.79600000000005 +-190.15700000000001,-192.565 +-584.55899999999997,813.98699999999997 +437.113,3.8955000000000002 +-687.28899999999999,408.995 +-376.29199999999997,514.053 +609.71199999999999,715.35599999999999 +306.137,1023.5 +-640.81200000000001,287.637 +538.62400000000002,845.08399999999995 +229.05600000000001,-165.911 +-274.24900000000002,-149.36099999999999 +-690.24199999999996,548.19399999999996 +285.06599999999997,-127.51000000000001 +-334.34699999999998,1014.63 +658.02700000000004,408.84300000000002 +-494.22199999999998,908.46600000000001 +-65.717299999999994,-224.614 +666.548,488.58600000000001 +-618.98699999999997,746.93499999999995 +277.69299999999998,1031.5799999999999 +-305.839,-122.709 +644.17999999999995,383.34100000000001 +576.70899999999995,780.03999999999996 +443.19799999999998,934.41999999999996 +603.52999999999997,313.70800000000003 +580.51900000000001,700.51599999999996 +-629.27700000000004,698.20699999999999 +-306.137,1023.5 +89.952600000000004,-216.053 +-561.80700000000002,829.85299999999995 +-684.76499999999999,462.70699999999999 +249.036,1038.8699999999999 +-130.65700000000001,-208.70599999999999 +-673.19799999999998,383.34899999999999 +515.029,859.66800000000001 +630.89099999999996,359.25799999999998 +210.12799999999999,-170.55099999999999 +-618.39099999999996,667.322 +589.67999999999995,731.95699999999999 +-468.88999999999999,921.79600000000005 +-171.21299999999999,-193.578 +657.96000000000004,543.01900000000001 +-658.38400000000001,358.39699999999999 +494.39400000000001,101.145 +-277.69299999999998,1031.5799999999999 +-499.10899999999998,74.4696 +417.16699999999997,946.32799999999997 +314.48000000000002,-98.475399999999993 +220.18899999999999,1045.3599999999999 +480.68400000000003,84.966999999999999 +616.92399999999998,335.82799999999997 +-248.08000000000001,-159.685 +-414.52300000000002,483.75200000000001 +653.97900000000004,460.38600000000002 +-599.68499999999995,684.18100000000004 +-615.09799999999996,290.37099999999998 +-586.92999999999995,187.47399999999999 +-484.55099999999999,59.050899999999999 +152.756,-193.12 +-598.077,763.77999999999997 +-345.27499999999998,-79.159700000000001 +576.02499999999998,218.69800000000001 +-538.62400000000002,845.08399999999995 +-249.036,1038.8699999999999 +-572.83100000000002,166.16499999999999 +554.89999999999998,795.70299999999997 +-558.02499999999998,145.75200000000001 +-89.952600000000004,-216.053 +563.08900000000006,196.66399999999999 +-678.26800000000003,517.42999999999995 +549.399,175.48599999999999 +-644.18299999999999,334.839 +536.08600000000001,155.506 +-543.65800000000002,126.515 +522.10799999999995,136.37100000000001 +-443.19799999999998,934.41999999999996 +-528.67399999999998,108.158 +-671.12599999999998,435.00999999999999 +390.81599999999997,957.51199999999994 +491.03899999999999,873.59299999999996 +508.541,118.343 +-220.18899999999999,1045.3599999999999 +-514.15899999999999,90.884100000000004 +-609.71199999999999,715.35599999999999 +162.00999999999999,1055.9400000000001 +569.19600000000003,747.99599999999998 +-629.33000000000004,311.96100000000001 +-330.00299999999999,-89.350899999999996 +577.90099999999995,314.58499999999998 +-360.923,-68.037800000000004 +-229.05600000000001,-165.911 +-589.52099999999996,292.22800000000001 +191.40899999999999,-173.63499999999999 +113.10299999999999,-204.886 +275.86799999999999,837.16600000000005 +462.06700000000001,94.531099999999995 +-2.2019199999999999,-221.81999999999999 +132.72300000000001,1060.02 +26.5139,-220.24100000000001 +-576.70899999999995,780.03999999999996 +647.35299999999995,513.74699999999996 +-466.47399999999999,69.600200000000001 +-391.81900000000002,-42.572800000000001 +641.89099999999996,433.73700000000002 +-162.00999999999999,1055.9400000000001 +-417.16699999999997,946.32799999999997 +-376.20999999999998,-55.811100000000003 +532.66499999999996,810.755 +-515.029,859.66800000000001 +-406.947,-28.198699999999999 +103.33499999999999,1063.29 +364.166,967.96100000000001 +-422.33499999999998,-12.7311 +350.39800000000002,-51.981900000000003 +-26.5139,-220.24100000000001 +-285.06599999999997,-127.51000000000001 +629.02999999999997,407.72399999999999 +-132.72300000000001,1060.02 +560.90899999999999,716.31500000000005 +-275.86799999999999,837.16600000000005 +73.867199999999997,1065.74 +-580.51900000000001,700.51599999999996 +-437.113,3.8955000000000002 +-103.33499999999999,1063.29 +591.07600000000002,336.58499999999998 +50.506,-216.005 +-666.548,488.58600000000001 +466.673,886.84799999999996 +44.343000000000004,1067.3800000000001 +-73.867199999999997,1065.74 +14.784800000000001,1068.2 +-152.756,-193.12 +-44.343000000000004,1067.3800000000001 +-14.784800000000001,1068.2 +-210.12799999999999,-170.55099999999999 +264.09500000000003,-131.517 +-658.02700000000004,408.84300000000002 +-390.81599999999997,957.51199999999994 +625.44299999999998,621.10400000000004 +335.96800000000002,-63.333500000000001 +-589.67999999999995,731.95699999999999 +616.67399999999998,383.149 +636.68799999999999,567.81200000000001 +337.23700000000002,977.66899999999998 +548.27599999999995,763.46299999999997 +365.13099999999997,-39.673000000000002 +-644.17999999999995,383.34100000000001 +-113.10299999999999,-204.886 +-50.506,-216.005 +-491.03899999999999,873.59299999999996 +-554.89999999999998,795.70299999999997 +635.87300000000005,485.08699999999999 +603.61400000000003,359.202 +510.02199999999999,825.18700000000001 +-603.52999999999997,313.70800000000003 +393.94600000000003,-11.875299999999999 +379.41699999999997,-26.291 +-657.96000000000004,543.01900000000001 +-364.166,967.96100000000001 +173.01300000000001,-175.203 +407.90699999999998,3.6352199999999999 +520.41499999999996,746.25300000000004 +245.869,-139.791 +191.173,1051.05 +73.888900000000007,-209.16300000000001 +441.94999999999999,899.42399999999998 +422.041,20.2561 +310.04899999999998,986.62800000000004 +-630.89099999999996,359.25799999999998 +-314.48000000000002,-98.475399999999993 +134.88900000000001,-191.24700000000001 +540.86900000000003,731.56399999999996 +-191.40899999999999,-173.63499999999999 +435.47699999999998,37.984999999999999 +-653.97900000000004,460.38600000000002 +565.43200000000002,336.48000000000002 +-337.23700000000002,977.66899999999998 +-616.92399999999998,335.82799999999997 +-532.66499999999996,810.755 +488.25400000000002,127.52800000000001 +-191.173,1051.05 +-494.39400000000001,101.145 +-569.19600000000003,747.99599999999998 +-466.673,886.84799999999996 +475.43200000000002,110.63800000000001 +526.93600000000004,778.34500000000003 +282.62400000000002,994.83100000000002 +627.20799999999997,538.15599999999995 +-480.68400000000003,84.966999999999999 +227.56299999999999,-146.47900000000001 +416.887,911.31100000000004 +-73.888900000000007,-209.16300000000001 +-576.02499999999998,218.69800000000001 +486.98899999999998,838.98699999999997 +-560.90899999999999,716.31500000000005 +563.45899999999995,249.29300000000001 +576.09400000000005,271.95800000000003 +-563.08900000000006,196.66399999999999 +321.20400000000001,-73.641099999999994 +624.81399999999996,457.995 +-310.04899999999998,986.62800000000004 +-549.399,175.48599999999999 +551.72500000000002,226.596 +539.19100000000003,204.714 +-536.08600000000001,155.506 +612.95899999999995,431.50900000000001 +526.96900000000005,184.048 +514.03800000000001,164.191 +254.982,1002.27 +-522.10799999999995,136.37100000000001 +-647.35299999999995,513.74699999999996 +501.45800000000003,145.46100000000001 +-577.90099999999995,314.58499999999998 +-508.541,118.343 +577.75599999999997,358.96899999999999 +451.04700000000003,54.9679 +96.380600000000001,-199.79900000000001 +614.48099999999999,591.77300000000002 +-441.94999999999999,899.42399999999998 +-548.27599999999995,763.46299999999997 +-641.89099999999996,433.73700000000002 +456.32799999999997,119.19 +552.13499999999999,357.88200000000001 +-510.02199999999999,825.18700000000001 +-282.62400000000002,994.83100000000002 +-134.88900000000001,-191.24700000000001 +505.19200000000001,792.63099999999997 +-462.06700000000001,94.531099999999995 +601.55200000000002,406.47899999999998 +391.50599999999997,922.5 +-173.01300000000001,-175.203 +227.14500000000001,1008.95 +-264.09500000000003,-131.517 +-625.44299999999998,621.10400000000004 +-629.02999999999997,407.72399999999999 +-350.39800000000002,-51.981900000000003 +209.29599999999999,-151.59800000000001 +-636.68799999999999,567.81200000000001 +463.58199999999999,852.14300000000003 +-520.41499999999996,746.25300000000004 +616.83399999999995,509.077 +-254.982,1002.27 +155.048,-175.30099999999999 +589.41899999999998,382.04899999999998 +-591.07600000000002,336.58499999999998 +199.13399999999999,1014.85 +-416.887,911.31100000000004 +-540.86900000000003,731.56399999999996 +-335.96800000000002,-63.333500000000001 +-616.67399999999998,383.149 +365.82400000000001,932.98199999999997 +-245.869,-139.791 +-227.14500000000001,1008.95 +-635.87300000000005,485.08699999999999 +-96.380600000000001,-199.79900000000001 +170.971,1019.97 +-486.98899999999998,838.98699999999997 +-365.13099999999997,-39.673000000000002 +499.56200000000001,760.37099999999998 +-526.93600000000004,778.34500000000003 +483.06200000000001,806.30899999999997 +-199.13399999999999,1014.85 +-603.61400000000003,359.202 +606.14400000000001,561.77499999999998 +-391.50599999999997,922.5 +191.18199999999999,-155.17400000000001 +142.67599999999999,1024.3099999999999 +439.81999999999999,864.64700000000005 +-379.41699999999997,-26.291 +-393.94600000000003,-11.875299999999999 +-170.971,1019.97 +353.38499999999999,-24.487200000000001 +-407.90699999999998,3.6352199999999999 +339.863,942.75 +-227.56299999999999,-146.47900000000001 +114.27200000000001,1027.8699999999999 +117.70999999999999,-188.02500000000001 +-422.041,20.2561 +606.82100000000003,481.58100000000002 +-142.67599999999999,1024.3099999999999 +-627.20799999999997,538.15599999999995 +85.781000000000006,1030.6300000000001 +339.88499999999999,-36.929900000000004 +-505.19200000000001,792.63099999999997 +591.37300000000005,614.86500000000001 +-565.43200000000002,336.48000000000002 +-114.27200000000001,1027.8699999999999 +-463.58199999999999,852.14300000000003 +595.98800000000006,454.661 +-435.47699999999998,37.984999999999999 +57.2239,1032.6099999999999 +-365.82400000000001,932.98199999999997 +-85.781000000000006,1030.6300000000001 +563.58900000000006,380.82600000000002 +28.622900000000001,1033.8 +-155.048,-175.30099999999999 +-57.2239,1032.6099999999999 +460.56099999999998,819.36900000000003 +0,1034.2 +571.77099999999996,670.84100000000001 +-28.622900000000001,1033.8 +276.92500000000001,-101.76300000000001 +313.64100000000002,951.79499999999996 +-488.25400000000002,127.52800000000001 +480.70800000000003,153.54499999999999 +-624.81399999999996,457.995 +468.81,135.99100000000001 +415.721,876.48900000000003 +-321.20400000000001,-73.641099999999994 +478.32600000000002,773.90499999999997 +-614.48099999999999,591.77300000000002 +367.113,-11.0665 +585.548,429.21199999999999 +-209.29599999999999,-151.59800000000001 +-475.43200000000002,110.63800000000001 +-612.95899999999995,431.50900000000001 +434.77199999999999,799.18600000000004 +596.89099999999996,532.32100000000003 +-563.45899999999995,249.29300000000001 +-576.09400000000005,271.95800000000003 +380.31200000000001,3.3893 +-339.863,942.75 +549.26999999999998,279.16899999999998 +393.67200000000003,18.894500000000001 +259.71600000000001,-111.986 +-551.72500000000002,226.596 +-539.19100000000003,204.714 +574.35900000000004,404.33600000000001 +-577.75599999999997,358.96899999999999 +410.47000000000003,780.04200000000003 +271.584,576.27099999999996 +-439.81999999999999,864.64700000000005 +406.38,35.447000000000003 +-483.06200000000001,806.30899999999997 +-526.96900000000005,184.048 +287.178,960.11000000000001 +538.77099999999996,255.875 +-514.03800000000001,164.191 +173.33699999999999,-157.24100000000001 +-499.56200000000001,760.37099999999998 +504.48700000000002,191.53800000000001 +527.42899999999997,233.352 +516.33399999999995,212.06100000000001 +-552.13499999999999,357.88200000000001 +-117.70999999999999,-188.02500000000001 +456.72399999999999,786.84699999999998 +-601.55200000000002,406.47899999999998 +492.93000000000001,172.16 +419.17399999999998,53.119900000000001 +-501.45800000000003,145.46100000000001 +391.30399999999997,887.65899999999999 +538.02800000000002,378.75900000000001 +-451.04700000000003,54.9679 +-616.83399999999995,509.077 +449.27499999999998,143.505 +-456.32799999999997,119.19 +437.70699999999999,831.80200000000002 +325.97000000000003,-48.357999999999997 +-191.18199999999999,-155.17400000000001 +-313.64100000000002,951.79499999999996 +431.18599999999998,71.8429 +584.19100000000003,584.57100000000003 +151.79900000000001,272.90800000000002 +260.49599999999998,967.69100000000003 +242.25399999999999,-120.64 +-589.41899999999998,382.04899999999998 +-415.721,876.48900000000003 +226.69200000000001,595.36199999999997 +366.58699999999999,898.14800000000002 +-606.14400000000001,561.77499999999998 +-287.178,960.11000000000001 +567.39800000000002,637.05600000000004 +-460.56099999999998,819.36900000000003 +-151.79900000000001,272.90800000000002 +137.619,-173.983 +282.74799999999999,132.56999999999999 +233.614,974.52999999999997 +587.93799999999999,504.46100000000001 +224.65799999999999,-127.732 +-478.32600000000002,773.90499999999997 +-226.69200000000001,595.36199999999997 +-434.77199999999999,799.18600000000004 +578.14400000000001,477.14600000000002 +414.51799999999997,843.59799999999996 +548.59500000000003,402.125 +-591.37300000000005,614.86500000000001 +-260.49599999999998,967.69100000000003 +-391.30399999999997,887.65899999999999 +-571.77099999999996,670.84100000000001 +206.553,980.62199999999996 +-606.82100000000003,481.58100000000002 +568.68600000000004,451.31599999999997 +341.589,907.95000000000005 +-353.38499999999999,-24.487200000000001 +-410.47000000000003,780.04200000000003 +-271.584,576.27099999999996 +576.072,554.78300000000002 +-456.72399999999999,786.84699999999998 +-173.33699999999999,-157.24100000000001 +-233.614,974.52999999999997 +-595.98800000000006,454.661 +207.04599999999999,-133.27199999999999 +-437.70699999999999,831.80200000000002 +-339.88499999999999,-36.929900000000004 +179.333,985.96299999999997 +-276.92500000000001,-101.76300000000001 +558.45699999999999,426.029 +-563.58900000000006,380.82600000000002 +-366.58699999999999,898.14800000000002 +391.012,854.74699999999996 +-206.553,980.62199999999996 +-596.89099999999996,532.32100000000003 +523.13199999999995,399.08100000000002 +-585.548,429.21199999999999 +151.977,990.54899999999998 +16.741800000000001,-196.72 +316.32900000000001,917.05600000000004 +-259.71600000000001,-111.986 +561.38099999999997,606.50900000000001 +-367.113,-11.0665 +-137.619,-173.983 +-179.333,985.96299999999997 +-16.741800000000001,-196.72 +460.83699999999999,160.95099999999999 +33.836500000000001,-194.50999999999999 +-480.70800000000003,153.54499999999999 +124.504,994.375 +-468.81,135.99100000000001 +471.77600000000001,179.11799999999999 +-414.51799999999997,843.59799999999996 +412.48700000000002,810.91300000000001 +-574.35900000000004,404.33600000000001 +-282.74799999999999,132.56999999999999 +542.59100000000001,658.31299999999999 +-151.977,990.54899999999998 +-341.589,907.95000000000005 +-549.26999999999998,279.16899999999998 +-584.19100000000003,584.57100000000003 +-380.31200000000001,3.3893 +96.935299999999998,997.44000000000005 +189.535,-137.28399999999999 +367.20600000000002,865.24099999999999 +354.21899999999999,3.1567599999999998 +-393.67200000000003,18.894500000000001 +533.49900000000002,308.24099999999999 +-33.836500000000001,-194.50999999999999 +-124.504,994.375 +290.827,925.46000000000004 +-242.25399999999999,-120.64 +-538.02800000000002,378.75900000000001 +507.46899999999999,418.81700000000001 +69.292599999999993,999.74099999999999 +-538.77099999999996,255.875 +341.73000000000002,-10.301299999999999 +-406.38,35.447000000000003 +50.673299999999998,-190.81700000000001 +-527.42899999999997,233.352 +-96.935299999999998,997.44000000000005 +-567.39800000000002,637.05600000000004 +-516.33399999999995,212.06100000000001 +524.26400000000001,284.41699999999997 +306.87900000000002,-57.849899999999998 +-325.97000000000003,-48.357999999999997 +-504.48700000000002,191.53800000000001 +41.596800000000002,1001.28 +514.14800000000002,261.31799999999998 +541.12,336.20600000000002 +568.19200000000001,526.60199999999998 +504.20999999999998,239.46199999999999 +493.48200000000003,218.333 +-69.292599999999993,999.74099999999999 +13.8691,1002.04 +482.98200000000003,198.363 +-419.17399999999998,53.119900000000001 +-492.93000000000001,172.16 +-41.596800000000002,1001.28 +-13.8691,1002.04 +366.98599999999999,832.495 +-449.27499999999998,143.505 +-316.32900000000001,917.05600000000004 +440.92700000000002,167.40600000000001 +-224.65799999999999,-127.732 +532.79600000000005,422.834 +559.45100000000002,498.93099999999998 +-391.012,854.74699999999996 +343.11799999999999,875.07299999999998 +155.86799999999999,-157.84200000000001 +-431.18599999999998,71.8429 +265.10199999999998,933.154 +-50.673299999999998,-190.81700000000001 +-587.93799999999999,504.46100000000001 +554.40899999999999,576.43299999999999 +389.88600000000002,822.01900000000001 +550.98900000000003,472.75900000000001 +366.858,17.607500000000002 +67.123800000000003,-185.66999999999999 +-578.14400000000001,477.14600000000002 +-207.04599999999999,-133.27199999999999 +-290.827,925.46000000000004 +378.88799999999998,33.048999999999999 +494.14600000000002,729.91099999999994 +-548.59500000000003,402.125 +-367.20600000000002,865.24099999999999 +-576.072,554.78300000000002 +239.17400000000001,940.13400000000001 +516.98900000000003,678.60500000000002 +286.41399999999999,-70.781000000000006 +541.73699999999997,447.09899999999999 +390.99799999999999,49.549199999999999 +318.76799999999997,884.23400000000004 +-412.48700000000002,810.91300000000001 +537.74800000000005,627.55799999999999 +328.74900000000002,-22.780100000000001 +109.244,627.62400000000002 +-568.68600000000004,451.31599999999997 +402.37599999999998,67.042599999999993 +270.43000000000001,-82.829499999999996 +343.80500000000001,842.33199999999999 +-265.10199999999998,933.154 +-67.123800000000003,-185.66999999999999 +413.75299999999999,85.659800000000004 +-561.38099999999997,606.50900000000001 +213.06299999999999,946.39300000000003 +-366.98599999999999,832.495 +-343.11799999999999,875.07299999999998 +-189.535,-137.28399999999999 +-558.45699999999999,426.029 +-542.59100000000001,658.31299999999999 +294.173,892.71799999999996 +83.062700000000007,-179.108 +254.02199999999999,-93.346800000000002 +-239.17400000000001,940.13400000000001 +-60.8994,634.14300000000003 +424.267,105.26300000000001 +-523.13199999999995,399.08100000000002 +186.78899999999999,951.928 +272.89699999999999,363.30700000000002 +-389.88600000000002,822.01900000000001 +-155.86799999999999,-157.84200000000001 +547.61400000000003,547.97000000000003 +60.8994,634.14300000000003 +237.31,-102.325 +-318.76799999999997,884.23400000000004 +-213.06299999999999,946.39300000000003 +-109.244,627.62400000000002 +269.35300000000001,900.51700000000005 +516.21699999999998,442.923 +-494.14600000000002,729.91099999999994 +160.37100000000001,956.73299999999995 +431.90100000000001,768.38199999999995 +539.93899999999996,519.98500000000001 +531.93200000000002,597.23699999999997 +220.41200000000001,-109.764 +-507.46899999999999,418.81700000000001 +513.327,647.68600000000004 +-343.80500000000001,842.33199999999999 +-568.19200000000001,526.60199999999998 +451.53699999999998,185.44800000000001 +-460.83699999999999,160.95099999999999 +-471.77600000000001,179.11799999999999 +-186.78899999999999,951.928 +490.62799999999999,697.90099999999995 +-83.062700000000007,-179.108 +133.83099999999999,960.80499999999995 +461.48399999999998,204.17599999999999 +-533.49900000000002,308.24099999999999 +-294.173,892.71799999999996 +-354.21899999999999,3.1567599999999998 +532.48500000000001,493.50799999999998 +491.06200000000001,437.94 +-306.87900000000002,-57.849899999999998 +244.327,907.62699999999995 +-559.45100000000002,498.93099999999998 +-341.73000000000002,-10.301299999999999 +516.19000000000005,336.42500000000001 +-554.40899999999999,576.43299999999999 +-160.37100000000001,956.73299999999995 +-541.12,336.20600000000002 +-272.89699999999999,363.30700000000002 +272.75299999999999,867.947 +-524.26400000000001,284.41699999999997 +107.188,964.14099999999996 +98.368399999999994,-171.18000000000001 +-532.79600000000005,422.834 +-516.98900000000003,678.60500000000002 +-514.14800000000002,261.31799999999998 +203.447,-115.672 +-504.20999999999998,239.46199999999999 +508.24700000000001,312.13999999999999 +-493.48200000000003,218.333 +-133.83099999999999,960.80499999999995 +299.928,86.974000000000004 +490.63400000000001,266.173 +499.38499999999999,288.53100000000001 +481.05599999999998,244.499 +80.462800000000001,966.73800000000006 +524.221,467.512 +-482.98200000000003,198.363 +436.39499999999998,126.58799999999999 +-550.98900000000003,472.75900000000001 +-269.35300000000001,900.51700000000005 +-537.74800000000005,627.55799999999999 +471.64100000000002,223.994 +-440.92700000000002,167.40600000000001 +-107.188,964.14099999999996 +172.23699999999999,-139.797 +431.30900000000003,190.82499999999999 +219.114,914.04100000000005 +53.676200000000001,968.59400000000005 +320.36000000000001,851.52499999999998 +-80.462800000000001,966.73800000000006 +26.848400000000002,969.70899999999995 +-53.676200000000001,968.59400000000005 +0,970.08100000000002 +-26.848400000000002,969.70899999999995 +-366.858,17.607500000000002 +-541.73699999999997,447.09899999999999 +-244.327,907.62699999999995 +-286.41399999999999,-70.781000000000006 +193.732,919.75599999999997 +-431.90100000000001,768.38199999999995 +-270.43000000000001,-82.829499999999996 +463.548,716.17399999999998 +-272.75299999999999,867.947 +-328.74900000000002,-22.780100000000001 +-378.88799999999998,33.048999999999999 +352.89299999999997,30.781500000000001 +526.23199999999997,568.53399999999999 +-219.114,914.04100000000005 +-390.99799999999999,49.549199999999999 +-98.368399999999994,-171.18000000000001 +341.49200000000002,16.3901 +296.67099999999999,860.06500000000005 +172.62700000000001,260.233 +488.15199999999999,666.86500000000001 +168.202,924.76499999999999 +508.67599999999999,617.16499999999996 +-254.02199999999999,-93.346800000000002 +-402.37599999999998,67.042599999999993 +96.828299999999999,443.947 +519.63400000000001,540.27599999999995 +-193.732,919.75599999999997 +-547.61400000000003,547.97000000000003 +112.92400000000001,-161.94800000000001 +498.88,462.363 +-320.36000000000001,851.52499999999998 +-237.31,-102.325 +-490.62799999999999,697.90099999999995 +142.54400000000001,929.06600000000003 +-413.75299999999999,85.659800000000004 +-531.93200000000002,597.23699999999997 +-220.41200000000001,-109.764 +-168.202,924.76499999999999 +513.19899999999996,513.53300000000002 +-539.93899999999996,519.98500000000001 +116.776,932.65499999999997 +-424.267,105.26300000000001 +-513.327,647.68600000000004 +-516.21699999999998,442.923 +364.36599999999999,46.174199999999999 +473.93400000000003,456.42000000000002 +-142.54400000000001,929.06600000000003 +-203.447,-115.672 +90.918599999999998,935.52999999999997 +-299.928,86.974000000000004 +-532.48500000000001,493.50799999999998 +329.52499999999998,2.93669 +505.93700000000001,487.24000000000001 +-172.23699999999999,-139.797 +375.15499999999997,62.507199999999997 +175.18100000000001,892.76999999999998 +-116.776,932.65499999999997 +-296.67099999999999,860.06500000000005 +64.991699999999994,937.68799999999999 +248.62700000000001,875.16300000000001 +-5.7353100000000001,-180.08600000000001 +-491.06200000000001,437.94 +435.78800000000001,733.39700000000005 +22.914300000000001,-178.714 +-172.62700000000001,260.233 +-90.918599999999998,935.52999999999997 +385.94099999999997,79.901799999999994 +462.262,685.06600000000003 +39.014899999999997,939.12699999999995 +-64.991699999999994,937.68799999999999 +13.0083,939.84699999999998 +-39.014899999999997,939.12699999999995 +-13.0083,939.84699999999998 +484.67399999999998,636.18799999999999 +-96.828299999999999,443.947 +-451.53699999999998,185.44800000000001 +-516.19000000000005,336.42500000000001 +440.935,209.411 +395.92000000000002,98.229600000000005 +-463.548,716.17399999999998 +-22.914300000000001,-178.714 +-461.48399999999998,204.17599999999999 +199.822,887.57899999999995 +-112.92400000000001,-161.94800000000001 +-524.221,467.512 +449.863,228.64500000000001 +39.883699999999997,-175.708 +504.07900000000001,588.26499999999999 +-175.18100000000001,892.76999999999998 +497.39299999999997,363.63900000000001 +-508.24700000000001,312.13999999999999 +405.80900000000003,117.678 +-499.38499999999999,288.53100000000001 +292.44900000000001,-38.945300000000003 +-490.63400000000001,266.173 +224.31100000000001,881.70899999999995 +277.88299999999998,-52.673999999999999 +490.76499999999999,338.96300000000002 +-481.05599999999998,244.499 +-488.15199999999999,666.86500000000001 +12.1907,312.04599999999999 +475.64499999999998,292.11700000000002 +467.24299999999999,269.95999999999998 +126.62,-151.48099999999999 +-248.62700000000001,875.16300000000001 +-471.64100000000002,223.994 +458.94200000000001,248.97999999999999 +483.18200000000002,314.91199999999998 +420.44799999999998,213.69499999999999 +-431.30900000000003,190.82499999999999 +-526.23199999999997,568.53399999999999 +414.762,138.041 +-39.883699999999997,-175.708 +-436.39499999999998,126.58799999999999 +-508.67599999999999,617.16499999999996 +498.56799999999998,559.77499999999998 +262.72699999999998,-64.927199999999999 +-199.822,887.57899999999995 +480.81200000000001,481.12400000000002 +56.488100000000003,-171.09399999999999 +0,909.79399999999998 +-12.1907,312.04599999999999 +186.529,-120.066 +247.09999999999999,-75.683700000000002 +100.527,904.22400000000005 +-519.63400000000001,540.27599999999995 +407.38900000000001,749.54399999999998 +493.16199999999998,532.80499999999995 +231.12,-84.930700000000002 +359.48000000000002,277.91899999999998 +214.904,-92.663700000000006 +456.11200000000002,474.23099999999999 +-224.31100000000001,881.70899999999995 +459.96100000000001,654.27800000000002 +-352.89299999999997,30.781500000000001 +435.69400000000002,702.26199999999994 +-498.88,462.363 +-100.527,904.22400000000005 +-435.78800000000001,733.39700000000005 +-341.49200000000002,16.3901 +344.35500000000002,811.39999999999998 +-56.488100000000003,-171.09399999999999 +-513.19899999999996,513.53300000000002 +481.18599999999998,607.13400000000001 +-462.262,685.06600000000003 +486.911,506.25400000000002 +75.462400000000002,906.65899999999999 +-473.93400000000003,456.42000000000002 +150.405,897.27599999999995 +72.575800000000001,-164.91399999999999 +-484.67399999999998,636.18799999999999 +-126.62,-151.48099999999999 +-505.93700000000001,487.24000000000001 +-75.462400000000002,906.65899999999999 +437.62099999999998,491.346 +125.514,901.09500000000003 +-364.36599999999999,46.174199999999999 +-504.07900000000001,588.26499999999999 +-329.52499999999998,2.93669 +378.39299999999997,764.59199999999998 +-150.405,897.27599999999995 +339.173,42.9816 +139.34999999999999,-139.85900000000001 +476.76999999999998,578.45399999999995 +-375.15499999999997,62.507199999999997 +349.416,58.218600000000002 +-407.38900000000001,749.54399999999998 +-125.514,901.09500000000003 +-72.575800000000001,-164.91399999999999 +-344.35500000000002,811.39999999999998 +-385.94099999999997,79.901799999999994 +408.48700000000002,718.42899999999997 +434.57299999999998,671.40899999999999 +462.03899999999999,499.18000000000001 +-497.39299999999997,363.63900000000001 +-498.56799999999998,559.77499999999998 +472.39999999999998,551.29600000000005 +-186.529,-120.066 +-440.935,209.411 +-395.92000000000002,98.229600000000005 +-435.69400000000002,702.26199999999994 +50.340400000000002,908.40099999999995 +-449.863,228.64500000000001 +-459.96100000000001,654.27800000000002 +-277.88299999999998,-52.673999999999999 +477.16399999999999,389.80500000000001 +87.999499999999998,-157.226 +429.06299999999999,232.77000000000001 +-292.44900000000001,-38.945300000000003 +-490.76499999999999,338.96300000000002 +436.94600000000003,252.45599999999999 +25.1799,909.44600000000003 +457.58800000000002,625.11199999999997 +-50.340400000000002,908.40099999999995 +-405.80900000000003,117.678 +-480.81200000000001,481.12400000000002 +-483.18200000000002,314.91199999999998 +-475.64499999999998,292.11700000000002 +-214.904,-92.663700000000006 +-25.1799,909.44600000000003 +-262.72699999999998,-64.927199999999999 +-467.24299999999999,269.95999999999998 +471.86900000000003,364.80900000000003 +-493.16199999999998,532.80499999999995 +-231.12,-84.930700000000002 +-420.44799999999998,213.69499999999999 +-458.94200000000001,248.97999999999999 +-247.09999999999999,-75.683700000000002 +452.08300000000003,294.64400000000001 +408.375,235.94800000000001 +444.92099999999999,273.24799999999999 +459.28399999999999,317.21899999999999 +483.483,414.83699999999999 +328.291,28.6356 +467.17200000000003,524.52499999999998 +465.58800000000002,340.38600000000002 +-456.11200000000002,474.23099999999999 +348.84100000000001,778.51900000000001 +-414.762,138.041 +359.65300000000002,74.459500000000006 +-359.48000000000002,277.91899999999998 +-12.1988,881.36300000000006 +-481.18599999999998,607.13400000000001 +12.1988,881.36300000000006 +-378.39299999999997,764.59199999999998 +369.13499999999999,91.584400000000002 +454.27300000000002,596.28300000000002 +-486.911,506.25400000000002 +380.68099999999998,733.54200000000003 +-139.34999999999999,-139.85900000000001 +378.53100000000001,109.767 +-87.999499999999998,-157.226 +408.54899999999998,687.55499999999995 +-408.48700000000002,718.42899999999997 +-437.62099999999998,491.346 +387.05000000000001,128.81800000000001 +450.947,568.97900000000004 +433.31900000000002,642.173 +198.571,-98.886600000000001 +-434.57299999999998,671.40899999999999 +318.77800000000002,791.30399999999997 +-476.76999999999998,578.45399999999995 +442.58800000000002,516.50400000000002 +102.61799999999999,-148.09899999999999 +395.392,148.97800000000001 +151.017,-127.172 +418.488,507.74099999999999 +-348.84100000000001,778.51900000000001 +446.74700000000001,542.02700000000004 +252.59200000000001,844.48099999999999 +402.72899999999998,169.97800000000001 +311.92500000000001,14.971 +-472.39999999999998,551.29600000000005 +431.11000000000001,613.23900000000003 +-462.03899999999999,499.18000000000001 +-457.58800000000002,625.11199999999997 +352.31700000000001,747.57899999999995 +-339.173,42.9816 +223.77000000000001,-68.537899999999993 +288.24799999999999,802.92899999999997 +281.983,-21.883099999999999 +-349.416,58.218600000000002 +-380.68099999999998,733.54200000000003 +268.26299999999998,-35.724400000000003 +294.95600000000002,-6.6398799999999998 +-477.16399999999999,389.80500000000001 +381.92500000000001,702.69299999999998 +239.03999999999999,-59.073399999999999 +253.90899999999999,-48.1297 +-318.77800000000002,791.30399999999997 +-467.17200000000003,524.52499999999998 +-102.61799999999999,-148.09899999999999 +-252.59200000000001,844.48099999999999 +455.55900000000003,414.84899999999999 +-454.27300000000002,596.28300000000002 +-408.54899999999998,687.55499999999995 +422.488,533.07100000000003 +-471.86900000000003,364.80900000000003 +-436.94600000000003,252.45599999999999 +408.41500000000002,658.29300000000001 +-483.483,414.83699999999999 +-429.06299999999999,232.77000000000001 +11.9482,-162.48500000000001 +428.83199999999999,585.827 +422.76999999999998,275.53899999999999 +415.95400000000001,255.458 +-459.28399999999999,317.21899999999999 +451.61399999999998,389.60399999999998 +-465.58800000000002,340.38600000000002 +-452.08300000000003,294.64400000000001 +-11.9482,-162.48500000000001 +395.12599999999998,257.52199999999999 +-408.375,235.94800000000001 +181.67699999999999,862.52200000000005 +-444.92099999999999,273.24799999999999 +-433.31900000000002,642.173 +257.29500000000002,813.37599999999998 +429.61700000000002,296.72899999999998 +29.040199999999999,-160.315 +323.43700000000001,760.51999999999998 +-328.291,28.6356 +441.60000000000002,341.40800000000002 +435.62099999999998,318.47800000000001 +-151.017,-127.172 +378.41000000000003,538.274 +446.65199999999999,364.88 +398.74099999999999,523.39099999999996 +116.298,-137.61799999999999 +-450.947,568.97900000000004 +-288.24799999999999,802.92899999999997 +334.786,69.311099999999996 +-359.65300000000002,74.459500000000006 +-352.31700000000001,747.57899999999995 +425.666,558.73400000000004 +-29.040199999999999,-160.315 +-198.571,-98.886600000000001 +410.447,194.93100000000001 +407.315,629.29499999999996 +-369.13499999999999,91.584400000000002 +343.80900000000003,85.300799999999995 +-418.488,507.74099999999999 +-442.58800000000002,516.50400000000002 +-181.67699999999999,862.52200000000005 +354.74099999999999,716.79999999999995 +45.807499999999997,-156.352 +85.260999999999996,877.31500000000005 +-378.53100000000001,109.767 +-431.11000000000001,613.23900000000003 +161.53399999999999,-113.515 +225.965,822.63099999999997 +-446.74700000000001,542.02700000000004 +401.76799999999997,548.85599999999999 +-381.92500000000001,702.69299999999998 +-257.29500000000002,813.37599999999998 +294.08100000000002,772.346 +-85.260999999999996,877.31500000000005 +-387.05000000000001,128.81800000000001 +406.08800000000002,601.81600000000003 +325.05700000000002,54.1599 +382.911,673.447 +-45.807499999999997,-156.352 +309.80700000000002,39.260199999999998 +-395.392,148.97800000000001 +-323.43700000000001,760.51999999999998 +-428.83199999999999,585.827 +-223.77000000000001,-68.537899999999993 +-408.41500000000002,658.29300000000001 +194.303,830.67899999999997 +-116.298,-137.61799999999999 +352.74799999999999,102.291 +-311.92500000000001,14.971 +-225.965,822.63099999999997 +-402.72899999999998,169.97800000000001 +62.0625,-150.63999999999999 +403.96199999999999,574.62199999999996 +-239.03999999999999,-59.073399999999999 +-422.488,533.07100000000003 +327.03699999999998,729.85699999999997 +360.86500000000001,120.10299999999999 +264.29500000000002,783.03899999999999 +-281.983,-21.883099999999999 +-268.26299999999998,-35.724400000000003 +382.923,644.42899999999997 +-455.55900000000003,414.84899999999999 +-253.90899999999999,-48.1297 +-378.41000000000003,538.274 +-354.74099999999999,716.79999999999995 +162.357,837.50900000000001 +-294.95600000000002,-6.6398799999999998 +368.81400000000002,138.964 +-294.08100000000002,772.346 +-398.74099999999999,523.39099999999996 +208.21700000000001,-76.514499999999998 +-194.303,830.67899999999997 +128.91399999999999,-125.877 +-407.315,629.29499999999996 +432.642,438.69600000000003 +-451.61399999999998,389.60399999999998 +-62.0625,-150.63999999999999 +375.81999999999999,158.62100000000001 +432.74000000000001,467.52699999999999 +380.45999999999998,563.83600000000001 +356.846,687.61400000000003 +-425.666,558.73400000000004 +407.375,297.82799999999997 +-422.76999999999998,275.53899999999999 +382.74799999999999,616.923 +430.05700000000002,413.27699999999999 +-395.12599999999998,257.52199999999999 +-161.53399999999999,-113.515 +130.172,843.11199999999997 +-446.65199999999999,364.88 +-441.60000000000002,341.40800000000002 +-415.95400000000001,255.458 +380.738,278.35300000000001 +-435.62099999999998,318.47800000000001 +382.56400000000002,179.37 +-162.357,837.50900000000001 +-382.911,673.447 +234.12100000000001,792.58399999999995 +-429.61700000000002,296.72899999999998 +401.64699999999999,277.41000000000003 +298.85300000000001,741.84299999999996 +426.42899999999997,388.32100000000003 +-406.08800000000002,601.81600000000003 +-401.76799999999997,548.85599999999999 +413.07600000000002,319.35500000000002 +422.64400000000001,364.61200000000002 +417.904,341.39499999999998 +77.623500000000007,-143.244 +-264.29500000000002,783.03899999999999 +97.796300000000002,847.47699999999998 +388.24099999999999,200.87899999999999 +-130.172,843.11199999999997 +-334.786,69.311099999999996 +-327.03699999999998,729.85699999999997 +381.66500000000002,589.66700000000003 +65.277299999999997,850.60000000000002 +357.96899999999999,658.61699999999996 +357.52300000000002,552.36800000000005 +170.81999999999999,-98.992699999999999 +-97.796300000000002,847.47699999999998 +-410.447,194.93100000000001 +-343.80900000000003,85.300799999999995 +203.60400000000001,800.96600000000001 +229.93600000000001,-43.585500000000003 +32.662599999999998,852.476 +-65.277299999999997,850.60000000000002 +-403.96199999999999,574.62199999999996 +-382.923,644.42899999999997 +0,853.101 +-234.12100000000001,792.58399999999995 +-32.662599999999998,852.476 +270.23099999999999,752.74099999999999 +330.25799999999998,700.77200000000005 +-128.91399999999999,-125.877 +-77.623500000000007,-143.244 +-325.05700000000002,54.1599 +-356.846,687.61400000000003 +-309.80700000000002,39.260199999999998 +244.07599999999999,-32.503500000000003 +358.59300000000002,577.98900000000003 +-298.85300000000001,741.84299999999996 +358.84800000000001,631.125 +172.78800000000001,808.17499999999995 +328.358,95.218199999999996 +-203.60400000000001,800.96600000000001 +270.56200000000001,-6.0907400000000003 +-382.74799999999999,616.923 +-380.45999999999998,563.83600000000001 +282.68200000000002,9.1716999999999995 +257.65600000000001,-19.995200000000001 +-208.21700000000001,-76.514499999999998 +92.316299999999998,-134.24600000000001 +-352.74799999999999,102.291 +-432.642,438.69600000000003 +241.21299999999999,762.53499999999997 +140.34999999999999,-112.985 +332.49000000000001,671.84000000000003 +141.71899999999999,814.19799999999998 +-432.74000000000001,467.52699999999999 +293.90499999999997,25.7456 +-360.86500000000001,120.10299999999999 +-270.23099999999999,752.74099999999999 +-172.78800000000001,808.17499999999995 +336.10599999999999,111.863 +358.80900000000003,603.84699999999998 +303.18599999999998,712.90300000000002 +336.113,565.65099999999995 +-357.96899999999999,658.61699999999996 +-430.05700000000002,413.27699999999999 +-368.81400000000002,138.964 +408.47800000000001,461.27999999999997 +319.84100000000001,79.353999999999999 +-330.25799999999998,700.77200000000005 +-407.375,297.82799999999997 +-381.66500000000002,589.66700000000003 +110.44199999999999,819.02599999999995 +407.25999999999999,435.75799999999998 +-357.52300000000002,552.36800000000005 +-426.42899999999997,388.32100000000003 +-375.81999999999999,158.62100000000001 +-380.738,278.35300000000001 +-141.71899999999999,814.19799999999998 +-170.81999999999999,-98.992699999999999 +-422.64400000000001,364.61200000000002 +211.84100000000001,771.21199999999999 +390.80700000000002,319.25799999999998 +365.25299999999999,298.38299999999998 +404.97699999999998,410.64400000000001 +-417.904,341.39499999999998 +334.42099999999999,644.40099999999995 +-413.07600000000002,319.35500000000002 +395.34399999999999,341.06 +402.47000000000003,386.76600000000002 +398.983,363.32799999999997 +336.20100000000002,591.29499999999996 +-382.56400000000002,179.37 +79.003,822.654 +-241.21299999999999,762.53499999999997 +-401.64699999999999,277.41000000000003 +350.39600000000002,147.88999999999999 +-110.44199999999999,819.02599999999995 +-92.316299999999998,-134.24600000000001 +-358.84800000000001,631.125 +386.18200000000002,298.56299999999999 +47.4482,825.07600000000002 +275.66899999999998,723.98800000000006 +343.69299999999998,129.499 +291.84500000000003,589.71100000000001 +-79.003,822.654 +-358.59300000000002,577.98900000000003 +306.52300000000002,684.077 +-229.93600000000001,-43.585500000000003 +-388.24099999999999,200.87899999999999 +356.84899999999999,167.31299999999999 +15.8238,826.28800000000001 +-47.4482,825.07600000000002 +-15.8238,826.28800000000001 +182.15799999999999,778.75699999999995 +-332.49000000000001,671.84000000000003 +-303.18599999999998,712.90300000000002 +178.803,-83.715999999999994 +-211.84100000000001,771.21199999999999 +-140.34999999999999,-112.985 +362.30000000000001,187.45699999999999 +335.42700000000002,617.14200000000005 +314.209,578.10500000000002 +215.352,-53.2196 +105.977,-123.746 +-244.07599999999999,-32.503500000000003 +-0.95585299999999995,-145.667 +-358.80900000000003,603.84699999999998 +247.74700000000001,734.01199999999994 +327.62599999999998,314.84300000000002 +367.404,208.66900000000001 +18.1419,-144.536 +-336.113,565.65099999999995 +152.208,785.15999999999997 +309.50299999999999,656.73199999999997 +-182.15799999999999,778.75699999999995 +-275.66899999999998,723.98800000000006 +280.108,695.31100000000004 +-18.1419,-144.536 +313.31599999999997,603.73299999999995 +371.38600000000002,230.55500000000001 +-334.42099999999999,644.40099999999995 +-270.56200000000001,-6.0907400000000003 +-257.65600000000001,-19.995200000000001 +383.137,482.53500000000003 +-328.358,95.218199999999996 +35.074399999999997,-141.38499999999999 +150.50299999999999,-99.059600000000003 +-306.52300000000002,684.077 +-282.68200000000002,9.1716999999999995 +122.035,790.41200000000003 +-336.20100000000002,591.29499999999996 +-408.47800000000001,461.27999999999997 +383.291,456.98399999999998 +-152.208,785.15999999999997 +-291.84500000000003,589.71100000000001 +-407.25999999999999,435.75799999999998 +219.46199999999999,742.95899999999995 +-293.90499999999997,25.7456 +-35.074399999999997,-141.38499999999999 +91.683400000000006,794.505 +311.55200000000002,629.53200000000004 +-247.74700000000001,734.01199999999994 +-404.97699999999998,410.64400000000001 +-122.035,790.41200000000003 +253.28100000000001,705.52599999999995 +-336.10599999999999,111.863 +-105.977,-123.746 +-402.47000000000003,386.76600000000002 +-319.84100000000001,79.353999999999999 +-365.25299999999999,298.38299999999998 +-390.80700000000002,319.25799999999998 +-398.983,363.32799999999997 +-395.34399999999999,341.06 +-335.42700000000002,617.14200000000005 +61.197099999999999,797.43200000000002 +284.13200000000001,668.101 +-314.209,578.10500000000002 +382.358,431.78399999999999 +-91.683400000000006,794.505 +348.71499999999997,317.553 +51.516500000000001,-136.25700000000001 +373.11200000000002,339.76900000000001 +-280.108,695.31100000000004 +376.47300000000001,361.78300000000002 +30.620999999999999,799.19100000000003 +-309.50299999999999,656.73199999999997 +381.13600000000002,407.80599999999998 +-61.197099999999999,797.43200000000002 +233.32900000000001,-18.107399999999998 +378.911,384.214 +190.85599999999999,750.81700000000001 +0,799.77700000000004 +289.971,615.28700000000003 +-178.803,-83.715999999999994 +-30.620999999999999,799.19100000000003 +319.92899999999997,120.545 +-386.18200000000002,298.56299999999999 +-219.46199999999999,742.95899999999995 +-350.39600000000002,147.88999999999999 +-327.62599999999998,314.84300000000002 +-313.31599999999997,603.73299999999995 +326.35500000000002,137.74299999999999 +369.60500000000002,318.85599999999999 +226.083,714.70600000000002 +118.452,-111.863 +-343.69299999999998,129.499 +-51.516500000000001,-136.25700000000001 +356.33499999999998,528.08299999999997 +-356.84899999999999,167.31299999999999 +-215.352,-53.2196 +-253.28100000000001,705.52599999999995 +161.97,757.57299999999998 +287.221,640.99900000000002 +356.69200000000001,502.399 +258.34399999999999,678.49000000000001 +-150.50299999999999,-99.059600000000003 +-190.85599999999999,750.81700000000001 +-362.30000000000001,187.45699999999999 +246.16800000000001,-5.5415999999999999 +312.67500000000001,104.065 +-311.55200000000002,629.53200000000004 +269.053,600.45299999999997 +185.42400000000001,-67.801199999999994 +-383.137,482.53500000000003 +-284.13200000000001,668.101 +337.79000000000002,174.77500000000001 +67.238399999999999,-129.22399999999999 +373.875,258.22899999999998 +-367.404,208.66900000000001 +358.21600000000001,476.89299999999997 +198.553,722.83799999999997 +332.54199999999997,155.917 +132.846,763.21900000000005 +-383.291,456.98399999999998 +266.20100000000002,625.93799999999999 +-161.97,757.57299999999998 +258.29500000000002,8.3804499999999997 +269.59800000000001,23.616399999999999 +-226.083,714.70600000000002 +-371.38600000000002,230.55500000000001 +-289.971,615.28700000000003 +222.31899999999999,619.27999999999997 +232.178,687.88300000000004 +342.70800000000003,194.643 +279.971,40.115900000000003 +103.527,767.74599999999998 +262.46800000000002,651.52599999999995 +159.27799999999999,-84.227599999999995 +-132.846,763.21900000000005 +358.637,451.67899999999997 +346.57100000000003,215.15000000000001 +170.732,729.90999999999997 +-382.358,431.78399999999999 +-258.34399999999999,678.49000000000001 +-118.452,-111.863 +358.70400000000001,427.67000000000002 +357.74799999999999,403.99299999999999 +-67.238399999999999,-129.22399999999999 +-198.553,722.83799999999997 +74.0565,771.14700000000005 +-287.221,640.99900000000002 +289.30900000000003,57.820599999999999 +-103.527,767.74599999999998 +-381.13600000000002,407.80599999999998 +-348.71499999999997,317.553 +350.005,236.696 +242.03999999999999,635.67100000000005 +245.86600000000001,610.31399999999996 +331.173,335.80700000000002 +-376.47300000000001,361.78300000000002 +-378.911,384.214 +-373.11200000000002,339.76900000000001 +44.477400000000003,773.41700000000003 +354.34199999999998,359.30099999999999 +-356.33499999999998,528.08299999999997 +-269.053,600.45299999999997 +-74.0565,771.14700000000005 +356.517,381.464 +205.67099999999999,696.26800000000003 +-233.32900000000001,-18.107399999999998 +14.833,774.553 +142.661,735.91200000000003 +352.267,258.82600000000002 +-44.477400000000003,773.41700000000003 +-14.833,774.553 +237.33099999999999,661.09699999999998 +-266.20100000000002,625.93799999999999 +-356.69200000000001,502.399 +-232.178,687.88300000000004 +-170.732,729.90999999999997 +-222.31899999999999,619.27999999999997 +219.88999999999999,-29.282599999999999 +82.020499999999998,-120.38500000000001 +329.21899999999999,520.81500000000005 +-369.60500000000002,318.85599999999999 +129.60300000000001,-98.7286 +-319.92899999999997,120.545 +217.52500000000001,644.471 +114.381,740.83399999999995 +-326.35500000000002,137.74299999999999 +-262.46800000000002,651.52599999999995 +-142.661,735.91200000000003 +-358.21600000000001,476.89299999999997 +-185.42400000000001,-67.801199999999994 +351.96199999999999,338.22899999999998 +178.86199999999999,703.63199999999995 +-358.637,451.67899999999997 +-205.67099999999999,696.26800000000003 +332.11000000000001,495.428 +85.932599999999994,744.66999999999996 +-246.16800000000001,-5.5415999999999999 +-242.03999999999999,635.67100000000005 +211.846,669.69899999999996 +-114.381,740.83399999999995 +-245.86600000000001,610.31399999999996 +-312.67500000000001,104.065 +-159.27799999999999,-84.227599999999995 +-237.33099999999999,661.09699999999998 +57.358499999999999,747.41399999999999 +-337.79000000000002,174.77500000000001 +-85.932599999999994,744.66999999999996 +-373.875,258.22899999999998 +-82.020499999999998,-120.38500000000001 +333.88299999999998,470.27300000000002 +192.691,652.327 +151.791,709.96400000000006 +335.55500000000001,422.608 +28.700299999999999,749.06200000000001 +-332.54199999999997,155.917 +314.61399999999998,162.78399999999999 +-57.358499999999999,747.41399999999999 +-178.86199999999999,703.63199999999995 +-258.29500000000002,8.3804499999999997 +0,749.61199999999997 +-28.700299999999999,749.06200000000001 +-217.52500000000001,644.471 +-269.59800000000001,23.616399999999999 +-357.74799999999999,403.99299999999999 +190.631,-51.369599999999998 +334.55200000000002,377.798 +335.238,446.30200000000002 +-342.70800000000003,194.643 +309.54899999999998,145.137 +335.53399999999999,400.04500000000002 +186.05000000000001,677.31899999999996 +-358.70400000000001,427.67000000000002 +-279.971,40.115900000000003 +198.44499999999999,627.33699999999999 +-346.57100000000003,215.15000000000001 +-331.173,335.80700000000002 +-211.846,669.69899999999996 +124.497,715.255 +312.67599999999999,353.09399999999999 +-129.60300000000001,-98.7286 +-356.517,381.464 +-151.791,709.96400000000006 +-354.34199999999998,359.30099999999999 +-329.21899999999999,520.81500000000005 +167.57400000000001,659.22699999999998 +303.60300000000001,128.14099999999999 +-350.005,236.696 +125.22199999999999,645.95100000000002 +95.655900000000003,-109.863 +166.59700000000001,-68.625 +-192.691,652.327 +319.36500000000001,181.38499999999999 +300.798,537.73099999999999 +-289.30900000000003,57.820599999999999 +159.98099999999999,683.94600000000003 +-352.267,258.82600000000002 +97.020899999999997,719.49800000000005 +293.44799999999998,565.45000000000005 +233.90799999999999,7.5891999999999999 +-124.497,715.255 +-219.88999999999999,-29.282599999999999 +323.12599999999998,200.595 +-186.05000000000001,677.31899999999996 +-332.11000000000001,495.428 +142.21100000000001,665.15899999999999 +174.28100000000001,634.47500000000002 +69.402500000000003,722.68499999999995 +-125.22199999999999,645.95100000000002 +-167.57400000000001,659.22699999999998 +305.04700000000003,512.53599999999994 +-97.020899999999997,719.49800000000005 +-198.44499999999999,627.33699999999999 +326.47800000000001,220.786 +133.67699999999999,689.56899999999996 +-351.96199999999999,338.22899999999998 +41.682200000000002,724.81200000000001 +-333.88299999999998,470.27300000000002 +-69.402500000000003,722.68499999999995 +-159.98099999999999,683.94600000000003 +313.79700000000003,395.20499999999998 +139.304,-84.490200000000002 +-335.238,446.30200000000002 +328.73000000000002,241.53200000000001 +13.9009,725.87599999999998 +-41.682200000000002,724.81200000000001 +333.30599999999998,356.62900000000002 +-13.9009,725.87599999999998 +308.16699999999997,487.512 +116.64,670.11599999999999 +-335.55500000000001,422.608 +50.346800000000002,656.04700000000003 +-95.655900000000003,-109.863 +-142.21100000000001,665.15899999999999 +107.178,694.18200000000002 +149.86099999999999,640.68299999999999 +330.47300000000001,263.28100000000001 +245.292,21.487200000000001 +313.584,417.47399999999999 +-133.67699999999999,689.56899999999996 +-50.346800000000002,656.04700000000003 +-174.28100000000001,634.47500000000002 +-335.53399999999999,400.04500000000002 +-334.55200000000002,377.798 +-190.631,-51.369599999999998 +310.80599999999998,463.64800000000002 +331.00099999999998,285.51900000000001 +90.897999999999996,674.09100000000001 +-300.798,537.73099999999999 +80.521299999999997,697.77599999999995 +255.81800000000001,36.655099999999997 +-116.64,670.11599999999999 +312.39400000000001,440.005 +-293.44799999999998,565.45000000000005 +-107.178,694.18200000000002 +-314.61399999999998,162.78399999999999 +-312.67599999999999,353.09399999999999 +271.50900000000001,553.09699999999998 +265.38299999999998,53.038699999999999 +53.746499999999997,700.34799999999996 +65.022499999999994,677.077 +293.27800000000002,369.36399999999998 +-166.59700000000001,-68.625 +-80.521299999999997,697.77599999999995 +-90.897999999999996,674.09100000000001 +-149.86099999999999,640.68299999999999 +-309.54899999999998,145.137 +26.893000000000001,701.89200000000005 +-53.746499999999997,700.34799999999996 +39.051699999999997,679.07000000000005 +0,702.40700000000004 +-26.893000000000001,701.89200000000005 +-65.022499999999994,677.077 +273.88400000000001,70.5762 +-305.04700000000003,512.53599999999994 +107.95399999999999,-97.805099999999996 +13.0236,680.06700000000001 +-39.051699999999997,679.07000000000005 +-13.0236,680.06700000000001 +100.398,650.27099999999996 +-303.60300000000001,128.14099999999999 +221.77500000000001,-4.9924600000000003 +277.10399999999998,528.16600000000005 +292.22899999999998,110.108 +194.38499999999999,-34.546399999999998 +-319.36500000000001,181.38499999999999 +-139.304,-84.490200000000002 +-233.90799999999999,7.5891999999999999 +-308.16699999999997,487.512 +281.22399999999999,89.1982 +-323.12599999999998,200.595 +-313.79700000000003,395.20499999999998 +75.427899999999994,653.63900000000001 +-100.398,650.27099999999996 +281.56299999999999,503.346 +-326.47800000000001,220.786 +300.95600000000002,186.833 +172.39099999999999,-52.394599999999997 +297.28300000000002,168.84399999999999 +-312.39400000000001,440.005 +-271.50900000000001,553.09699999999998 +-313.584,417.47399999999999 +-333.30599999999998,356.62900000000002 +-310.80599999999998,463.64800000000002 +-75.427899999999994,653.63900000000001 +313.68900000000002,374 +292.13799999999998,411.47399999999999 +-328.73000000000002,241.53200000000001 +241.43899999999999,566.86900000000003 +25.1919,657.49400000000003 +285.47899999999998,479.65800000000002 +-25.1919,657.49400000000003 +-330.47300000000001,263.28100000000001 +0,657.976 +-107.95399999999999,-97.805099999999996 +292.68099999999998,151.43600000000001 +290.73000000000002,433.69900000000001 +-331.00099999999998,285.51900000000001 +288.33300000000003,456.13400000000001 +-245.292,21.487200000000001 +304.24099999999999,205.74799999999999 +-293.27800000000002,369.36399999999998 +147.44800000000001,-69.306899999999999 +-277.10399999999998,528.16600000000005 +273.036,384.56900000000002 +306.49099999999999,225.19300000000001 +248.364,542.27499999999998 +-255.81800000000001,36.655099999999997 +308.25900000000001,245.583 +-265.38299999999998,53.038699999999999 +203.732,603.60500000000002 +-281.56299999999999,503.346 +-241.43899999999999,566.86900000000003 +308.88499999999999,266.44200000000001 +210.673,579.00800000000004 +-194.38499999999999,-34.546399999999998 +254.148,517.73000000000002 +-221.77500000000001,-4.9924600000000003 +-273.88400000000001,70.5762 +118.74299999999999,-84.379900000000006 +308.92599999999999,288.25999999999999 +231.66499999999999,33.194200000000002 +-285.47899999999998,479.65800000000002 +307.71800000000002,310.47199999999998 +-172.39099999999999,-52.394599999999997 +-292.13799999999998,411.47399999999999 +-292.22899999999998,110.108 +310.25900000000001,331.97000000000003 +259.32900000000001,494.286 +293.16800000000001,390.29399999999998 +-313.68900000000002,374 +-281.22399999999999,89.1982 +-248.364,542.27499999999998 +-203.732,603.60500000000002 +-288.33300000000003,456.13400000000001 +-290.73000000000002,433.69900000000001 +-300.95600000000002,186.833 +218.90700000000001,554.82100000000003 +-147.44800000000001,-69.306899999999999 +269.637,426.55799999999999 +179.29900000000001,589.47900000000004 +267.03899999999999,448.67500000000001 +-273.036,384.56900000000002 +-210.673,579.00800000000004 +-297.28300000000002,168.84399999999999 +263.44099999999997,470.94900000000001 +252.006,398.666 +196.65700000000001,-17.459900000000001 +-254.148,517.73000000000002 +-292.68099999999998,151.43600000000001 +-304.24099999999999,205.74799999999999 +241.45599999999999,48.256700000000002 +226,530.62099999999998 +-306.49099999999999,225.19300000000001 +-118.74299999999999,-84.379900000000006 +133.19399999999999,622.98099999999999 +176.608,-35.684899999999999 +-179.29900000000001,589.47900000000004 +188.81999999999999,565.76800000000003 +250.256,64.487499999999997 +211.006,230.21299999999999 +-308.25900000000001,245.583 +147.40899999999999,598.25099999999998 +285.46300000000002,209.74199999999999 +-218.90700000000001,554.82100000000003 +-259.32900000000001,494.286 +-308.88499999999999,266.44200000000001 +282.55799999999999,333.53199999999998 +232.43199999999999,507.48899999999998 +153.94200000000001,-53.348599999999998 +-308.92599999999999,288.25999999999999 +-133.19399999999999,622.98099999999999 +257.96600000000001,81.821200000000005 +220.98500000000001,19.357900000000001 +283.20499999999998,191.52199999999999 +-307.71800000000002,310.47199999999998 +-263.44099999999997,470.94900000000001 +-231.66499999999999,33.194200000000002 +-267.03899999999999,448.67500000000001 +287.262,228.85599999999999 +279.97500000000002,173.80799999999999 +-293.16800000000001,390.29399999999998 +-269.637,426.55799999999999 +271.80200000000002,405.46300000000002 +-147.40899999999999,598.25099999999998 +-310.25900000000001,331.97000000000003 +115.09399999999999,605.29899999999998 +-252.006,398.666 +-226,530.62099999999998 +246.35900000000001,440.41199999999998 +264.49200000000002,100.185 +285.49599999999998,311.48200000000003 +242.578,462.358 +287.98899999999998,248.417 +-188.81999999999999,565.76800000000003 +237.78999999999999,484.40699999999998 +230.25,411.61500000000001 +197.20099999999999,541.98400000000004 +158.18799999999999,575.08500000000004 +127.872,-69.775199999999998 +288.16000000000003,268.88400000000001 +-211.006,230.21299999999999 +-115.09399999999999,605.29899999999998 +-196.65700000000001,-17.459900000000001 +82.447999999999993,610.60299999999995 +269.74599999999998,119.5 +36.575400000000002,636.00900000000001 +287.15699999999998,289.72800000000001 +-232.43199999999999,507.48899999999998 +-36.575400000000002,636.00900000000001 +-158.18799999999999,575.08500000000004 +-176.608,-35.684899999999999 +204.86500000000001,519.23099999999999 +-82.447999999999993,610.60299999999995 +127.101,582.745 +49.564,614.14700000000005 +167.834,551.78499999999997 +-197.20099999999999,541.98400000000004 +-241.45599999999999,48.256700000000002 +16.537199999999999,615.92200000000003 +-282.55799999999999,333.53199999999998 +-49.564,614.14700000000005 +-16.537199999999999,615.92200000000003 +-153.94200000000001,-53.348599999999998 +-237.78999999999999,484.40699999999998 +-285.46300000000002,209.74199999999999 +-242.578,462.358 +-230.25,411.61500000000001 +-246.35900000000001,440.41199999999998 +-250.256,64.487499999999997 +-127.101,582.745 +-271.80200000000002,405.46300000000002 +211.45400000000001,496.46899999999999 +197.43100000000001,-0.240257 +207.83099999999999,423.37700000000001 +217.41800000000001,474.709 +249.65299999999999,419.464 +95.6477,588.72500000000002 +222.37200000000001,452.99700000000001 +-127.872,-69.775199999999998 +226.62700000000001,58.398899999999998 +-167.834,551.78499999999997 +-220.98500000000001,19.357900000000001 +137.983,559.99599999999998 +-285.49599999999998,311.48200000000003 +-287.262,228.85599999999999 +-283.20499999999998,191.52199999999999 +-204.86500000000001,519.23099999999999 +176.708,529.476 +-257.96600000000001,81.821200000000005 +-279.97500000000002,173.80799999999999 +24.382400000000001,453.72899999999998 +63.918700000000001,593.00999999999999 +-287.98899999999998,248.417 +-95.6477,588.72500000000002 +263.67899999999997,311.24700000000001 +179.21000000000001,-18.648700000000002 +260.32499999999999,332.80599999999998 +255.67699999999999,354.56 +-288.16000000000003,268.88400000000001 +-63.918700000000001,593.00999999999999 +267.73099999999999,270.12700000000001 +32.005499999999998,595.58500000000004 +268.23000000000002,231.37299999999999 +-264.49200000000002,100.185 +-287.15699999999998,289.72800000000001 +-24.382400000000001,453.72899999999998 +-32.005499999999998,595.58500000000004 +-3.9317299999999997e-14,596.44500000000005 +-137.983,559.99599999999998 +107.735,566.59400000000005 +158.715,-36.793599999999998 +266.30500000000001,290.54500000000002 +-211.45400000000001,496.46899999999999 +-176.708,529.476 +148.041,538.19500000000005 +184.50899999999999,507.10000000000002 +-217.41800000000001,474.709 +-207.83099999999999,423.37700000000001 +-269.74599999999998,119.5 +268.53300000000002,250.56899999999999 +-222.37200000000001,452.99700000000001 +267.39999999999998,213.03200000000001 +77.175899999999999,571.55799999999999 +191.63200000000001,485.69099999999997 +184.81299999999999,433.91899999999998 +197.74299999999999,464.27699999999999 +-107.735,566.59400000000005 +265.56200000000001,195.12 +135.214,-54.195099999999996 +-249.65299999999999,419.464 +226.785,432.25599999999997 +234.708,74.444199999999995 +-77.175899999999999,571.55799999999999 +46.3947,574.87599999999998 +-148.041,538.19500000000005 +118.94799999999999,545.36400000000003 +157.03200000000001,516.27099999999996 +-197.43100000000001,-0.240257 +-46.3947,574.87599999999998 +15.479799999999999,576.53700000000003 +-263.67899999999997,311.24700000000001 +-260.32499999999999,332.80599999999998 +-255.67699999999999,354.56 +241.67400000000001,91.542100000000005 +-184.50899999999999,507.10000000000002 +-15.479799999999999,576.53700000000003 +-226.62700000000001,58.398899999999998 +217.529,43.474800000000002 +-179.21000000000001,-18.648700000000002 +89.512299999999996,550.96100000000001 +-191.63200000000001,485.69099999999997 +-118.94799999999999,545.36400000000003 +248.166,270.755 +165.29300000000001,495.27499999999998 +-184.81299999999999,433.91899999999998 +-267.73099999999999,270.12700000000001 +-197.74299999999999,464.27699999999999 +129.102,523.95299999999997 +-268.23000000000002,231.37299999999999 +247.43700000000001,109.617 +-158.715,-36.793599999999998 +172.54499999999999,474.21899999999999 +161.26300000000001,443.21100000000001 +245.84100000000001,290.19099999999997 +-157.03200000000001,516.27099999999996 +-266.30500000000001,290.54500000000002 +244.24799999999999,194.58699999999999 +-89.512299999999996,550.96100000000001 +249.36199999999999,251.59399999999999 +59.8185,554.97000000000003 +-226.785,432.25599999999997 +242.82599999999999,310.435 +-135.214,-54.195099999999996 +203.26300000000001,443.803 +196.69900000000001,16.981200000000001 +251.911,128.58600000000001 +138.47900000000001,503.43099999999998 +-268.53300000000002,250.56899999999999 +227.23599999999999,373.42599999999999 +-59.8185,554.97000000000003 +238.59299999999999,330.86900000000003 +233.56800000000001,352.101 +29.952400000000001,557.38 +-3.6795299999999999e-14,558.18499999999995 +146.84999999999999,482.79500000000002 +-129.102,523.95299999999997 +-29.952400000000001,557.38 +100.801,530.12599999999998 +-165.29300000000001,495.27499999999998 +-267.39999999999998,213.03200000000001 +-265.56200000000001,195.12 +180.172,-1.4419 +255.01499999999999,148.36000000000001 +-161.26300000000001,443.21100000000001 +-172.54499999999999,474.21899999999999 +137.24700000000001,451.226 +-234.708,74.444199999999995 +258.685,174.93899999999999 +253.00800000000001,377.42700000000002 +-100.801,530.12599999999998 +-138.47900000000001,503.43099999999998 +72.208699999999993,534.77099999999996 +111.265,510.13600000000002 +218.857,82.899100000000004 +120.73099999999999,489.97899999999998 +161.71299999999999,-19.827200000000001 +-146.84999999999999,482.79500000000002 +-248.166,270.755 +-72.208699999999993,534.77099999999996 +43.4086,537.875 +-203.26300000000001,443.803 +179.15600000000001,454.06999999999999 +-241.67400000000001,91.542100000000005 +-245.84100000000001,290.19099999999997 +-217.529,43.474800000000002 +14.4834,539.42999999999995 +-14.4834,539.42999999999995 +-43.4086,537.875 +249.965,233.244 +-242.82599999999999,310.435 +-233.56800000000001,352.101 +140.66499999999999,-37.857300000000002 +-111.265,510.13600000000002 +112.837,457.94099999999997 +-238.59299999999999,330.86900000000003 +83.7303,515.37199999999996 +-137.24700000000001,451.226 +249.53,215.24299999999999 +-244.24799999999999,194.58699999999999 +-249.36199999999999,251.59399999999999 +228.97399999999999,270.28100000000001 +94.264600000000002,495.75200000000001 +-120.73099999999999,489.97899999999998 +-227.23599999999999,373.42599999999999 +-247.43700000000001,109.617 +55.954599999999999,519.12199999999996 +-83.7303,515.37199999999996 +-196.69900000000001,16.981200000000001 +226.28700000000001,289.29000000000002 +67.526600000000002,500.096 +225.12799999999999,99.733800000000002 +88.100899999999996,463.33600000000001 +-112.837,457.94099999999997 +-251.911,128.58600000000001 +-94.264600000000002,495.75200000000001 +222.452,308.48599999999999 +-55.954599999999999,519.12199999999996 +-179.15600000000001,454.06999999999999 +-253.00800000000001,377.42700000000002 +28.017700000000001,521.37699999999995 +154.53200000000001,463.02999999999997 +-180.172,-1.4419 +217.86799999999999,328.43299999999999 +212.053,348.47500000000002 +-67.526600000000002,500.096 +-28.017700000000001,521.37699999999995 +40.593899999999998,502.99900000000002 +-3.4418499999999999e-14,522.12900000000002 +-255.01499999999999,148.36000000000001 +-88.100899999999996,463.33600000000001 +63.1111,467.39600000000002 +-40.593899999999998,502.99900000000002 +13.5443,504.452 +-161.71299999999999,-19.827200000000001 +-258.685,174.93899999999999 +205.387,369.24900000000002 +-13.5443,504.452 +-218.857,82.899100000000004 +-140.66499999999999,-37.857300000000002 +197.411,390.01499999999999 +194.46799999999999,34.0732 +-63.1111,467.39600000000002 +37.939599999999999,470.10899999999998 +230.178,117.49299999999999 +-228.97399999999999,270.28100000000001 +-154.53200000000001,463.02999999999997 +129.46299999999999,470.65499999999997 +-249.965,233.244 +211.44999999999999,67.067300000000003 +-249.53,215.24299999999999 +-37.939599999999999,470.10899999999998 +12.6587,471.46699999999998 +-12.6587,471.46699999999998 +-226.28700000000001,289.29000000000002 +-222.452,308.48599999999999 +179.48500000000001,15.7781 +-212.053,348.47500000000002 +-217.86799999999999,328.43299999999999 +-205.387,369.24900000000002 +233.92400000000001,136.09 +-129.46299999999999,470.65499999999997 +-225.12799999999999,99.733800000000002 +207.19,287.32100000000003 +104.021,476.92399999999998 +197.708,324.89999999999998 +162.90299999999999,-2.6390600000000002 +-197.411,390.01499999999999 +231.00700000000001,252.03399999999999 +231.97800000000001,234.054 +191.58099999999999,344.42899999999997 +203.02799999999999,306.06200000000001 +236.28999999999999,155.435 +208.446,106.399 +144.15000000000001,-20.990300000000001 +166.38200000000001,404.22800000000001 +184.221,363.95600000000002 +-104.021,476.92399999999998 +78.278999999999996,481.81799999999998 +175.95400000000001,384.14699999999999 +237.20699999999999,175.43100000000001 +-194.46799999999999,34.0732 +-230.178,117.49299999999999 +-78.278999999999996,481.81799999999998 +52.311599999999999,485.32499999999999 +-211.44999999999999,67.067300000000003 +189.209,413.11599999999999 +-191.58099999999999,344.42899999999997 +-207.19,287.32100000000003 +-179.48500000000001,15.7781 +-166.38200000000001,404.22800000000001 +-52.311599999999999,485.32499999999999 +26.1936,487.43200000000002 +-203.02799999999999,306.06200000000001 +-197.708,324.89999999999998 +-184.221,363.95600000000002 +-26.1936,487.43200000000002 +-3.2177699999999999e-14,488.13600000000002 +184.143,302.60899999999998 +134.34,415.976 +-162.90299999999999,-2.6390600000000002 +-175.95400000000001,384.14699999999999 +-231.97800000000001,234.054 +-231.00700000000001,252.03399999999999 +-233.92400000000001,136.09 +212.834,123.81999999999999 +178.53200000000001,320.96899999999999 +190.755,50.9054 +-144.15000000000001,-20.990300000000001 +-208.446,106.399 +145.44900000000001,396.70400000000001 +-236.28999999999999,155.435 +-189.209,413.11599999999999 +-134.34,415.976 +155.26499999999999,377.21899999999999 +171.75800000000001,339.334 +213.011,251.43899999999999 +177.15700000000001,32.853700000000003 +101.47799999999999,425.18900000000002 +-237.20699999999999,175.43100000000001 +210.64099999999999,269.28800000000001 +-184.143,302.60899999999998 +-178.53200000000001,320.96899999999999 +164.12700000000001,358.32499999999999 +-145.44900000000001,396.70400000000001 +162.27000000000001,14.5786 +202.81999999999999,89.850700000000003 +159.97399999999999,316.053 +-101.47799999999999,425.18900000000002 +215.905,142.02600000000001 +114.057,406.84199999999998 +-155.26499999999999,377.21899999999999 +67.997500000000002,431.80900000000003 +145.62,-3.8299500000000002 +-171.75800000000001,339.334 +-212.834,123.81999999999999 +-190.755,50.9054 +-67.997500000000002,431.80900000000003 +152.947,333.91899999999998 +217.589,160.922 +-114.057,406.84199999999998 +195.52099999999999,128.61600000000001 +125.364,388.18200000000002 +34.102699999999999,435.798 +-213.011,251.43899999999999 +-164.12700000000001,358.32499999999999 +-159.97399999999999,316.053 +-34.102699999999999,435.798 +-210.64099999999999,269.28800000000001 +81.969200000000001,414.5 +0,437.13099999999997 +217.81999999999999,180.41 +-177.15700000000001,32.853700000000003 +120.511,438.11200000000002 +135.672,370.03800000000001 +144.762,351.69999999999999 +-81.969200000000001,414.5 +216.53899999999999,200.38499999999999 +-125.364,388.18200000000002 +-162.27000000000001,14.5786 +185.58799999999999,67.349699999999999 +49.382300000000001,419.63099999999997 +192.74600000000001,267.29000000000002 +-152.947,333.91899999999998 +188.99000000000001,284.89999999999998 +94.697500000000005,396.779 +-202.81999999999999,89.850700000000003 +-145.62,-3.8299500000000002 +-120.511,438.11200000000002 +-215.905,142.02600000000001 +-49.382300000000001,419.63099999999997 +48.694600000000001,451.767 +16.494299999999999,422.20499999999998 +-135.672,370.03800000000001 +-16.494299999999999,422.20499999999998 +-48.694600000000001,451.767 +-94.697500000000005,396.779 +-144.762,351.69999999999999 +106.39,379.49400000000003 +173.208,49.628799999999998 +-195.52099999999999,128.61600000000001 +63.4542,402.95800000000003 +-217.589,160.922 +197.97200000000001,146.41300000000001 +134.83000000000001,327.56999999999999 +-217.81999999999999,180.41 +-63.4542,402.95800000000003 +116.883,361.92099999999999 +126.431,344.83300000000003 +31.824100000000001,406.68000000000001 +-106.39,379.49400000000003 +-192.74600000000001,267.29000000000002 +159.82400000000001,31.633199999999999 +-188.99000000000001,284.89999999999998 +0,407.923 +-216.53899999999999,200.38499999999999 +-31.824100000000001,406.68000000000001 +76.459400000000002,386.63799999999998 +-185.58799999999999,67.349699999999999 +145.054,13.384 +166.18799999999999,298.77600000000001 +-116.883,361.92099999999999 +191.74299999999999,111.55 +-76.459400000000002,386.63799999999998 +-134.83000000000001,327.56999999999999 +-126.431,344.83300000000003 +171.30600000000001,281.51299999999998 +46.062800000000003,391.42399999999998 +88.2911,369.93700000000001 +60.5824,306.351 +199.029,164.846 +-173.208,49.628799999999998 +99.143199999999993,353.64600000000002 +-197.97200000000001,146.41300000000001 +-46.062800000000003,391.42399999999998 +108.863,337.08999999999997 +15.3855,393.82499999999999 +-60.5824,306.351 +180.23699999999999,149.28200000000001 +-15.3855,393.82499999999999 +198.63,183.81299999999999 +179.006,83.280600000000007 +-88.2911,369.93700000000001 +-159.82400000000001,31.633199999999999 +59.161499999999997,375.697 +192.40299999999999,245.97300000000001 +196.72200000000001,203.208 +-99.143199999999993,353.64600000000002 +-166.18799999999999,298.77600000000001 +-108.863,337.08999999999997 +-145.054,13.384 +-171.30600000000001,281.51299999999998 +-59.161499999999997,375.697 +71.251400000000004,360.30200000000002 +82.233500000000006,344.55599999999998 +193.25800000000001,222.923 +29.671199999999999,379.16800000000001 +142.37200000000001,310.83100000000002 +167.67400000000001,65.949799999999996 +-191.74299999999999,111.55 +-29.671199999999999,379.16800000000001 +-71.251400000000004,360.30200000000002 +-82.233500000000006,344.55599999999998 +0,380.327 +107.499,293.19900000000001 +-199.029,164.846 +55.102400000000003,349.92099999999999 +-180.23699999999999,149.28200000000001 +42.9253,364.76299999999998 +148.821,294.01900000000001 +-198.63,183.81299999999999 +155.589,48.334099999999999 +-55.102400000000003,349.92099999999999 +27.635400000000001,353.15300000000002 +-179.006,83.280600000000007 +-192.40299999999999,245.97300000000001 +180.72200000000001,167.24000000000001 +-42.9253,364.76299999999998 +-27.635400000000001,353.15300000000002 +-196.72200000000001,203.208 +0,354.233 +-142.37200000000001,310.83100000000002 +14.3376,367 +-107.499,293.19900000000001 +-14.3376,367 +-193.25800000000001,222.923 +142.46100000000001,30.410799999999998 +117.68899999999999,320.99000000000001 +-148.821,294.01900000000001 +-167.67400000000001,65.949799999999996 +171.06,98.576700000000002 +178.35400000000001,131.905 +162.779,168.14699999999999 +179.751,185.67699999999999 +-117.68899999999999,320.99000000000001 +-180.72200000000001,167.24000000000001 +-155.589,48.334099999999999 +125.43000000000001,304.733 +177.27500000000001,204.48599999999999 +92.288200000000003,329.19400000000002 +173.251,223.55600000000001 +-142.46100000000001,30.410799999999998 +160.60599999999999,81.667500000000004 +167.64599999999999,242.77099999999999 +-125.43000000000001,304.733 +-92.288200000000003,329.19400000000002 +-162.779,168.14699999999999 +-171.06,98.576700000000002 +-178.35400000000001,131.905 +-179.751,185.67699999999999 +66.3249,335.38999999999999 +149.61500000000001,64.494500000000002 +-177.27500000000001,204.48599999999999 +161.292,186.05000000000001 +101.274,313.58999999999997 +-66.3249,335.38999999999999 +-173.251,223.55600000000001 +-167.64599999999999,242.77099999999999 +39.9574,339.54199999999997 +137.876,47.012500000000003 +-160.60599999999999,81.667500000000004 +-39.9574,339.54199999999997 +161.81,113.121 +13.3462,341.625 +-13.3462,341.625 +143.358,184.983 +-101.274,313.58999999999997 +76.500600000000006,320.53500000000003 +158.30500000000001,204.27000000000001 +162.81299999999999,150.66800000000001 +-161.292,186.05000000000001 +-149.61500000000001,64.494500000000002 +130.04499999999999,283.91800000000001 +153.78100000000001,222.69300000000001 +147.691,241.20699999999999 +140.012,259.69200000000001 +-76.500600000000006,320.53500000000003 +152.06899999999999,96.638099999999994 +-143.358,184.983 +-137.876,47.012500000000003 +51.261000000000003,325.52600000000001 +-161.81,113.121 +-130.04499999999999,283.91800000000001 +-51.261000000000003,325.52600000000001 +-158.30500000000001,204.27000000000001 +25.7088,328.53300000000002 +-25.7088,328.53300000000002 +0,329.53800000000001 +141.96799999999999,79.933599999999998 +-162.81299999999999,150.66800000000001 +-140.012,259.69200000000001 +-147.691,241.20699999999999 +-153.78100000000001,222.69300000000001 +139.916,202.61500000000001 +151.327,126.804 +-152.06899999999999,96.638099999999994 +122.208,199.589 +131.363,62.957000000000001 +134.94999999999999,220.398 +110.69,273.47899999999998 +-139.916,202.61500000000001 +120.349,255.94800000000001 +-141.96799999999999,79.933599999999998 +128.43299999999999,238.214 +-122.208,199.589 +145.309,167.613 +142.14099999999999,110.72499999999999 +-151.327,126.804 +-110.69,273.47899999999998 +-131.363,62.957000000000001 +-134.94999999999999,220.398 +-120.349,255.94800000000001 +-128.43299999999999,238.214 +139.69,139.52000000000001 +116.85299999999999,216.73699999999999 +132.733,94.478800000000007 +-145.309,167.613 +36.497799999999998,310.14400000000001 +80.031700000000001,283.96899999999999 +-142.14099999999999,110.72499999999999 +99.5839,211.786 +-36.497799999999998,310.14400000000001 +91.555499999999995,267.60199999999998 +109.96599999999999,233.86699999999999 +-80.031700000000001,283.96899999999999 +101.535,250.86199999999999 +-116.85299999999999,216.73699999999999 +123.015,78.021500000000003 +-139.69,139.52000000000001 +-99.5839,211.786 +-91.555499999999995,267.60199999999998 +130.91200000000001,123.798 +-132.733,94.478800000000007 +48.4084,291.03199999999998 +126.05200000000001,182.53700000000001 +-109.96599999999999,233.86699999999999 +-101.535,250.86199999999999 +-48.4084,291.03199999999998 +61.657499999999999,276.02800000000002 +92.380799999999994,228.244 +16.2013,294.58600000000001 +126.988,151.172 +-16.2013,294.58600000000001 +-123.015,78.021500000000003 +73.412800000000004,260.483 +-61.657499999999999,276.02800000000002 +75.758399999999995,221.43000000000001 +-130.91200000000001,123.798 +122.01300000000001,107.967 +-126.05200000000001,182.53700000000001 +83.656899999999993,244.51599999999999 +-92.380799999999994,228.244 +31.015799999999999,281.125 +-73.412800000000004,260.483 +-31.015799999999999,281.125 +-75.758399999999995,221.43000000000001 +-126.988,151.172 +7.0651200000000005e-14,282.83100000000002 +-83.656899999999993,244.51599999999999 +44.404899999999998,266.96300000000002 +66.793899999999994,236.99799999999999 +118.486,135.739 +112.946,91.995199999999997 +56.338299999999997,252.215 +-122.01300000000001,107.967 +-44.404899999999998,266.96300000000002 +105.274,195.25999999999999 +51.018999999999998,228.40199999999999 +14.8614,270.22199999999998 +113.318,161.672 +-66.793899999999994,236.99799999999999 +-14.8614,270.22199999999998 +-51.018999999999998,228.40199999999999 +-56.338299999999997,252.215 +40.401400000000002,242.89400000000001 +28.3401,256.87200000000001 +25.664300000000001,232.619 +-28.3401,256.87200000000001 +-25.664300000000001,232.619 +-118.486,135.739 +5.84609e-14,234.03100000000001 +6.45561e-14,258.43099999999998 +-105.274,195.25999999999999 +-40.401400000000002,242.89400000000001 +-112.946,91.995199999999997 +109.93000000000001,120.249 +13.5215,245.85900000000001 +-113.318,161.672 +-13.5215,245.85900000000001 +104.97499999999999,146.43799999999999 +83.226399999999998,205.62700000000001 +98.784800000000004,170.94 +-109.93000000000001,120.249 +101.29900000000001,104.68300000000001 +-83.226399999999998,205.62700000000001 +-104.97499999999999,146.43799999999999 +-98.784800000000004,170.94 +96.616399999999999,131.185 +-101.29900000000001,104.68300000000001 +60.174999999999997,213.51300000000001 +83.498400000000004,178.905 +90.504599999999996,155.797 +-60.174999999999997,213.51300000000001 +-83.498400000000004,178.905 +-96.616399999999999,131.185 +36.397799999999997,218.82400000000001 +-90.504599999999996,155.797 +88.235200000000006,115.907 +-36.397799999999997,218.82400000000001 +67.575500000000005,185.506 +12.1816,221.49600000000001 +-12.1816,221.49600000000001 +82.222700000000003,140.654 +75.2059,163.73099999999999 +-67.575500000000005,185.506 +-88.235200000000006,115.907 +51.137500000000003,190.69300000000001 +-75.2059,163.73099999999999 +-82.222700000000003,140.654 +-51.137500000000003,190.69300000000001 +59.219200000000001,170.16800000000001 +73.938199999999995,125.511 +34.309800000000003,194.42699999999999 +66.909499999999994,148.55099999999999 +-34.309800000000003,194.42699999999999 +-59.219200000000001,170.16800000000001 +17.220500000000001,196.678 +-17.220500000000001,196.678 +4.1098500000000002e-14,197.43100000000001 +-73.938199999999995,125.511 +-66.909499999999994,148.55099999999999 +42.6907,175.047 +-42.6907,175.047 +50.847999999999999,154.786 +58.607700000000001,133.36099999999999 +25.771699999999999,178.32499999999999 +-25.771699999999999,178.32499999999999 +-50.847999999999999,154.786 +8.6168300000000002,179.971 +-8.6168300000000002,179.971 +-58.607700000000001,133.36099999999999 +34.2179,159.28999999999999 +-34.2179,159.28999999999999 +42.457799999999999,139.346 +17.205200000000001,162.01300000000001 +-17.205200000000001,162.01300000000001 +-42.457799999999999,139.346 +-3.3915399999999999e-15,162.92400000000001 +25.714500000000001,143.38300000000001 +-25.714500000000001,143.38300000000001 +8.6116200000000003,145.416 +-8.6116200000000003,145.416 diff --git a/samples/mspt-import-maps/mspt-default.lk b/samples/mspt-import-maps/mspt-default.lk new file mode 100644 index 000000000..2de5f3bb0 --- /dev/null +++ b/samples/mspt-import-maps/mspt-default.lk @@ -0,0 +1,648 @@ +clear(); + +// Modifications to run without SolarPILOT pre-process +var( 'field_model_type', 3 ); +var( 'eta_map', csvread('eta_map_for_import.csv')); +var( 'flux_maps', csvread('flux_maps_for_import.csv')); +var( 'eta_map_aod_format', 0); +// ---------------------------------------------------- + +var( 'solar_resource_file', 'daggett_ca_34.865371_-116.783023_psmv3_60_tmy.csv' ); +var( 'is_dispatch', 0 ); +var( 'csp_financial_model', 1 ); +var( 'time_start', 0 ); +var( 'time_stop', 31536000 ); +var( 'is_parallel_htr', 0 ); +var( 'T_htf_cold_des', 290 ); +var( 'T_htf_hot_des', 574 ); +var( 'P_ref', 115 ); +var( 'design_eff', 0.41199999999999998 ); +var( 'tshours', 10 ); +var( 'solarm', 2.3999999999999999 ); +var( 'dni_des', 950 ); + +var( 'helio_width', 12.199999999999999 ); +var( 'helio_height', 12.199999999999999 ); +var( 'helio_optical_error_mrad', 1.53 ); +var( 'helio_active_fraction', 0.94999999999999996 ); +var( 'dens_mirror', 0.96999999999999997 ); +var( 'helio_reflectance', 0.90000000000000002 ); +var( 'rec_absorptance', 0.93999999999999995 ); +var( 'rec_hl_perm2', 30 ); +var( 'land_max', 9.5 ); +var( 'land_min', 0.75 ); +var( 'p_start', 0.025000000000000001 ); +var( 'p_track', 0.055 ); +var( 'hel_stow_deploy', 8 ); +var( 'v_wind_max', 15 ); +var( 'c_atm_0', 0.0067889999999999999 ); +var( 'c_atm_1', 0.1046 ); +var( 'c_atm_2', -0.017000000000000001 ); +var( 'c_atm_3', 0.0028449999999999999 ); +var( 'n_facet_x', 2 ); +var( 'n_facet_y', 8 ); +var( 'focus_type', 1 ); +var( 'cant_type', 1 ); +var( 'n_flux_days', 8 ); +var( 'delta_flux_hrs', 2 ); +var( 'water_usage_per_wash', 0.69999999999999996 ); +var( 'washing_frequency', 63 ); +var( 'check_max_flux', 0 ); +var( 'sf_excess', 1 ); +var( 'flux_max', 1000 ); +var( 'opt_init_step', 0.059999999999999998 ); +var( 'opt_max_iter', 200 ); +var( 'opt_conv_tol', 0.001 ); +var( 'opt_flux_penalty', 0.25 ); +var( 'opt_algorithm', 1 ); +var( 'receiver_type', 0 ); +var( 'N_panels', 20 ); +var( 'd_tube_out', 40 ); +var( 'th_tube', 1.25 ); +var( 'mat_tube', 2 ); +var( 'rec_htf', 17 ); +var( 'field_fl_props', +[ [ 0, 0, 0, 0, 0, 0, 0 ] ] ); +var( 'Flow_type', 1 ); +var( 'epsilon', 0.88 ); +var( 'hl_ffact', 1 ); +var( 'f_rec_min', 0.25 ); +var( 'rec_su_delay', 0.5 ); +var( 'rec_qf_delay', 0.25 ); +var( 'csp.pt.rec.max_oper_frac', 1.2 ); +var( 'eta_pump', 0.84999999999999998 ); +var( 'piping_length_mult', 2.6000000000000001 ); +var( 'piping_length_const', 0 ); +var( 'n_cav_rec_panels', 6 ); +var( 'cav_rec_span', 180 ); +var( 'cav_rec_passive_abs', 0.29999999999999999 ); +var( 'cav_rec_passive_eps', 0.5 ); +var( 'piping_loss_coefficient', 2 ); +var( 'is_rec_model_trans', 0 ); +var( 'is_rec_startup_trans', 0 ); +var( 'rec_tm_mult', 1 ); +var( 'riser_tm_mult', 1 ); +var( 'downc_tm_mult', 1 ); +var( 'u_riser', 4 ); +var( 'th_riser', 15 ); +var( 'heat_trace_power', 500 ); +var( 'preheat_flux', 50 ); +var( 'startup_ramp_time', 0 ); +var( 'startup_target_Tdiff', -5 ); +var( 'is_rec_startup_from_T_soln', 0 ); +var( 'is_rec_enforce_min_startup', 0 ); +var( 'helio_positions', csvread('helio_positions.csv')); +var( 'rec_height', 20.459800000000001 ); +var( 'D_rec', 16.922000000000001 ); +var( 'h_tower', 194.227 ); +var( 'cav_rec_height', 10 ); +var( 'cav_rec_width', 10 ); +var( 'heater_mult', 1 ); +var( 'heater_efficiency', 100 ); +var( 'f_q_dot_des_allowable_su', 1 ); +var( 'hrs_startup_at_max_rate', 0.25 ); +var( 'f_q_dot_heater_min', 0.25 ); +var( 'disp_hsu_cost_rel', 0.014999999999999999 ); +var( 'heater_spec_cost', 104 ); +var( 'tes_init_hot_htf_percent', 30 ); +var( 'h_tank', 12 ); +var( 'cold_tank_max_heat', 15 ); +var( 'u_tank', 0.40000000000000002 ); +var( 'tank_pairs', 1 ); +var( 'cold_tank_Thtr', 280 ); +var( 'h_tank_min', 1 ); +var( 'hot_tank_Thtr', 500 ); +var( 'hot_tank_max_heat', 30 ); +var( 'tanks_in_parallel', 1 ); +var( 'h_ctes_tank_min', 1 ); +var( 'ctes_tshours', 15 ); +var( 'ctes_field_fl', 4 ); +var( 'h_ctes_tank', 30 ); +var( 'u_ctes_tank', 0.40000000000000002 ); +var( 'ctes_tankpairs', 1 ); +var( 'T_ctes_cold_design', 5 ); +var( 'T_ctes_warm_design', 10 ); +var( 'T_ctes_warm_ini', 20 ); +var( 'T_ctes_cold_ini', 10 ); +var( 'f_ctes_warm_ini', 0 ); +var( 'rad_multiplier', 1.5 ); +var( 'm_dot_radpanel', 8 ); +var( 'n_rad_tubes', 100 ); +var( 'W_rad_tubes', 0.050000000000000003 ); +var( 'L_rad', 100 ); +var( 'th_rad_panel', 0.002 ); +var( 'D_rad_tubes', 0.02 ); +var( 'k_panel', 235 ); +var( 'epsilon_radtop', 0.94999999999999996 ); +var( 'epsilon_radbot', 0.070000000000000007 ); +var( 'epsilon_radgrnd', 0.90000000000000002 ); +var( 'L_rad_sections', 10 ); +var( 'epsilon_radHX', 0.80000000000000004 ); +var( 'ctes_type', 0 ); +var( 'radiator_unitcost', 13 ); +var( 'radiator_installcost', 22 ); +var( 'radiator_fluidcost', 0.34000000000000002 ); +var( 'radfluid_vol_ratio', 3 ); +var( 'ctes_cost', 0.69999999999999996 ); +var( 'rad_pressuredrop', 75 ); +var( 'pc_config', 0 ); +var( 'pb_pump_coef', 0.55000000000000004 ); +var( 'startup_time', 0.5 ); +var( 'startup_frac', 0.5 ); +var( 'cycle_max_frac', 1 ); +var( 'cycle_cutoff_frac', 0.20000000000000001 ); +var( 'q_sby_frac', 0.20000000000000001 ); +var( 'dT_cw_ref', 10 ); +var( 'T_amb_des', 42 ); +var( 'CT', 2 ); +var( 'T_approach', 5 ); +var( 'T_ITD_des', 16 ); +var( 'P_cond_ratio', 1.0027999999999999 ); +var( 'pb_bd_frac', 0.02 ); +var( 'P_cond_min', 2 ); +var( 'n_pl_inc', 8 ); +var( 'F_wc', [ 0, 0, 0, 0, 0, 0, 0, 0, 0 ] ); +var( 'tech_type', 1 ); +var( 'ud_f_W_dot_cool_des', 0 ); +var( 'ud_m_dot_water_cool_des', 0 ); +var( 'ud_is_sco2_regr', 0 ); +var( 'ud_ind_od', csvread('ud_ind_od.csv')); +var( 'pb_fixed_par', 0.0054999999999999997 ); +var( 'aux_par', 0.023 ); +var( 'aux_par_f', 1 ); +var( 'aux_par_0', 0.48299999999999998 ); +var( 'aux_par_1', 0.57099999999999995 ); +var( 'aux_par_2', 0 ); +var( 'bop_par', 0 ); +var( 'bop_par_f', 1 ); +var( 'bop_par_0', 0 ); +var( 'bop_par_1', 0.48299999999999998 ); +var( 'bop_par_2', 0 ); +var( 'f_turb_tou_periods', [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ); +var( 'weekday_schedule', +[ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ] ); +var( 'weekend_schedule', +[ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ] ); +var( 'is_tod_pc_target_also_pc_max', 0 ); +var( 'disp_horizon', 48 ); +var( 'disp_frequency', 24 ); +var( 'disp_max_iter', 50000 ); +var( 'disp_timeout', 5 ); +var( 'disp_mip_gap', 0.001 ); +var( 'disp_time_weighting', 0.999 ); +var( 'disp_rsu_cost_rel', 1.3999999999999999 ); +var( 'disp_csu_cost_rel', 87 ); +var( 'disp_pen_ramping', 1 ); +var( 'is_wlim_design', 0 ); +var( 'disp_wlim_maxspec', 9.9999999999999998e+37 ); +var( 'wlim_series', real_array(read_text_file('wlim_series.csv'))); +var( 'ppa_multiplier_model', 0 ); +var( 'ppa_soln_mode', 1 ); +var( 'dispatch_factors_ts', real_array(read_text_file('dispatch_factors_ts.csv'))); +var( 'en_electricity_rates', 0 ); +var( 'dispatch_sched_weekday', +[ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ] ); +var( 'dispatch_sched_weekend', +[ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ] ); +var( 'dispatch_tod_factors', [ 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ); +var( 'is_dispatch_series', 0 ); +var( 'dispatch_series', [ 0 ] ); +var( 'ppa_price_input', [ 0.095000000000000001 ] ); +var( 'tower_fixed_cost', 3000000 ); +var( 'tower_exp', 0.011299999999999999 ); +var( 'rec_ref_cost', 103000000 ); +var( 'rec_ref_area', 1571 ); +var( 'rec_cost_exp', 0.69999999999999996 ); +var( 'site_spec_cost', 16 ); +var( 'heliostat_spec_cost', 127 ); +var( 'plant_spec_cost', 1040 ); +var( 'bop_spec_cost', 290 ); +var( 'tes_spec_cost', 22 ); +var( 'land_spec_cost', 10000 ); +var( 'contingency_rate', 7 ); +var( 'sales_tax_rate', 5 ); +var( 'sales_tax_frac', 80 ); +var( 'cost_sf_fixed', 0 ); +var( 'fossil_spec_cost', 0 ); +var( 'csp.pt.cost.epc.per_acre', 0 ); +var( 'csp.pt.cost.epc.percent', 13 ); +var( 'csp.pt.cost.epc.per_watt', 0 ); +var( 'csp.pt.cost.epc.fixed', 0 ); +var( 'csp.pt.cost.plm.percent', 0 ); +var( 'csp.pt.cost.plm.per_watt', 0 ); +var( 'csp.pt.cost.plm.fixed', 0 ); +var( 'csp.pt.sf.fixed_land_area', 45 ); +var( 'csp.pt.sf.land_overhead_factor', 1 ); +var( 'const_per_interest_rate1', 6.5 ); +var( 'const_per_interest_rate2', 0 ); +var( 'const_per_interest_rate3', 0 ); +var( 'const_per_interest_rate4', 0 ); +var( 'const_per_interest_rate5', 0 ); +var( 'const_per_months1', 24 ); +var( 'const_per_months2', 0 ); +var( 'const_per_months3', 0 ); +var( 'const_per_months4', 0 ); +var( 'const_per_months5', 0 ); +var( 'const_per_percent1', 100 ); +var( 'const_per_percent2', 0 ); +var( 'const_per_percent3', 0 ); +var( 'const_per_percent4', 0 ); +var( 'const_per_percent5', 0 ); +var( 'const_per_upfront_rate1', 1 ); +var( 'const_per_upfront_rate2', 0 ); +var( 'const_per_upfront_rate3', 0 ); +var( 'const_per_upfront_rate4', 0 ); +var( 'const_per_upfront_rate5', 0 ); +var( 'adjust_constant', 4 ); +var( 'adjust_en_timeindex', 0 ); +var( 'adjust_en_periods', 0 ); +var( 'adjust_timeindex', [ 0 ] ); +var( 'adjust_periods', +[ [ 0, 0, 0 ] ] ); +var( 'sf_adjust_constant', 0 ); +var( 'sf_adjust_en_timeindex', 0 ); +var( 'sf_adjust_en_periods', 0 ); +var( 'sf_adjust_timeindex', [ 0 ] ); +var( 'sf_adjust_periods', +[ [ 0, 0, 0 ] ] ); +var( 'system_use_lifetime_output', 0 ); +var( 'analysis_period', 25 ); +var( 'grid_curtailment', real_array(read_text_file('grid_curtailment.csv'))); +var( 'enable_interconnection_limit', 0 ); +var( 'grid_interconnection_limit_kwac', 20000 ); +var( 'inflation_rate', 2.5 ); +var( 'degradation', [ 0 ] ); +var( 'rate_escalation', [ 0 ] ); +var( 'ur_metering_option', 4 ); +var( 'ur_nm_yearend_sell_rate', 0 ); +var( 'ur_nm_credit_month', 0 ); +var( 'ur_nm_credit_rollover', 0 ); +var( 'ur_monthly_fixed_charge', 0 ); +var( 'ur_monthly_min_charge', 0 ); +var( 'ur_annual_min_charge', 0 ); +var( 'ur_en_ts_sell_rate', 0 ); +var( 'ur_ts_sell_rate', [ 0 ] ); +var( 'ur_en_ts_buy_rate', 0 ); +var( 'ur_ts_buy_rate', [ 0 ] ); +var( 'ur_ec_sched_weekday', +[ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ] ); +var( 'ur_ec_sched_weekend', +[ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ] ); +var( 'ur_ec_tou_mat', +[ [ 1, 1, 9.9999999999999998e+37, 0, 0.085000000000000006, 0 ] ] ); +var( 'ur_dc_enable', 0 ); +var( 'ur_dc_sched_weekday', +[ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ] ); +var( 'ur_dc_sched_weekend', +[ [ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ], +[ 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 ] ] ); +var( 'ur_dc_tou_mat', +[ [ 1, 1, 9.9999999999999998e+37, 0 ] ] ); +var( 'ur_dc_flat_mat', +[ [ 0, 1, 9.9999999999999998e+37, 0 ], +[ 1, 1, 9.9999999999999998e+37, 0 ], +[ 2, 1, 9.9999999999999998e+37, 0 ], +[ 3, 1, 9.9999999999999998e+37, 0 ], +[ 4, 1, 9.9999999999999998e+37, 0 ], +[ 5, 1, 9.9999999999999998e+37, 0 ], +[ 6, 1, 9.9999999999999998e+37, 0 ], +[ 7, 1, 9.9999999999999998e+37, 0 ], +[ 8, 1, 9.9999999999999998e+37, 0 ], +[ 9, 1, 9.9999999999999998e+37, 0 ], +[ 10, 1, 9.9999999999999998e+37, 0 ], +[ 11, 1, 9.9999999999999998e+37, 0 ] ] ); +var( 'ur_enable_billing_demand', 0 ); +var( 'ur_billing_demand_minimum', 100 ); +var( 'ur_billing_demand_lookback_period', 11 ); +var( 'ur_billing_demand_lookback_percentages', +[ [ 60, 0 ], +[ 60, 0 ], +[ 60, 0 ], +[ 60, 0 ], +[ 60, 0 ], +[ 95, 1 ], +[ 95, 1 ], +[ 95, 1 ], +[ 95, 1 ], +[ 60, 0 ], +[ 60, 0 ], +[ 60, 0 ] ] ); +var( 'ur_dc_billing_demand_periods', +[ [ 1, 1 ] ] ); +var( 'ur_yearzero_usage_peaks', [ 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ] ); +var( 'ppa_escalation', 1 ); +var( 'federal_tax_rate', [ 21 ] ); +var( 'state_tax_rate', [ 7 ] ); +var( 'property_tax_rate', 0 ); +var( 'prop_tax_cost_assessed_percent', 100 ); +var( 'prop_tax_assessed_decline', 0 ); +var( 'real_discount_rate', 6.4000000000000004 ); +var( 'insurance_rate', 0.5 ); +var( 'system_capacity', 101663.44246977226 ); +var( 'om_fixed', [ 0 ] ); +var( 'om_fixed_escal', 0 ); +var( 'om_production', [ 3.5 ] ); +var( 'om_production_escal', 0 ); +var( 'om_capacity', [ 66 ] ); +var( 'om_capacity_escal', 0 ); +var( 'land_area', 2079.2855194841195 ); +var( 'om_land_lease', [ 0 ] ); +var( 'om_land_lease_escal', 0 ); +var( 'reserves_interest', 1.75 ); +var( 'equip1_reserve_cost', 0 ); +var( 'equip1_reserve_freq', 12 ); +var( 'equip2_reserve_cost', 0 ); +var( 'equip2_reserve_freq', 15 ); +var( 'equip3_reserve_cost', 0 ); +var( 'equip3_reserve_freq', 3 ); +var( 'equip_reserve_depr_sta', 0 ); +var( 'equip_reserve_depr_fed', 0 ); +var( 'itc_fed_amount', [ 0 ] ); +var( 'itc_fed_amount_deprbas_fed', 1 ); +var( 'itc_fed_amount_deprbas_sta', 1 ); +var( 'itc_sta_amount', [ 0 ] ); +var( 'itc_sta_amount_deprbas_fed', 0 ); +var( 'itc_sta_amount_deprbas_sta', 0 ); +var( 'itc_fed_percent', [ 30 ] ); +var( 'itc_fed_percent_maxvalue', [ 9.9999999999999998e+37 ] ); +var( 'itc_fed_percent_deprbas_fed', 1 ); +var( 'itc_fed_percent_deprbas_sta', 1 ); +var( 'itc_sta_percent', [ 0 ] ); +var( 'itc_sta_percent_maxvalue', [ 9.9999999999999998e+37 ] ); +var( 'itc_sta_percent_deprbas_fed', 0 ); +var( 'itc_sta_percent_deprbas_sta', 0 ); +var( 'ptc_fed_amount', [ 0 ] ); +var( 'ptc_fed_term', 10 ); +var( 'ptc_fed_escal', 0 ); +var( 'ptc_sta_amount', [ 0 ] ); +var( 'ptc_sta_term', 10 ); +var( 'ptc_sta_escal', 0 ); +var( 'depr_alloc_macrs_5_percent', 90 ); +var( 'depr_alloc_macrs_15_percent', 1.5 ); +var( 'depr_alloc_sl_5_percent', 0 ); +var( 'depr_alloc_sl_15_percent', 2.5 ); +var( 'depr_alloc_sl_20_percent', 3 ); +var( 'depr_alloc_sl_39_percent', 0 ); +var( 'depr_alloc_custom_percent', 0 ); +var( 'depr_custom_schedule', [ 0 ] ); +var( 'depr_bonus_sta', 0 ); +var( 'depr_bonus_sta_macrs_5', 1 ); +var( 'depr_bonus_sta_macrs_15', 1 ); +var( 'depr_bonus_sta_sl_5', 0 ); +var( 'depr_bonus_sta_sl_15', 0 ); +var( 'depr_bonus_sta_sl_20', 0 ); +var( 'depr_bonus_sta_sl_39', 0 ); +var( 'depr_bonus_sta_custom', 0 ); +var( 'depr_bonus_fed', 0 ); +var( 'depr_bonus_fed_macrs_5', 1 ); +var( 'depr_bonus_fed_macrs_15', 1 ); +var( 'depr_bonus_fed_sl_5', 0 ); +var( 'depr_bonus_fed_sl_15', 0 ); +var( 'depr_bonus_fed_sl_20', 0 ); +var( 'depr_bonus_fed_sl_39', 0 ); +var( 'depr_bonus_fed_custom', 0 ); +var( 'depr_itc_sta_macrs_5', 1 ); +var( 'depr_itc_sta_macrs_15', 0 ); +var( 'depr_itc_sta_sl_5', 0 ); +var( 'depr_itc_sta_sl_15', 0 ); +var( 'depr_itc_sta_sl_20', 0 ); +var( 'depr_itc_sta_sl_39', 0 ); +var( 'depr_itc_sta_custom', 0 ); +var( 'depr_itc_fed_macrs_5', 1 ); +var( 'depr_itc_fed_macrs_15', 0 ); +var( 'depr_itc_fed_sl_5', 0 ); +var( 'depr_itc_fed_sl_15', 0 ); +var( 'depr_itc_fed_sl_20', 0 ); +var( 'depr_itc_fed_sl_39', 0 ); +var( 'depr_itc_fed_custom', 0 ); +var( 'ibi_fed_amount', 0 ); +var( 'ibi_fed_amount_tax_fed', 1 ); +var( 'ibi_fed_amount_tax_sta', 1 ); +var( 'ibi_fed_amount_deprbas_fed', 0 ); +var( 'ibi_fed_amount_deprbas_sta', 0 ); +var( 'ibi_sta_amount', 0 ); +var( 'ibi_sta_amount_tax_fed', 1 ); +var( 'ibi_sta_amount_tax_sta', 1 ); +var( 'ibi_sta_amount_deprbas_fed', 0 ); +var( 'ibi_sta_amount_deprbas_sta', 0 ); +var( 'ibi_uti_amount', 0 ); +var( 'ibi_uti_amount_tax_fed', 1 ); +var( 'ibi_uti_amount_tax_sta', 1 ); +var( 'ibi_uti_amount_deprbas_fed', 0 ); +var( 'ibi_uti_amount_deprbas_sta', 0 ); +var( 'ibi_oth_amount', 0 ); +var( 'ibi_oth_amount_tax_fed', 1 ); +var( 'ibi_oth_amount_tax_sta', 1 ); +var( 'ibi_oth_amount_deprbas_fed', 0 ); +var( 'ibi_oth_amount_deprbas_sta', 0 ); +var( 'ibi_fed_percent', 0 ); +var( 'ibi_fed_percent_maxvalue', 9.9999999999999998e+37 ); +var( 'ibi_fed_percent_tax_fed', 1 ); +var( 'ibi_fed_percent_tax_sta', 1 ); +var( 'ibi_fed_percent_deprbas_fed', 0 ); +var( 'ibi_fed_percent_deprbas_sta', 0 ); +var( 'ibi_sta_percent', 0 ); +var( 'ibi_sta_percent_maxvalue', 9.9999999999999998e+37 ); +var( 'ibi_sta_percent_tax_fed', 1 ); +var( 'ibi_sta_percent_tax_sta', 1 ); +var( 'ibi_sta_percent_deprbas_fed', 0 ); +var( 'ibi_sta_percent_deprbas_sta', 0 ); +var( 'ibi_uti_percent', 0 ); +var( 'ibi_uti_percent_maxvalue', 9.9999999999999998e+37 ); +var( 'ibi_uti_percent_tax_fed', 1 ); +var( 'ibi_uti_percent_tax_sta', 1 ); +var( 'ibi_uti_percent_deprbas_fed', 0 ); +var( 'ibi_uti_percent_deprbas_sta', 0 ); +var( 'ibi_oth_percent', 0 ); +var( 'ibi_oth_percent_maxvalue', 9.9999999999999998e+37 ); +var( 'ibi_oth_percent_tax_fed', 1 ); +var( 'ibi_oth_percent_tax_sta', 1 ); +var( 'ibi_oth_percent_deprbas_fed', 0 ); +var( 'ibi_oth_percent_deprbas_sta', 0 ); +var( 'cbi_fed_amount', 0 ); +var( 'cbi_fed_maxvalue', 9.9999999999999998e+37 ); +var( 'cbi_fed_tax_fed', 1 ); +var( 'cbi_fed_tax_sta', 1 ); +var( 'cbi_fed_deprbas_fed', 0 ); +var( 'cbi_fed_deprbas_sta', 0 ); +var( 'cbi_sta_amount', 0 ); +var( 'cbi_sta_maxvalue', 9.9999999999999998e+37 ); +var( 'cbi_sta_tax_fed', 1 ); +var( 'cbi_sta_tax_sta', 1 ); +var( 'cbi_sta_deprbas_fed', 0 ); +var( 'cbi_sta_deprbas_sta', 0 ); +var( 'cbi_uti_amount', 0 ); +var( 'cbi_uti_maxvalue', 9.9999999999999998e+37 ); +var( 'cbi_uti_tax_fed', 1 ); +var( 'cbi_uti_tax_sta', 1 ); +var( 'cbi_uti_deprbas_fed', 0 ); +var( 'cbi_uti_deprbas_sta', 0 ); +var( 'cbi_oth_amount', 0 ); +var( 'cbi_oth_maxvalue', 9.9999999999999998e+37 ); +var( 'cbi_oth_tax_fed', 1 ); +var( 'cbi_oth_tax_sta', 1 ); +var( 'cbi_oth_deprbas_fed', 0 ); +var( 'cbi_oth_deprbas_sta', 0 ); +var( 'pbi_fed_amount', [ 0 ] ); +var( 'pbi_fed_term', 0 ); +var( 'pbi_fed_escal', 0 ); +var( 'pbi_fed_tax_fed', 1 ); +var( 'pbi_fed_tax_sta', 1 ); +var( 'pbi_sta_amount', [ 0 ] ); +var( 'pbi_sta_term', 0 ); +var( 'pbi_sta_escal', 0 ); +var( 'pbi_sta_tax_fed', 1 ); +var( 'pbi_sta_tax_sta', 1 ); +var( 'pbi_uti_amount', [ 0 ] ); +var( 'pbi_uti_term', 0 ); +var( 'pbi_uti_escal', 0 ); +var( 'pbi_uti_tax_fed', 1 ); +var( 'pbi_uti_tax_sta', 1 ); +var( 'pbi_oth_amount', [ 0 ] ); +var( 'pbi_oth_term', 0 ); +var( 'pbi_oth_escal', 0 ); +var( 'pbi_oth_tax_fed', 1 ); +var( 'pbi_oth_tax_sta', 1 ); +var( 'term_tenor', 18 ); +var( 'term_int_rate', 7 ); +var( 'dscr', 1.45 ); +var( 'dscr_limit_debt_fraction', 1 ); +var( 'dscr_maximum_debt_fraction', 60 ); +var( 'dscr_reserve_months', 6 ); +var( 'debt_percent', 50 ); +var( 'debt_option', 1 ); +var( 'payment_option', 0 ); +var( 'cost_debt_closing', 0 ); +var( 'cost_debt_fee', 2.75 ); +var( 'months_working_reserve', 6 ); +var( 'months_receivables_reserve', 0 ); +var( 'cost_other_financing', 0 ); +var( 'flip_target_percent', 7 ); +var( 'flip_target_year', 20 ); +var( 'pbi_fed_for_ds', 0 ); +var( 'pbi_sta_for_ds', 0 ); +var( 'pbi_uti_for_ds', 0 ); +var( 'pbi_oth_for_ds', 0 ); +var( 'loan_moratorium', 0 ); +var( 'total_installed_cost', 662392400.38561392 ); +var( 'salvage_percentage', 0 ); +var( 'construction_financing_cost', 49679430.028921045 ); +var( 'depr_stabas_method', 1 ); +var( 'depr_fedbas_method', 1 ); +var( 'cp_capacity_payment_esc', 0 ); +var( 'cp_capacity_payment_type', 0 ); +var( 'cp_capacity_payment_amount', [ 0 ] ); +var( 'cp_capacity_credit_percent', [ 0 ] ); +var( 'cp_system_nameplate', 101.66344246977226 ); +var( 'cp_battery_nameplate', 0 ); +var( 'grid_curtailment_price', [ 0 ] ); +var( 'grid_curtailment_price_esc', 0 ); +var( 'batt_salvage_percentage', 0 ); +run('tcsmolten_salt'); +run('grid'); +run('utilityrate5'); +run('singleowner'); +outln('Annual AC energy (year 1) kWh ' + var('annual_energy')); +outln('Capacity factor (year 1)% ' + var('capacity_factor')); +outln('Annual Water Usage m^3 ' + var('annual_total_water_use')); +outln('PPA price in Year 1 ¢/kWh ' + var('ppa')); +outln('PPA price escalation %/year ' + var('ppa_escalation')); +outln('LPPA Levelized PPA price nominal ¢/kWh ' + var('lppa_nom')); +outln('LPPA Levelized PPA price real ¢/kWh ' + var('lppa_real')); +outln('LCOE Levelized cost of energy nominal ¢/kWh ' + var('lcoe_nom')); +outln('LCOE Levelized cost of energy real ¢/kWh ' + var('lcoe_real')); +outln('NPV Net present value$ ' + var('project_return_aftertax_npv')); +outln('IRR Internal rate of return % ' + var('flip_actual_irr')); +outln('Year IRR is achieved ' + var('flip_actual_year')); +outln('IRR at end of project % ' + var('project_return_aftertax_irr')); +outln('Net capital cost$ ' + var('cost_installed')); +outln('Equity$ ' + var('size_of_equity')); +outln('Size of debt$ ' + var('size_of_debt')); +outln('Debt percent% ' + var('debt_fraction')); diff --git a/samples/mspt-import-maps/sscapi.h b/samples/mspt-import-maps/sscapi.h new file mode 100644 index 000000000..7572a9336 --- /dev/null +++ b/samples/mspt-import-maps/sscapi.h @@ -0,0 +1,88 @@ +#ifndef __ssc_api_h +#define __ssc_api_h +#if defined(__WINDOWS__)&&defined(__DLL__) +#define SSCEXPORT __declspec(dllexport) +#else +#define SSCEXPORT +#endif +#ifndef __SSCLINKAGECPP__ +#ifdef __cplusplus +extern "C" { +#endif +#endif +SSCEXPORT int ssc_version(); +SSCEXPORT const char *ssc_build_info(); +typedef void* ssc_data_t; +typedef double ssc_number_t; +typedef int ssc_bool_t; +#define SSC_INVALID 0 +#define SSC_STRING 1 +#define SSC_NUMBER 2 +#define SSC_ARRAY 3 +#define SSC_MATRIX 4 +#define SSC_TABLE 5 +SSCEXPORT ssc_data_t ssc_data_create(); +SSCEXPORT void ssc_data_free( ssc_data_t p_data ); +SSCEXPORT void ssc_data_clear( ssc_data_t p_data ); +SSCEXPORT void ssc_data_unassign( ssc_data_t p_data, const char *name ); +SSCEXPORT int ssc_data_query( ssc_data_t p_data, const char *name ); +SSCEXPORT const char *ssc_data_first( ssc_data_t p_data ); +SSCEXPORT const char *ssc_data_next( ssc_data_t p_data ); +SSCEXPORT void ssc_data_set_string( ssc_data_t p_data, const char *name, const char *value ); +SSCEXPORT void ssc_data_set_number( ssc_data_t p_data, const char *name, ssc_number_t value ); +SSCEXPORT void ssc_data_set_array( ssc_data_t p_data, const char *name, ssc_number_t *pvalues, int length ); +SSCEXPORT void ssc_data_set_matrix( ssc_data_t p_data, const char *name, ssc_number_t *pvalues, int nrows, int ncols ); +SSCEXPORT void ssc_data_set_table( ssc_data_t p_data, const char *name, ssc_data_t table ); +SSCEXPORT const char *ssc_data_get_string( ssc_data_t p_data, const char *name ); +SSCEXPORT ssc_bool_t ssc_data_get_number( ssc_data_t p_data, const char *name, ssc_number_t *value ); +SSCEXPORT ssc_number_t *ssc_data_get_array( ssc_data_t p_data, const char *name, int *length ); +SSCEXPORT ssc_number_t *ssc_data_get_matrix( ssc_data_t p_data, const char *name, int *nrows, int *ncols ); +SSCEXPORT ssc_data_t ssc_data_get_table( ssc_data_t p_data, const char *name ); +typedef void* ssc_entry_t; +SSCEXPORT ssc_entry_t ssc_module_entry( int index ); +SSCEXPORT const char *ssc_entry_name( ssc_entry_t p_entry ); +SSCEXPORT const char *ssc_entry_description( ssc_entry_t p_entry ); +SSCEXPORT int ssc_entry_version( ssc_entry_t p_entry ); +typedef void* ssc_module_t; +typedef void* ssc_info_t; +SSCEXPORT ssc_module_t ssc_module_create( const char *name ); +SSCEXPORT void ssc_module_free( ssc_module_t p_mod ); +#define SSC_INPUT 1 +#define SSC_OUTPUT 2 +#define SSC_INOUT 3 +SSCEXPORT const ssc_info_t ssc_module_var_info( ssc_module_t p_mod, int index ); +SSCEXPORT int ssc_info_var_type( ssc_info_t p_inf ); +SSCEXPORT int ssc_info_data_type( ssc_info_t p_inf ); +SSCEXPORT const char *ssc_info_name( ssc_info_t p_inf ); +SSCEXPORT const char *ssc_info_label( ssc_info_t p_inf ); +SSCEXPORT const char *ssc_info_units( ssc_info_t p_inf ); +SSCEXPORT const char *ssc_info_meta( ssc_info_t p_inf ); +SSCEXPORT const char *ssc_info_group( ssc_info_t p_inf ); +SSCEXPORT const char *ssc_info_required( ssc_info_t p_inf ); +SSCEXPORT const char *ssc_info_constraints( ssc_info_t p_inf ); +SSCEXPORT const char *ssc_info_uihint( ssc_info_t p_inf ); +SSCEXPORT void ssc_module_exec_set_print( int print ); +SSCEXPORT ssc_bool_t ssc_module_exec_simple( const char *name, ssc_data_t p_data ); +SSCEXPORT const char *ssc_module_exec_simple_nothread( const char *name, ssc_data_t p_data ); +#define SSC_LOG 0 +#define SSC_UPDATE 1 +SSCEXPORT ssc_bool_t ssc_module_exec( ssc_module_t p_mod, ssc_data_t p_data ); +typedef void* ssc_handler_t; +SSCEXPORT ssc_bool_t ssc_module_exec_with_handler( + ssc_module_t p_mod, + ssc_data_t p_data, + ssc_bool_t (*pf_handler)( ssc_module_t, ssc_handler_t, int action, float f0, float f1, const char *s0, const char *s1, void *user_data ), + void *pf_user_data ); +#define SSC_NOTICE 1 +#define SSC_WARNING 2 +#define SSC_ERROR 3 +SSCEXPORT const char *ssc_module_log( ssc_module_t p_mod, int index, int *item_type, float *time ); +SSCEXPORT void __ssc_segfault(); +#ifndef __SSCLINKAGECPP__ +#ifdef __cplusplus +} +#endif + +#endif // __SSCLINKAGECPP__ + +#endif diff --git a/samples/mspt-import-maps/steps to run MSPT with imported flux and efficiency maps.docx b/samples/mspt-import-maps/steps to run MSPT with imported flux and efficiency maps.docx new file mode 100644 index 0000000000000000000000000000000000000000..7b56d0784d46bf6dd509793ce10a0b2d08973c76 GIT binary patch literal 17355 zcmeIaWq2FQvMy|9ro_yQiJ6(1nb|QjGcz-@<=BpynPX;V=9t;OUTg1j7VPzX_qo5% z8A&aTy5E}7OjUPP_1lt@1O-C_f&hX70s;|rzT*K^YaWME@@n=B9g-Ac z&1d2cxYO{=4BiZRl`nWdX4x--7G_rRcl}kvP-PUV{ z>Ig_U9?YXz^~0Rqy>!|)1!W14>^<^poXCU*VuK#NfJNE3a1q3Bq5yFo$ONKGZP1Hc zjgwm$3-_QOzh z?TsxR=;?kd|JOkO2V3Qze){)#X-Uv8NWmBW?}Vf6oGVmB(`!0Hhtfu`z=B_&{W1{a z%NpL-DZ=Sy>qGDu-42Es1?Mx`ap$N9nOs%7Ybf}uXV&2=%Qu_d)K>i^1jZ*1aaCY=%rBEFT_uv{#b8AWjA)a1IpA?V|4=(Bxyb9p%0BCF&-c0zo z^#K)S1lD8drTa(^XbmYGhg8+}D|@55*!`;Jd9K5Pu=B<2nd04#Ur2#fML?y2>28Ww*Ma3u}D;e!zHL z5ttC8-U<3o<`N5bn+@|}NDV?jK*%50$i>Fqh~CJ?(8=m!aQkgkJJlMqUJ*m-p#O0( zIjPUs&4KYQ6qa5Mf#L%pf)a^rjM$ozE8RdsM3O;}(ZF27HGuHr+1@R_!Xo}DMOs{k z6Cpl;)TOq(yu1VO)RHky-?`q8+^nyTceR^elub?BgOUTO|Fzxhb*JFIc!8)nSDcSH z`$xol=3a#^q|fUJedDX|v+yViKc7(iy^Z2~J~JxOS>sAGui=-miJi#_*KzXg)dRZ> zS+cx|p<@9{2E6sGfoIUnY)0y4i{R)Xpp9Lw6*eT7=kswhGyaj1`E|QqX-2xm| zq!|W06j}yHQ%s zQXy%k12kYd&Cu1Ss|GsNBSq()_a2T7zxWG}6$c%CXK6*1r#r4zM_ozk&7!InE3aq7 z@WXYBpXhOn!`(J4;y?I~VegOwY`tCTea@nc9lYEzyPs&S24k7;z1PY{#oVcz4`BL5 z7Ooa)mf!P~Sx@$bz?J<{zF7TBVj4Oyzg;Ypbk%JaB2EVpHKGs$r$BAHfqRFc`4=d5X#<#)3#jF^-d4CwI3QWcxG8vEacHfEFq2 zIR>mw^e8c5o{;euxwo7_j~!xG5?gt}Z|0ej@U zsPVTo~vgbtY|$5!ztlb;dx<$ zbpX+#Pxzj`8Z0m5nLR%2`tWv=!s`%!@a6^-<&XL$fM&SB*Z5$U*wcrC>nc%r%GoF? ztI_|ubn1|*1uyg_u$n*=UJ;KhUkN?gsnGk!vY{h(W`fTZNVtT68dUL5G2lILs{vGz zp2FF(x{W1wPQh;poHJ^Ug6R2u^!D9`_S%8+lVEi%JXjpXYwC~{=XYqDGnp4*=g&04LzZ@0^MOg1C9$(>TNP*8 zQm1-hUpm+!kaCdk{qU`m>Yp8<63AefMvTm|G6$TpNtp&`eSz{;@W8(-$|}#jIRxS^!I$d!uJ?pS4y~CB$Ic| znzDSm9q}QhjPBWGRB2I44ER2*F= zD$pAg)J&x6Aa#G>$k>$WxJBj@<6+#^%h=g`9uBbmI8@e$`N#K@F0v4`<&*`c^6xMi z7({rdAZEr|I$ra`EW(f+VEd~fy_nh|Maf9AW)1W|8(XHqa^(T8>_Z0S9$vmB8-$Yb# zV_WZC1@Ya(c~;rdRYJm-rpBBkt`yq7H05^@=hpf5uW{y7EcmUN$ib~BaIC>!O;)!S z5a!+*r+4e8!v93=U>Na>SR(=}Ac7#eoB4$(2G&&@Jj_I8BlXsgLZVZ%<&?mu(h_aD z3>A-_krupsLbVJXc*1VQGN4%ypS+FhnkqG-7R1d->g_$lgul_rUx5JPdlhaGe|rY* z9w3RQ6vs7+w#Q=VV$VxHS6tkIuf7_zRc18Sb&W~y8#`tjm98MD&uxm|LB`G~)qPGe zpTlTBBIZAcOZrPsXAzqK`X#XF9d$NB*0`Mw(qlU~4|rB;B#Jher!=>0%4a{fRSf8*pPxqrD>ZSBaX)Ch2K?2p@Tg)Z<#%7d3&p} zslNgL`kI?ol8Pg2cwH^BL@=NwE3X4=v{wIcN@jEYnjmvj6$j2wW!H5iK(t3~jPQW~ zjIzlKh!PR;%2! zigV>gYC$n_kCXn8SBxOKBjL5`Ud&Wgnw;|)N}^#!PRHv7KWKQX2%vML+)&;0T|u1( zW4ZYyuxp>KFtFUT8KEYSXB3YS`=c?u=1^ylJ?5A6T({U)(HjLmAVDpT@Ol6a|XENQ8RoHdC>(H z=CNcB7O7as_6y}O-NBdR%&+kAsv-UbgnkyqJ?F0~Ghhp5(QCzC^+|;Y9)!nRMcoKr z0@z-B=&XLtlyhUxd$DP*^|E|+n3!z>^}cl{o;gFXU%P7w=3aK~E;+fiRFzLoI8AjS z9a`|{7?Yl|*v)AocW>ca`?9gEvn}?EM;M96z3&XJ%8Qi=KF-GRCT>q1dr$i825sic zFO6HV-72$3(8rjt7pg4pd@jD2!JBSghi}nje67<^%(xTGR)QNpQ_jVXTu(k}Jj?Hx z;Yxzogrx(HjAn7{4A>szNQR6A7ni}xA-h;2Jhl7VIeel2C1;^i(`^uk;jEGHIO{W3=T+mfWf1KcmjW9hCW*V&)&W#j9GkS zMglK_Isz6wXgRF}q2nAV{j@aifsH&~1#b-tr8PRege6cyAZ7xh84XN3g67<>E6_P} zuiKeHYDmC?i));0G=TB@@3z2G$;~awzVibUyD#qEyPU8p#rMQsJayN`8npRFo2l8I zmm}2QgyBvGrVMbIlIz9s%)fb)xYslogSM%Su- z!R$~3{9X)=<(g2j1w*`RSX=<(*9ufbDHIb`CJ4^0mKR3t`l(YGouwxso2CQONGcMV z$t8$wVy6kq;4hqnyy{>WbpZ6>QhI=EIP_)E-g8buW-2+7_wC9R{>Q!l&vb>U?@QhI zM^15!00;>Eqs8xP_X*0_jQN zF2%}KICOn zE3Y>R<+h?=6heGIMLi)KGWkMXOVoA^m*5}Ku?obZr72QT(CXUklE_RCW^I;~8+4}19pUCA*Jh)X#)6g#;%{JpT_!QTZMlsvW$hkYV2 z8(|7`3kak+7L;~y&PT@X-olPnNGRG;#5(sq*7=cU?KY$^0k~>b;?LU ztG3-wVj9?1`5B0_9HSmpQno=KQn%FVGO-oP6a3mdFSk!GuWJW8v$);t?;bw=hPT<NoQd{~G_&eF%=J*C?D)R=DlU|)rGpD=+iK3> z*Yj#=V^%(hF+n121TQo~;Mvbvght4%G1dADpj;-2Gi5;cUk>>!nTL^LBF!X%?rbSu zYknXnzOh(3*djVoRF_@kp`udWLy)i}g?=_^LpT5lRvBO*QQzf3^NZS@@{fWKa3JJ9 zBNpKI@n>rsUNwOYIG3ilPM$l1G(t`nBl|TPyc&{d635MP0>Kbjk!go!RGo8~1}6`N zQ1&A}cOOCC>Im}lUduC{NO0@K#)^wERt;n*RvP0+_826;5?g z9>|EOzFJ>-DD~`pO-4qeCt@R&byYJ!7GL>>z$~JbB?!PtQvWguF%n&C+ z4ff;WVrivcTo{`zg5Wj|x5By|2>DenL4@7xCu9Pf`IB3qGvOg>)n@POTq-457N0)FZ;FT*<5`oE6BkGySQ;q#O>$gQ( zG^~Yp;N@C`3By2}xa4bwx%^HJn6pMXm}bV5)6Vt`L^|xJJ#tzOV9WfZrbpTNX3NYc z@bS-MCw@p=cXU&UuVpk>Hve>TE9ra2hr+ZtGJ;_4UlgD{V=mLyw# zSo2>gy@O4%@26z~oZ07TS0qcmS`42#wTVts5J5@K8J+vRRLf$FxZJn+oV`30cM{kgQ*Kx1yU4TLuu*$NYTP20H zS{JLX@OGUg9jJ+s!p^ytpIox&nmutX zDCm^HTCl@$!LrSlZ1FKp%oJc%vBm7hO89M)_cPv-#AL4;$?B3{HtS*q!s=Pb($uQV z`Iqrc33YcGzcix@kBOI6h{~csdHi^1_C0D ztQ$o~BsurhBwaQClS6kV(&iIUID@@iD!!q2PtlKom65|$Ot%3H^E%;UwYcCot%mMh zF_%s44s;J`aF$8#G1B<4SL>0OPfKgXXefJdJ$_$L`AKgr9rx*RLLaLouZ;G{5KVxW znu9B^m7&&GOwr@OwYbgHJ2vj&fWYbJsiT;Xi~&TEOL!^_{#_S5D`I_l&un4!-9}Ls z#rSd^)A=Apxc+DGy~#C;L}4(}y8r?3A7esgMS3!b5uJhA{NxF?QyQ=<3oUbiqc}Zz zA_Q#j`TJxLR8)1^qZ8SI&uSO^_QzKqq9E=qg{fSrLRB|@ao}K``MSf>VZ8Gaih6Zx z7oUh8I)TM+*A!pqgWk87QPX60|#kn3OveD z-my&JL-jC7Yz)~{4Dsf|u`;^*)_7*ZRDi$RmNvwm=yHl{UaXrx_5=V?H$Kn=@&KNW z%-FCSfO^H({ zrWrD`1TpxzTGFzGdq^;|Z)-zC8snnII8EYA_w9Z)I#N5Jd$d)j5@t(=yGves{)!Z# z!!N0Gbd|}iidQsJK0v^M!{W2+TLcO30lwpN3oZj#4=-0Pp$tn8u2>A!DjY`O$7mH# zpgfkKInCvIgaP)$*^>yOH6=BUJ$`0s%{~59PhxJl0YGg+)nMreGRF+UA(h%fLq0h$MBkUwetP4BPm%WLI`zA0;!fz!n zY@M+LzDJ71&pE1OlM+Xs8VRNdA9EjW5S=}m3#VwfZo%k?RPSBM*(Ee~ zzlIqC1WcOac+^q_ckH`L>l&nO7qm)sROImBN(`c|xZpB4$Fa1OWlqk-1`iJpJ}<5- z%Zj`*17$KsM|}k*dbL#QB3;Eho+@h|Gc^-3^l)LWq(Koq9D zyFWy~PAIPZ3q)?nb|Qr|A~^&ed|ClG%rk*0$Pk62E&g7#$RkwSv0s}w!H#kaQ;tXr z(RhxC{ZpYH*6>+Ek9naE+oiYfNG1$pQ(QQz)f<3zTZlX9F$(xVsFryol?SW%tnqVC z-waqxq_XIy_1APH0y@<6W^u_l$#Nwki&Gm84_j`)Sw?Yf?$QtAF?(1cL=I}lZ{@+K z+E#DM1EeJas;$9jW1~D0@`W@PHJ~{Xi~;7bOYIH7oZ0o)ckFnsb2<`Mx+jKgz#&Z= znYa@c6T0LE9$n@j`L&<7d#;%^Wt+k_XL=GE_AMPQyLM+lGV!`%j=Bc(jRWC9`%6~f zaaew7)Mq{^`S!|SM?mg(Nt%uWiL=9OHC5nG`aWWoi#f(e_tu*Rb|ss|$LF^)@fGfX zjdQNX<(#>6c&!vYDGq<-omyNmLoB&z36U@bD{sy`fG%*&V0^Po&Ob=$T*}ipG7%4)G`^~_f!=>k^SnyFl7zH(;JD# zteovX-csDUVFuu#mdMtFkrM(g7v?m`1{%!ZL_pAn?S`Uf9P60D9m9)Zw>l^stTz}& z{5+!fJ-gg3yPom9^ZR)(`db0surouKlp-hk5f|e{#n}?6d*zuFX3Ms= zses{tcx|dace-dP?z68=>zj?;O2$-FW$Se(I+K*I%%P5kpJH=7N_7R5!9t@x-7#5t zvATF3Z0c|z1U*Y1lufaUgIxV-k(?(b8a)Ke*lsr9LMg+rg>D{`7Q zChk|vu8@}MrjBCnB8!*0f57VjiY*(TzX$1R?jRa`@ztXIulG-ZIjm1YnGBh>MC(bp z>0}2!0hT-(@$wA((=QUS?flc!{0A|J924zBC;|TCJ~_FtA~}<*+3^tm_pmLtZH1de zv~clg9h#H01CUu4+J(WN{1Lc4F0mI(=IFWJe*q~62_+^frvYbmgRD5 zyjq@PZzGHzi{@L6IfqG|#OJZu8*@Y%YSf4)fx~xRZ&__}%;50F4L}hzbUy_C`OT@FtJ{$Xc(R?f*g`b4s39Ndc>S zjj2g$OxU^K*!*bGQO0XiTSwzR2&)ok=Ski|xvbHbzvQB7j*QrcHy(Zk2vy%1Z+@9< zBBzn~cI|}I9{{?v4LYG%{Y))M2RZ1jcq!VRw{B0&Szk@7f8c*y(7EKrhrL%TJkw^Vz?1-ox#C5(t%wJ09AU0{1gQhNKA(*18_Dj1+dJJ2fSw6U z;1U&jPDqL2p0GG_`y<9x5nPmk%}L0{{nhCF&jBZ{~(G7%3 z_wq=C)A>Kf8!#Q}oz9OblmvUdn3~O5ZyC`cX_kxmZ5pmboqg$V` zwnAE;<2OGFn2&;U^Lgt$`og=ZwYM4n{QU6j<14ityIUn{S9+u5U_Gn>N^coX6>@jxP?EDnU{Q5auuy&6L(;+}LTB-7|2mS|G@@4xOHt=VOJ z?}<0;0!I+}i9)41#3ize^bM9c@a(Y53d$TUI_7>wg`1u;kl4(Sbe0Y7p9yt+pc0DD zmE)`%$~jOV`QoQYua;{1+M4{O=HVA1-BU~w7<8qocu&|5o~fqPp5q*Biqy9bcUC6^I?a$ zWbPXg_Y6|;yCGtZKJhfuTD}nu# z@HRF&v}&1Pj*Ou$F8I7)E=vl-ObQstgkk0;nFAyXazXbtZ%kuqcvHD+`&zsSO7=v3(3&*2g#mn;M07mi z4}uKX3a;<^ZZszXO8G6sz_mYv`z@Y05uZa^WYzf;FrSQ-0Z#?#$5h`qn%-st;hWwR z!TO7vxWwK`*_J-`$XkI$ooZO2slylDVCS%7jvcAFj<3&hUNGBehOd^W^02#LVlBa_ z`DzG9-e4lM@4_?(mzr}Gweex;_Tt7XrJtPVh!#xU>5dZJT`VFhh3hM#&|BPFHnOV(u zJS-ZLx?8I8MSYf3`uctUV<(d)eBO+rwVt+crlr1qhur zRaox;M2X~Bd3>79--BYJ)~|~s_ZyLpvnhe_o$A4uljJi-R+0&ZvFqJhte{P@3K|Z- zY5I?BmeL+lX{#U>g^nOISi>?VU&i&e(Q0V!+lH!NcJ~;MlhB*o5VLA&w z%EKE67cJ|ST7%KdA$3Ps0PV;!2VNCp34GLm5+_@P`W7wovb7?&l|nGQDG5^800qg98>UP9RgY~!TR zE)_N-x6~Yn97+^m1NWDpLdbr{h8g>T{WHcwt6^5CzEf`P3{WP8^qzwF3`J^#u=s{cV``Zos+l*dy zWgbY!+~P=e-Owhpk0EE(@wlvMd`PS3Ec~_~6e80+L0fJeio`mB=YasA8=|*gm8aG2 zNEpgP(#8P2`_2{C@|fpl00`Fm@ED*McRT92p2V=)C*f!aGp;0h5z9q7-27!7W(P*o z=Ji(Rx#Q2o1GmjwI=jL+%B_fqgQg{{2gST5mo<>%DLF#V78x%@4_iS`4LS>!R2!6J zB6_8tSQtk#voPmOo+_k2C=yPR!5lLpCqxdX_sPQhyW^}T8LOSu|>6!04ff0{be*IKX3+yjVuUG{YZ1sl$E+%;wIu{hR7xX$@^bb2rP+5v38Z`In&y7rn%Z5;{7khex39(2HdF4C*vdh>^NYh>@1~wk^K#{W<|X!_6;^ zXGr#QMfIGk{r1co)cvZmHf&Dr0cB#7uDwStTkvzfyrz7MjPIs0Z)4vBgWqdE{d}hz zNP@ZpyzeMJR>8hkQSOHx$h^T*Gri=z3qMu`Kd__APPkG)WCZQ^pGI?}tLv3{3&v{b zn6G@oDQ94JW!mYC5OwL4R3EcCss-FNU;sJsFCLj?aaUxLrCVHXc~Rk>$-9fM%^YIS z$QiuD%f`n@;b+mVg^+oY?GfGK;R5dMtj}_ei%2u?np+^Zz}OZ~Bj1+K$=$1m)!x|# z)NPW2?t-^eI%khLr*Xa5w9pOA$gX_P-mGR78a>RNAw;`5-YmiEL~xsWQZH>yp&THK z-czKf5|3d@BBl+AB&4${Pn3_IO`Bx*P=ymPi~q6#itb)$=n#|K#k1 z90F!Jr`FY5qGx}$U4KKfmZ(da>~fn+r8nmKoq0gx-WE<#H?iEOpKI&YgM)RWYD^&w zWBp3b&7vttrmPDXN5MR9eODX`KDS=-PFjRq!!#qWA)b9Q-binxJ7EerSk4nQu3nJ0 zX`1n08B7~aT+pvFoT()ZO%3O;odi{V6hm&{-+U}E(H`W>Pwv`rEJKY#20Pf+8V81M z%h|O-n|ShV62DvD=H>4yHCl+2Bk_|q>r19rgC-0eKaNx&SMZ?ozJ4SD{&U{G!`s$)`>!m4i?M;?pJpyHXXIsj7?6NAT~Ob9r(F*D2!k2KBD)_9q-OEuma)HC zdPr*GJe;)4bYbeG(x23?cr~9aKiZp0-$C=3If7%uJ0$xhl-8}FoxWNzHyNsj_E73i z@^{}ME!x|8G258Hf7c}xdHQNp*u+p0pN|rv`L~Uj7G7eIR6_CM;dU<7xmQvUaH>ZnTC&*nF;Py(l!H9Pj*# ztBRS6fk>li?A)|r*H?tHG(lln;iH1KsPB*9WUs!Lm<&ljPE1#E;bhXZMa#X%Z+6Y* z?A}zRAmvtc`X2VxM6ndy2VtlH0DPAhQLepk3MynkCDbccW@b~n^t098srk-iL_J}c zjGRaa!MClO!geV^?4C+(S=vHhEX_&uUJi@$PQ9SFbwkvy>pGgq?)|;TII@=sZY|G$ zmoh(Zjs{HKT=-*>S=G9F zQbgedZ|qC_tY_viXR)z#1zV`ZB8vpn(k7mvb>3kx@bG+7)96qKFd%lWXI2MG4 z18l_ku@lc~%XtfDY5>;RLofNl7 ztBFvpy+q6su@qUg*p;@-5bO^5-EU<@q(Sx)yVr1) zqFU6iZKRSuxJfKGa_sRd{6khCXkBl|CPy}eyD7OIuspT{&aV?e=}PiP#~XpWzTVdm zuT$}WSUJTazd^bKsd6~f3v^mFvgb|%KMeu7#;DK)}G5r>JfQD9eqDkyq)M? z5Yth+i^vvnE$Q9n2CN<&>l(-?pj2b}OI(tbXIT#~)e&ls?$OROZqI%^cVs!$y4M)X zJK)o|kJUf_X|&A;U{CfRN^Py=|E?n+D}?@+sP)mCMaFB( z1~8xnUr4_o7A^=prs5NJp)j}F4+upLv@T~`Imx9rVDD^b>M?}1GHh*owjCU8StUH? z(PVN{j8VtscU{MU`m01nIEAlY1$l(vScU2pmV$k7jidIL_JjqDrKrK_0r9zTkY{S~ zlV6f3mp>C5Ml!GQiPxE;_pqoYQH52PX&8zhCVvMG>F^1VWC%nSw1sut6B808DIiuj zSDFI;+%Lxm-D8I13d~~;U#gIUd&tq5NXi-&SUa7^4nRzg>1nBK=Qu8Oeh2cQ$^y0VEsRV!JPeK_*?F;CT&KpuPk1JM?Xs2$^R5iJZk>>VwO&f5jh81BR z#3ADzH@{NpG>zLS)YMjD~a;9mL zvXB9%=^IwT*7#8h0?k#wXUF!haJ_G(RWBq%BK=M=@6;NcSug!1$GhUP;V>K23`A>Qg$WPh<{k?k4Vj%tpr2duFkgq6k*(Bn7c@LJBH8m)nLc6r3f^u)4 z_r79g5>+n%f@q!iSO8H34>kg;0TMH7lnHQmP3Qatu)<8dW*x99o{Go{8!X>dyD1_p zX|Mx;8+i<3le&}ZN?d*2)T76~I@y7x1PL;@5_le2vk~$zIkLWlEPZ9=bp2dm;%skv z!1yM&)-p~l3BQk;58)FN(_!yo&gp&oD}HqU&GJ{%y~Kfhe2L(oQz-^ zl%1?9lof~XTRmjy1hWtMvOUEUYlZtm<8Lk(`0q=w z|I~XsIXK!_{jXj8%O-v+>k|1RRv3_iE`r`53tH!EXw+3~Yb>`d)en<{3b}7k(9GMu zEXG$S)#aV~R860=6i!A+@}=k(mR!L1Qd@U6N;~>`mu6+eBRORd=DcVX$3b+5tiZAsSP7Qdds2CnyPK#k z2WSW$-E@GT7)pp?oV;#oN3`2wrrcJ;y4P+izkF7%s2W3irFhJz zVc$xn+XEpdNi$57@DrDT%9`nKZ`16^E#=fMrlbO7r`Zv+LsUSU z_@lI;Yi$=wD2`El)}J*opKAa{edB!)pYg)>mCx(;=lm`*nGGT?;%h2K*IGM_bPu2)5m#QXnl#$A7uE zcaIIdtdt3aVXVIU`!?J`q&&@4CN)qVXqU27Xf@e+9U|df3cPtJNCn(@X>qFG(2re_ z9SJ1LG!@FSK4r^YLo1rhTf(3F^}NChX{R|e(G&7qe@sLIgV2726aW0D1ApA!e|`Fg zzaWs4{HuV!E{6WIfU=K~lOZr6u%{{Kgn z|GT8W^P~TerS=ha{UclY?;`%rF#AJViM;J*c(f0yw0z~~PNxy=8T@Ru;@@A$v^%zvPP zfcja1fd0dS{yY4yp3I-&)*OF=|J$$mJNmC({-4nS+